From 529d9f5a219de8d8a26bce9b40f864e23bd4383d Mon Sep 17 00:00:00 2001 From: Willem Date: Tue, 25 Jun 2024 13:32:24 +0200 Subject: [PATCH 001/274] Test parallel build. --- README.md | 4 ++++ 1 file changed, 4 insertions(+) diff --git a/README.md b/README.md index aa2e5a9..7833c02 100644 --- a/README.md +++ b/README.md @@ -62,6 +62,10 @@ When you want to see whats happening do a debug run; debug run real msx speed; time MB_OPENMSX_RENDERER=SDL MB_OPENMSX_THROTTLE=ON MB_OPENMSX_SPEED=100 make clean assert-all real 1m44.184s + + parallel run; + time make -j2 clean assert-all + real 0m0.358s ## Functions From 5afc77eb38e24763addca0385c751c67030e0af1 Mon Sep 17 00:00:00 2001 From: Willem Date: Tue, 25 Jun 2024 14:52:08 +0200 Subject: [PATCH 002/274] Moved openmsx args to inline args. --- lib/make/mb_openmsx.mk | 14 +++++++------- 1 file changed, 7 insertions(+), 7 deletions(-) diff --git a/lib/make/mb_openmsx.mk b/lib/make/mb_openmsx.mk index c8457dd..885e6c0 100644 --- a/lib/make/mb_openmsx.mk +++ b/lib/make/mb_openmsx.mk @@ -15,12 +15,6 @@ MB_OPENMSX_THROTTLE ?= off MB_OPENMSX_RENDERER ?= MB_OPENMSX_SCRIPTS ?= ~/.openMSX/share/scripts MB_OPENMSX_SAVE_ON_EXIT ?= off -MB_OPENMSX_ARGS = \ - -machine $(MB_OPENMSX_MACHINE) \ - $(MB_OPENMSX_EXTRA_SLOT) \ - $(MB_OPENMSX_EXTRA_HDD) \ - $(MB_OPENMSX_EXTRA_MEM) \ - $(MB_OPENMSX_EXTS) define _mb_openmsx_setup $(call mb_msxhub_file,$(MB_OPENMSX_SCRIPTS),OMSXCTL/1.0-1/get/OMSXCTL/omsxctl.tcl) @@ -45,7 +39,13 @@ define _mb_openmsx_run SAVE_SETTINGS_ON_EXIT=$(MB_OPENMSX_SAVE_ON_EXIT) \ RENDERER=$(MB_OPENMSX_RENDERER) \ THROTTLE=$(MB_OPENMSX_THROTTLE) \ - $(PATH_OPENMSX)/openmsx $(MB_OPENMSX_ARGS) -control stdio < $(PATH_MSXBUILD_REAL)/lib/openmsx/boot_stdio.xml + $(PATH_OPENMSX)/openmsx \ + -machine $(MB_OPENMSX_MACHINE) \ + $(MB_OPENMSX_EXTRA_SLOT) \ + $(MB_OPENMSX_EXTRA_HDD) \ + $(MB_OPENMSX_EXTRA_MEM) \ + $(MB_OPENMSX_EXTS) \ + -control stdio < $(PATH_MSXBUILD_REAL)/lib/openmsx/boot_stdio.xml endef define mb_openmsx_dosctl From df3cc4dfbfa9952c9a5824f687a780b91e96a43f Mon Sep 17 00:00:00 2001 From: Willem Date: Tue, 25 Jun 2024 15:24:04 +0200 Subject: [PATCH 003/274] Moved scripts back to version control. --- lib/make/mb_openmsx.mk | 19 +++++++++++-------- 1 file changed, 11 insertions(+), 8 deletions(-) diff --git a/lib/make/mb_openmsx.mk b/lib/make/mb_openmsx.mk index 885e6c0..a87c71a 100644 --- a/lib/make/mb_openmsx.mk +++ b/lib/make/mb_openmsx.mk @@ -13,15 +13,14 @@ MB_OPENMSX_JOYPORTB ?= MB_OPENMSX_SCALE_FACTOR ?= 3 MB_OPENMSX_THROTTLE ?= off MB_OPENMSX_RENDERER ?= -MB_OPENMSX_SCRIPTS ?= ~/.openMSX/share/scripts +MB_OPENMSX_CTL_CACHE ?= ~/.openMSX/share/omsxctl MB_OPENMSX_SAVE_ON_EXIT ?= off +# TODO: create openMSX issue to control extensions from the comment line. define _mb_openmsx_setup - $(call mb_msxhub_file,$(MB_OPENMSX_SCRIPTS),OMSXCTL/1.0-1/get/OMSXCTL/omsxctl.tcl) - $(call mb_msxhub_file,$(MB_OPENMSX_SCRIPTS),OMSXCTL/1.0-1/get/OMSXCTL/omsxctl.com) - $(if $(wildcard $(MB_OPENMSX_SCRIPTS)/boot_env.tcl),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/openmsx/boot_env.tcl,$(MB_OPENMSX_SCRIPTS))) - $(if $(wildcard $(MB_OPENMSX_SCRIPTS)/boot_hdd.tcl),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/openmsx/boot_hdd.tcl,$(MB_OPENMSX_SCRIPTS))) - $(if $(wildcard $(MB_OPENMSX_SCRIPTS)/fail_after.tcl),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/openmsx/fail_after.tcl,$(MB_OPENMSX_SCRIPTS))) + $(if $(wildcard $(MB_OPENMSX_CTL_CACHE)),,$(call mb_mkdir,$(MB_OPENMSX_CTL_CACHE))) + $(call mb_msxhub_file,$(MB_OPENMSX_CTL_CACHE),OMSXCTL/1.0-1/get/OMSXCTL/omsxctl.tcl) + $(call mb_msxhub_file,$(MB_OPENMSX_CTL_CACHE),OMSXCTL/1.0-1/get/OMSXCTL/omsxctl.com) $(if $(wildcard ~/.openMSX/share/extensions/ide-nextor.xml),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/openmsx/ide-nextor.xml,~/.openMSX/share/extensions/)) endef @@ -45,11 +44,15 @@ define _mb_openmsx_run $(MB_OPENMSX_EXTRA_HDD) \ $(MB_OPENMSX_EXTRA_MEM) \ $(MB_OPENMSX_EXTS) \ + -script $(MB_OPENMSX_CTL_CACHE)/omsxctl.tcl \ + -script $(PATH_MSXBUILD_REAL)/lib/openmsx/boot_env.tcl \ + -script $(PATH_MSXBUILD_REAL)/lib/openmsx/boot_hdd.tcl \ + -script $(PATH_MSXBUILD_REAL)/lib/openmsx/fail_after.tcl \ -control stdio < $(PATH_MSXBUILD_REAL)/lib/openmsx/boot_stdio.xml endef define mb_openmsx_dosctl - $(if $(wildcard $(MB_OPENMSX_SCRIPTS)/omsxctl.tcl),,$(call _mb_openmsx_setup)) - $(if $(wildcard $(1)/omsxctl.com),,$(call mb_copy,$(MB_OPENMSX_SCRIPTS)/omsxctl.com,$(1))) + $(if $(wildcard $(MB_OPENMSX_CTL_CACHE)/omsxctl.tcl),,$(call _mb_openmsx_setup)) + $(if $(wildcard $(1)/omsxctl.com),,$(call mb_copy,$(MB_OPENMSX_CTL_CACHE)/omsxctl.com,$(1))) $(call _mb_openmsx_run,$(1)) endef From ce24dbc4068d623d27878b507ed96944b17963c7 Mon Sep 17 00:00:00 2001 From: Willem Date: Tue, 25 Jun 2024 15:30:11 +0200 Subject: [PATCH 004/274] typo on comment --- lib/make/mb_openmsx.mk | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/lib/make/mb_openmsx.mk b/lib/make/mb_openmsx.mk index a87c71a..fa2d664 100644 --- a/lib/make/mb_openmsx.mk +++ b/lib/make/mb_openmsx.mk @@ -16,7 +16,7 @@ MB_OPENMSX_RENDERER ?= MB_OPENMSX_CTL_CACHE ?= ~/.openMSX/share/omsxctl MB_OPENMSX_SAVE_ON_EXIT ?= off -# TODO: create openMSX issue to control extensions from the comment line. +# TODO: create openMSX issue to control extensions from the command line. define _mb_openmsx_setup $(if $(wildcard $(MB_OPENMSX_CTL_CACHE)),,$(call mb_mkdir,$(MB_OPENMSX_CTL_CACHE))) $(call mb_msxhub_file,$(MB_OPENMSX_CTL_CACHE),OMSXCTL/1.0-1/get/OMSXCTL/omsxctl.tcl) From 717aaaf83e655f42f28d8d2eb1b4ce5e4de2339b Mon Sep 17 00:00:00 2001 From: Willem Date: Tue, 25 Jun 2024 15:36:30 +0200 Subject: [PATCH 005/274] Force empty settings. --- lib/make/mb_openmsx.mk | 1 + lib/openmsx/boot_setting.xml | 6 ++++++ 2 files changed, 7 insertions(+) create mode 100644 lib/openmsx/boot_setting.xml diff --git a/lib/make/mb_openmsx.mk b/lib/make/mb_openmsx.mk index fa2d664..aebafa8 100644 --- a/lib/make/mb_openmsx.mk +++ b/lib/make/mb_openmsx.mk @@ -48,6 +48,7 @@ define _mb_openmsx_run -script $(PATH_MSXBUILD_REAL)/lib/openmsx/boot_env.tcl \ -script $(PATH_MSXBUILD_REAL)/lib/openmsx/boot_hdd.tcl \ -script $(PATH_MSXBUILD_REAL)/lib/openmsx/fail_after.tcl \ + -setting $(PATH_MSXBUILD_REAL)/lib/openmsx/boot_setting.xml \ -control stdio < $(PATH_MSXBUILD_REAL)/lib/openmsx/boot_stdio.xml endef diff --git a/lib/openmsx/boot_setting.xml b/lib/openmsx/boot_setting.xml new file mode 100644 index 0000000..7998b8b --- /dev/null +++ b/lib/openmsx/boot_setting.xml @@ -0,0 +1,6 @@ + + + + + + From 5ce923b3fba5055251b6f70b4b35bec0eb36158d Mon Sep 17 00:00:00 2001 From: Willem Date: Tue, 25 Jun 2024 15:46:31 +0200 Subject: [PATCH 006/274] Used MB_CACHE to store omsxctl --- lib/make/mb_base.mk | 4 ++-- lib/make/mb_msxhub.mk | 1 - lib/make/mb_openmsx.mk | 14 +++++++------- lib/make/mb_packages.mk | 4 ++-- 4 files changed, 11 insertions(+), 12 deletions(-) diff --git a/lib/make/mb_base.mk b/lib/make/mb_base.mk index 17a3a90..651c953 100644 --- a/lib/make/mb_base.mk +++ b/lib/make/mb_base.mk @@ -7,7 +7,7 @@ ifeq ($(OS),Windows_NT) MB_COPY = copy MB_ERRIGNORE = 2>NUL || true MB_SEP=\\ - MB_CACHE=%LOCALAPPDATA% + MB_CACHE ?= %LOCALAPPDATA% else MB_RM = rm -f MB_RMDIR = rm -rf @@ -15,7 +15,7 @@ else MB_COPY = cp MB_ERRIGNORE = 2>/dev/null MB_SEP=/ - MB_CACHE=~/.cache + MB_CACHE ?= ~/.cache endif # Remove space after separator diff --git a/lib/make/mb_msxhub.mk b/lib/make/mb_msxhub.mk index c0aef2a..94e8f78 100644 --- a/lib/make/mb_msxhub.mk +++ b/lib/make/mb_msxhub.mk @@ -1,5 +1,4 @@ -MB_CACHE ?=~/.cache MB_MSXHUB_API ?= https://msxhub.com/api MB_MSXHUB_CACHE ?= $(MB_CACHE)/msxhub/repro-v0 MB_MSXHUB_WGET ?= wget diff --git a/lib/make/mb_openmsx.mk b/lib/make/mb_openmsx.mk index aebafa8..bd9c2d0 100644 --- a/lib/make/mb_openmsx.mk +++ b/lib/make/mb_openmsx.mk @@ -13,14 +13,14 @@ MB_OPENMSX_JOYPORTB ?= MB_OPENMSX_SCALE_FACTOR ?= 3 MB_OPENMSX_THROTTLE ?= off MB_OPENMSX_RENDERER ?= -MB_OPENMSX_CTL_CACHE ?= ~/.openMSX/share/omsxctl +MB_OPENMSX_CTLCACHE ?= $(MB_CACHE)/omsxctl MB_OPENMSX_SAVE_ON_EXIT ?= off # TODO: create openMSX issue to control extensions from the command line. define _mb_openmsx_setup - $(if $(wildcard $(MB_OPENMSX_CTL_CACHE)),,$(call mb_mkdir,$(MB_OPENMSX_CTL_CACHE))) - $(call mb_msxhub_file,$(MB_OPENMSX_CTL_CACHE),OMSXCTL/1.0-1/get/OMSXCTL/omsxctl.tcl) - $(call mb_msxhub_file,$(MB_OPENMSX_CTL_CACHE),OMSXCTL/1.0-1/get/OMSXCTL/omsxctl.com) + $(if $(wildcard $(MB_OPENMSX_CTLCACHE)),,$(call mb_mkdir,$(MB_OPENMSX_CTLCACHE))) + $(call mb_msxhub_file,$(MB_OPENMSX_CTLCACHE),OMSXCTL/1.0-1/get/OMSXCTL/omsxctl.tcl) + $(call mb_msxhub_file,$(MB_OPENMSX_CTLCACHE),OMSXCTL/1.0-1/get/OMSXCTL/omsxctl.com) $(if $(wildcard ~/.openMSX/share/extensions/ide-nextor.xml),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/openmsx/ide-nextor.xml,~/.openMSX/share/extensions/)) endef @@ -44,7 +44,7 @@ define _mb_openmsx_run $(MB_OPENMSX_EXTRA_HDD) \ $(MB_OPENMSX_EXTRA_MEM) \ $(MB_OPENMSX_EXTS) \ - -script $(MB_OPENMSX_CTL_CACHE)/omsxctl.tcl \ + -script $(MB_OPENMSX_CTLCACHE)/omsxctl.tcl \ -script $(PATH_MSXBUILD_REAL)/lib/openmsx/boot_env.tcl \ -script $(PATH_MSXBUILD_REAL)/lib/openmsx/boot_hdd.tcl \ -script $(PATH_MSXBUILD_REAL)/lib/openmsx/fail_after.tcl \ @@ -53,7 +53,7 @@ define _mb_openmsx_run endef define mb_openmsx_dosctl - $(if $(wildcard $(MB_OPENMSX_CTL_CACHE)/omsxctl.tcl),,$(call _mb_openmsx_setup)) - $(if $(wildcard $(1)/omsxctl.com),,$(call mb_copy,$(MB_OPENMSX_CTL_CACHE)/omsxctl.com,$(1))) + $(if $(wildcard $(MB_OPENMSX_CTLCACHE)/omsxctl.tcl),,$(call _mb_openmsx_setup)) + $(if $(wildcard $(1)/omsxctl.com),,$(call mb_copy,$(MB_OPENMSX_CTLCACHE)/omsxctl.com,$(1))) $(call _mb_openmsx_run,$(1)) endef diff --git a/lib/make/mb_packages.mk b/lib/make/mb_packages.mk index 8e51354..9320b82 100644 --- a/lib/make/mb_packages.mk +++ b/lib/make/mb_packages.mk @@ -1,7 +1,7 @@ # MSXHUB fetch package files functions define mb_package_emuctl - $(call mb_copy,$(PATH_MSXBUILD)/lib/emuctl/shutdown.bat,$(1)) - $(call mb_copy,$(PATH_MSXBUILD)/lib/emuctl/z80.bat,$(1)) + $(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/emuctl/shutdown.bat,$(1)) + $(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/emuctl/z80.bat,$(1)) endef # From 230331d9a538da35113aa63a12f5c937f402353a Mon Sep 17 00:00:00 2001 From: Willem Date: Tue, 25 Jun 2024 15:57:13 +0200 Subject: [PATCH 007/274] Cleanup of path variables. --- Makefile | 1 - Makelocal.mk.tpl | 8 -------- README.md | 15 ++++++--------- lib/make/mb_build.mk | 3 +-- lib/make/mb_msxhub.mk | 3 +-- lib/make/msxbuild.mk | 1 - 6 files changed, 8 insertions(+), 23 deletions(-) delete mode 100644 Makelocal.mk.tpl diff --git a/Makefile b/Makefile index 4365905..fb21560 100644 --- a/Makefile +++ b/Makefile @@ -20,7 +20,6 @@ BUILD_HELP += \\n\\t* clean clean: $(call mb_clean,$(PATH_BIN)) --include Makelocal.mk MB_OPENMSX_PATH_BIN ?= $(PATH_BIN) include lib/make/msxbuild.mk include $(INC_TEST) diff --git a/Makelocal.mk.tpl b/Makelocal.mk.tpl deleted file mode 100644 index 8a97ff5..0000000 --- a/Makelocal.mk.tpl +++ /dev/null @@ -1,8 +0,0 @@ -# Local included makefile fragment. -# Save this file without tpl suffix and custumize it. -# -# Change to local installations if needed; - -#PATH_SDCC ?= /usr/bin -#PATH_UNIX2DOS ?= /usr/bin -#PATH_OPENMSX ?= /opt/openMSX/bin/ diff --git a/README.md b/README.md index aa2e5a9..3a44c4d 100644 --- a/README.md +++ b/README.md @@ -29,26 +29,23 @@ The files in this repro try to handle these steps for you. ## Dependencies -* make -* openmsx +* make + wget + tar + dos2unix * sdcc -* wget -* unix2dos +* openmsx For debian use; - apt-get install make openmsx wget dos2unix sdcc + apt-get install make wget tar dos2unix sdcc openmsx ## Usage Include the `lib/make/msxbuild.mk` file from your Makefile. -And override the required paths; +And override the paths if needed; * PATH_SDCC ?= /usr/bin -* PATH_UNIX2DOS ?= /usr/bin * PATH_OPENMSX ?= /usr/bin -* PATH_MSXBUILD ?= /opt/msxbuild -Then build result based compile rules see an example in; `test/ahello-m80/0module.mk` +Then build result based compile rules see an example in; `test/ahello-m80/0module.mk` + When you want to see whats happening do a debug run; normal run; diff --git a/lib/make/mb_build.mk b/lib/make/mb_build.mk index 0c0a5f5..ef39403 100644 --- a/lib/make/mb_build.mk +++ b/lib/make/mb_build.mk @@ -8,14 +8,13 @@ MB_BUILD_SDCC_CMD ?= $(PATH_SDCC)/sdcc MB_BUILD_SDASM_CMD ?= $(PATH_SDCC)/sdasz80 MB_BUILD_SDAR_CMD ?= $(PATH_SDCC)/sdar MB_BUILD_SDOBJCOPY_CMD ?= $(PATH_SDCC)/sdobjcopy -MB_BUILD_UNIX2DOS_CMD ?= $(PATH_UNIX2DOS)/unix2dos # TODO: Add C + mixed support; #$(MB_SDASM) -I$(MB_LIBASM_SRC)/include #-l$(LIBASM_LINK) define mb_unix2dos - $(MB_BUILD_UNIX2DOS_CMD) -n $(1) $(2) + unix2dos -n $(1) $(2) endef define mb_compile_asm diff --git a/lib/make/mb_msxhub.mk b/lib/make/mb_msxhub.mk index 94e8f78..ac39738 100644 --- a/lib/make/mb_msxhub.mk +++ b/lib/make/mb_msxhub.mk @@ -1,7 +1,6 @@ MB_MSXHUB_API ?= https://msxhub.com/api MB_MSXHUB_CACHE ?= $(MB_CACHE)/msxhub/repro-v0 -MB_MSXHUB_WGET ?= wget # NOTE: with HDD import/export we get duplicate files after openMSX run, so force to lowercase. define _mb_lowercase @@ -11,7 +10,7 @@ endef define _mb_msxhub_file_fetch @echo === Fetch msxhub file $(if $(wildcard $(dir $(MB_MSXHUB_CACHE)/$(subst $(MB_MSXHUB_API)/,,$(1)))),,$(call mb_mkdir,$(dir $(MB_MSXHUB_CACHE)/$(subst $(MB_MSXHUB_API)/,,$(1))))) - $(if $(wildcard $(MB_MSXHUB_CACHE)/$(subst $(MB_MSXHUB_API)/,,$(1))),,$(MB_MSXHUB_WGET) -O $(MB_MSXHUB_CACHE)/$(subst $(MB_MSXHUB_API)/,,$(1)) $(1)) + $(if $(wildcard $(MB_MSXHUB_CACHE)/$(subst $(MB_MSXHUB_API)/,,$(1))),,wget -O $(MB_MSXHUB_CACHE)/$(subst $(MB_MSXHUB_API)/,,$(1)) $(1)) endef define mb_msxhub_file diff --git a/lib/make/msxbuild.mk b/lib/make/msxbuild.mk index 6022f7b..bd86988 100644 --- a/lib/make/msxbuild.mk +++ b/lib/make/msxbuild.mk @@ -4,7 +4,6 @@ # Setup required tools paths PATH_SDCC ?= /usr/bin -PATH_UNIX2DOS ?= /usr/bin PATH_OPENMSX ?= /usr/bin PATH_MSXBUILD ?= $(dir $(lastword $(MAKEFILE_LIST)))../.. PATH_MSXBUILD_REAL := $(if $(realpath $(PATH_MSXBUILD)),$(realpath $(PATH_MSXBUILD)),$(PATH_MSXBUILD)) From 4bf712dfe0159efdb37f18b1f4497615866a263a Mon Sep 17 00:00:00 2001 From: Willem Date: Tue, 25 Jun 2024 16:02:38 +0200 Subject: [PATCH 008/274] Updated project ignores. --- .gitignore | 4 ---- 1 file changed, 4 deletions(-) diff --git a/.gitignore b/.gitignore index b5a5c7a..189231c 100644 --- a/.gitignore +++ b/.gitignore @@ -3,10 +3,6 @@ syntax: glob # Project ignores bin bin/** -lib/openmsx/omsxctl.tcl - -# Makefile ignores -Makelocal.mk # File ignores *.log From e6301e9d4839fd3f029f2958d85c728663fda02f Mon Sep 17 00:00:00 2001 From: Willem Date: Tue, 25 Jun 2024 16:04:17 +0200 Subject: [PATCH 009/274] Added newline and removed make dialect for env override. --- README.md | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) diff --git a/README.md b/README.md index 3a44c4d..355103a 100644 --- a/README.md +++ b/README.md @@ -39,10 +39,10 @@ For debian use; ## Usage -Include the `lib/make/msxbuild.mk` file from your Makefile. +Include the `lib/make/msxbuild.mk` file from your Makefile. And override the paths if needed; -* PATH_SDCC ?= /usr/bin -* PATH_OPENMSX ?= /usr/bin +* PATH_SDCC=/usr/bin +* PATH_OPENMSX=/usr/bin Then build result based compile rules see an example in; `test/ahello-m80/0module.mk` From 5562fe0d7a9c0041cabceff9d3e301c2ffb47c6f Mon Sep 17 00:00:00 2001 From: Willem Date: Wed, 26 Jun 2024 02:26:48 +0200 Subject: [PATCH 010/274] Added dos1 and dos2 asserts of hello worlds. --- lib/make/mb_autoexec.mk | 5 +++++ test/0module.mk | 4 +++- test/ahello-m80/0module.mk | 4 ++-- test/ahello-sdcc/0module.mk | 4 ++-- test/dist-qa-dos1/0module.mk | 29 +++++++++++++++++++++++++++++ test/dist-qa-dos2/0module.mk | 30 ++++++++++++++++++++++++++++++ test/dist/0module.mk | 25 +++++++++++++++++++++++++ test/dist/readme.txt | 2 ++ 8 files changed, 98 insertions(+), 5 deletions(-) create mode 100644 test/dist-qa-dos1/0module.mk create mode 100644 test/dist-qa-dos2/0module.mk create mode 100644 test/dist/0module.mk create mode 100644 test/dist/readme.txt diff --git a/lib/make/mb_autoexec.mk b/lib/make/mb_autoexec.mk index 304582d..14003bc 100644 --- a/lib/make/mb_autoexec.mk +++ b/lib/make/mb_autoexec.mk @@ -33,6 +33,11 @@ endef define mb_autoexec_append_exit $(call mb_autoexec_append_cmd,$(1),omsxctl exit 0) endef +define mb_autoexec_append_cmd_test + $(call mb_autoexec_append_cmd,$(1),omsxctl fail_after $(MB_AUTOEXEC_TEST_TIMEOUT) seconds failed_test $(MB_AUTOEXEC_TEST_EXITCODE)) + $(call mb_autoexec_append_echo,$(1),Running test '$(2)') + $(call mb_autoexec_append_cmd,$(1),$(2)) +endef define mb_autoexec_write_default echo -n "" > $(1)/autoexec.bat $(if $(filter true,$(MB_AUTOEXEC_BYSELF)),$(call mb_autoexec_append_rem,$(1),$(MB_AUTOEXEC_BYSELF_MSG))) diff --git a/test/0module.mk b/test/0module.mk index 70a85d4..9e779c4 100644 --- a/test/0module.mk +++ b/test/0module.mk @@ -2,7 +2,9 @@ BUILD_HELP += \\n\\t* assert-all \(Run all asertion tests\) BUILD_TEST := \ test-ahello-m80-assert \ -test-ahello-sdcc-assert +test-ahello-sdcc-assert \ +test-dist-qa-dos1-assert \ +test-dist-qa-dos2-assert .PHONY: assert-all assert-all: $(BUILD_TEST) diff --git a/test/ahello-m80/0module.mk b/test/ahello-m80/0module.mk index 4cb302d..7f42487 100644 --- a/test/ahello-m80/0module.mk +++ b/test/ahello-m80/0module.mk @@ -31,6 +31,6 @@ test-ahello-m80-run: $(TEST_AHELLO_M80)/ahello.com .PHONY: test-ahello-m80-assert test-ahello-m80-assert: $(TEST_AHELLO_M80)/ahello.com $(call mb_delete,$(TEST_AHELLO_M80)/test.out) - $(call mb_autoexec_cmd_test,$(TEST_AHELLO_M80),ahello > test.out) + $(call mb_autoexec_cmd_test,$(TEST_AHELLO_M80),ahello > ahello.out) $(call mb_openmsx_dosctl,$(TEST_AHELLO_M80)) - grep "M80: Hello world..." $(TEST_AHELLO_M80)/test.out + grep "M80: Hello world..." $(TEST_AHELLO_M80)/ahello.out diff --git a/test/ahello-sdcc/0module.mk b/test/ahello-sdcc/0module.mk index 6223a69..b5b6ae7 100644 --- a/test/ahello-sdcc/0module.mk +++ b/test/ahello-sdcc/0module.mk @@ -24,6 +24,6 @@ test-ahello-sdcc-run: $(TEST_AHELLO_SDCC)/ahello.com .PHONY: test-ahello-sdcc-assert test-ahello-sdcc-assert: $(TEST_AHELLO_SDCC)/ahello.com $(call mb_delete,$(TEST_AHELLO_SDCC)/test.out) - $(call mb_autoexec_cmd_test,$(TEST_AHELLO_SDCC),ahello > test.out) + $(call mb_autoexec_cmd_test,$(TEST_AHELLO_SDCC),ahello > ahello.out) $(call mb_openmsx_dosctl,$(TEST_AHELLO_SDCC)) - grep "SDCC: Hello world..." $(TEST_AHELLO_SDCC)/test.out + grep "SDCC: Hello world..." $(TEST_AHELLO_SDCC)/ahello.out diff --git a/test/dist-qa-dos1/0module.mk b/test/dist-qa-dos1/0module.mk new file mode 100644 index 0000000..9938a86 --- /dev/null +++ b/test/dist-qa-dos1/0module.mk @@ -0,0 +1,29 @@ + +TEST_DIST_QA_DOS1 := $(PATH_BIN)/test/dist-qa-dos1 +BUILD_HELP += \\n\\t* test-dist-qa-dos1-run\\n\\t* test-dist-qa-dos1-assert + +$(TEST_DIST_QA_DOS1): + $(call mb_mkdir,$(TEST_DIST_QA_DOS1)) + $(call mb_package_emuctl,$(TEST_DIST_QA_DOS1)) + $(call mb_package_dos1,$(TEST_DIST_QA_DOS1)) + +$(TEST_DIST_QA_DOS1)/ahello1.com: bin/test/dist/ahello1.com | $(TEST_DIST_QA_DOS1) + $(call mb_copy,$<,$@) + +$(TEST_DIST_QA_DOS1)/ahello2.com: bin/test/dist/ahello2.com | $(TEST_DIST_QA_DOS1) + $(call mb_copy,$<,$@) + +.PHONY: test-dist-qa-dos1-run +test-dist-qa-dos1-run: | $(TEST_DIST_QA_DOS1)/ahello1.com $(TEST_DIST_QA_DOS1)/ahello2.com + $(call mb_autoexec_open_gui,$(TEST_DIST_QA_DOS1)) + $(call mb_openmsx_dosctl,$(TEST_DIST_QA_DOS1)) + +.PHONY: test-dist-qa-dos1-assert +test-dist-qa-dos1-assert: | $(TEST_DIST_QA_DOS1)/ahello1.com $(TEST_DIST_QA_DOS1)/ahello2.com + $(call mb_delete,$(TEST_DIST_QA_DOS1)/test.out) + $(call mb_autoexec_write_default,$(TEST_DIST_QA_DOS1)) + $(call mb_autoexec_append_cmd_test,$(TEST_DIST_QA_DOS1),ahello1) + $(call mb_autoexec_append_cmd_test,$(TEST_DIST_QA_DOS1),ahello2) + $(call mb_autoexec_append_exit,$(TEST_DIST_QA_DOS1)) + $(call mb_openmsx_dosctl,$(TEST_DIST_QA_DOS1)) + diff --git a/test/dist-qa-dos2/0module.mk b/test/dist-qa-dos2/0module.mk new file mode 100644 index 0000000..f69e346 --- /dev/null +++ b/test/dist-qa-dos2/0module.mk @@ -0,0 +1,30 @@ + +TEST_DIST_QA_DOS2 := $(PATH_BIN)/test/dist-qa-dos2 +BUILD_HELP += \\n\\t* test-dist-qa-dos2-run\\n\\t* test-dist-qa-dos2-assert + +$(TEST_DIST_QA_DOS2): + $(call mb_mkdir,$(TEST_DIST_QA_DOS2)) + $(call mb_package_emuctl,$(TEST_DIST_QA_DOS2)) + $(call mb_package_dos2,$(TEST_DIST_QA_DOS2)) + +$(TEST_DIST_QA_DOS2)/ahello1.com: bin/test/dist/ahello1.com | $(TEST_DIST_QA_DOS2) + $(call mb_copy,$<,$@) + +$(TEST_DIST_QA_DOS2)/ahello2.com: bin/test/dist/ahello2.com | $(TEST_DIST_QA_DOS2) + $(call mb_copy,$<,$@) + +.PHONY: test-dist-qa-dos2-run +test-dist-qa-dos2-run: | $(TEST_DIST_QA_DOS2)/ahello1.com $(TEST_DIST_QA_DOS2)/ahello2.com + $(call mb_autoexec_open_gui,$(TEST_DIST_QA_DOS2)) + $(call mb_openmsx_dosctl,$(TEST_DIST_QA_DOS2)) + +.PHONY: test-dist-qa-dos2-assert +test-dist-qa-dos2-assert: | $(TEST_DIST_QA_DOS2)/ahello1.com $(TEST_DIST_QA_DOS2)/ahello2.com + $(call mb_delete,$(TEST_DIST_QA_DOS2)/test.out) + $(call mb_autoexec_write_default,$(TEST_DIST_QA_DOS2)) + $(call mb_autoexec_append_cmd_test,$(TEST_DIST_QA_DOS2),ahello1 > ahello1.out) + $(call mb_autoexec_append_cmd_test,$(TEST_DIST_QA_DOS2),ahello2 > ahello2.out) + $(call mb_autoexec_append_exit,$(TEST_DIST_QA_DOS2)) + $(call mb_openmsx_dosctl,$(TEST_DIST_QA_DOS2)) + grep "M80: Hello world..." $(TEST_DIST_QA_DOS2)/ahello1.out + grep "SDCC: Hello world..." $(TEST_DIST_QA_DOS2)/ahello2.out diff --git a/test/dist/0module.mk b/test/dist/0module.mk new file mode 100644 index 0000000..a872098 --- /dev/null +++ b/test/dist/0module.mk @@ -0,0 +1,25 @@ + +TEST_DIST_NAME := dist +TEST_DIST_SRC := test/$(TEST_DIST_NAME) +TEST_DIST_BIN := $(PATH_BIN)/test/$(TEST_DIST_NAME) +TEST_DIST_OUT := $(PATH_BIN)/test/$(TEST_DIST_NAME).tar.gz +TEST_DIST_INC := readme.txt +BUILD_HELP += \\n\\t* $(TEST_DIST_OUT) + +$(TEST_DIST_BIN): + $(call mb_mkdir,$(TEST_DIST_BIN)) + +$(TEST_DIST_BIN)/readme.txt: $(TEST_DIST_SRC)/readme.txt | $(TEST_DIST_BIN) + $(call mb_copy,$<,$@) + +$(TEST_DIST_BIN)/ahello1.com: bin/test/ahello-m80/ahello.com | $(TEST_DIST_BIN) + $(call mb_copy,$<,$@) + +$(TEST_DIST_BIN)/ahello2.com: bin/test/ahello-sdcc/ahello.com | $(TEST_DIST_BIN) + $(call mb_copy,$<,$@) + +$(TEST_DIST_OUT): \ + $(TEST_DIST_BIN)/readme.txt \ + $(TEST_DIST_BIN)/ahello1.com \ + $(TEST_DIST_BIN)/ahello2.com + $(call mb_create_dist,$(TEST_DIST_OUT),$(TEST_DIST_BIN)) diff --git a/test/dist/readme.txt b/test/dist/readme.txt new file mode 100644 index 0000000..bd53a40 --- /dev/null +++ b/test/dist/readme.txt @@ -0,0 +1,2 @@ + +Test readme From 54f8016eadf44784cc10aef1433859051a56f3ea Mon Sep 17 00:00:00 2001 From: Willem Date: Wed, 26 Jun 2024 03:21:06 +0200 Subject: [PATCH 011/274] Used proper make for qa copy. --- test/dist-qa-dos1/0module.mk | 14 ++++++-------- test/dist-qa-dos2/0module.mk | 14 ++++++-------- test/dist/0module.mk | 16 ++++++++-------- 3 files changed, 20 insertions(+), 24 deletions(-) diff --git a/test/dist-qa-dos1/0module.mk b/test/dist-qa-dos1/0module.mk index 9938a86..e50c7fb 100644 --- a/test/dist-qa-dos1/0module.mk +++ b/test/dist-qa-dos1/0module.mk @@ -1,25 +1,23 @@ -TEST_DIST_QA_DOS1 := $(PATH_BIN)/test/dist-qa-dos1 -BUILD_HELP += \\n\\t* test-dist-qa-dos1-run\\n\\t* test-dist-qa-dos1-assert +TEST_DIST_QA_DOS1 := $(PATH_BIN)/test/dist-qa-dos1 +TEST_DIST_QA_DOS1_DEPS := $(subst dist,dist-qa-dos1,$(TEST_DIST_DEPS)) +BUILD_HELP += \\n\\t* test-dist-qa-dos1-run\\n\\t* test-dist-qa-dos1-assert $(TEST_DIST_QA_DOS1): $(call mb_mkdir,$(TEST_DIST_QA_DOS1)) $(call mb_package_emuctl,$(TEST_DIST_QA_DOS1)) $(call mb_package_dos1,$(TEST_DIST_QA_DOS1)) -$(TEST_DIST_QA_DOS1)/ahello1.com: bin/test/dist/ahello1.com | $(TEST_DIST_QA_DOS1) - $(call mb_copy,$<,$@) - -$(TEST_DIST_QA_DOS1)/ahello2.com: bin/test/dist/ahello2.com | $(TEST_DIST_QA_DOS1) +$(TEST_DIST_QA_DOS1)/%: bin/test/dist/% | $(TEST_DIST_QA_DOS1) $(TEST_DIST_DEPS) $(call mb_copy,$<,$@) .PHONY: test-dist-qa-dos1-run -test-dist-qa-dos1-run: | $(TEST_DIST_QA_DOS1)/ahello1.com $(TEST_DIST_QA_DOS1)/ahello2.com +test-dist-qa-dos1-run: | $(TEST_DIST_QA_DOS1_DEPS) $(call mb_autoexec_open_gui,$(TEST_DIST_QA_DOS1)) $(call mb_openmsx_dosctl,$(TEST_DIST_QA_DOS1)) .PHONY: test-dist-qa-dos1-assert -test-dist-qa-dos1-assert: | $(TEST_DIST_QA_DOS1)/ahello1.com $(TEST_DIST_QA_DOS1)/ahello2.com +test-dist-qa-dos1-assert: | $(TEST_DIST_QA_DOS1_DEPS) $(call mb_delete,$(TEST_DIST_QA_DOS1)/test.out) $(call mb_autoexec_write_default,$(TEST_DIST_QA_DOS1)) $(call mb_autoexec_append_cmd_test,$(TEST_DIST_QA_DOS1),ahello1) diff --git a/test/dist-qa-dos2/0module.mk b/test/dist-qa-dos2/0module.mk index f69e346..71c2a99 100644 --- a/test/dist-qa-dos2/0module.mk +++ b/test/dist-qa-dos2/0module.mk @@ -1,25 +1,23 @@ -TEST_DIST_QA_DOS2 := $(PATH_BIN)/test/dist-qa-dos2 -BUILD_HELP += \\n\\t* test-dist-qa-dos2-run\\n\\t* test-dist-qa-dos2-assert +TEST_DIST_QA_DOS2 := $(PATH_BIN)/test/dist-qa-dos2 +TEST_DIST_QA_DOS2_DEPS := $(subst dist,dist-qa-dos2,$(TEST_DIST_DEPS)) +BUILD_HELP += \\n\\t* test-dist-qa-dos2-run\\n\\t* test-dist-qa-dos2-assert $(TEST_DIST_QA_DOS2): $(call mb_mkdir,$(TEST_DIST_QA_DOS2)) $(call mb_package_emuctl,$(TEST_DIST_QA_DOS2)) $(call mb_package_dos2,$(TEST_DIST_QA_DOS2)) -$(TEST_DIST_QA_DOS2)/ahello1.com: bin/test/dist/ahello1.com | $(TEST_DIST_QA_DOS2) - $(call mb_copy,$<,$@) - -$(TEST_DIST_QA_DOS2)/ahello2.com: bin/test/dist/ahello2.com | $(TEST_DIST_QA_DOS2) +$(TEST_DIST_QA_DOS2)/%: bin/test/dist/% | $(TEST_DIST_QA_DOS2) $(TEST_DIST_DEPS) $(call mb_copy,$<,$@) .PHONY: test-dist-qa-dos2-run -test-dist-qa-dos2-run: | $(TEST_DIST_QA_DOS2)/ahello1.com $(TEST_DIST_QA_DOS2)/ahello2.com +test-dist-qa-dos2-run: | $(TEST_DIST_QA_DOS2_DEPS) $(call mb_autoexec_open_gui,$(TEST_DIST_QA_DOS2)) $(call mb_openmsx_dosctl,$(TEST_DIST_QA_DOS2)) .PHONY: test-dist-qa-dos2-assert -test-dist-qa-dos2-assert: | $(TEST_DIST_QA_DOS2)/ahello1.com $(TEST_DIST_QA_DOS2)/ahello2.com +test-dist-qa-dos2-assert: | $(TEST_DIST_QA_DOS2_DEPS) $(call mb_delete,$(TEST_DIST_QA_DOS2)/test.out) $(call mb_autoexec_write_default,$(TEST_DIST_QA_DOS2)) $(call mb_autoexec_append_cmd_test,$(TEST_DIST_QA_DOS2),ahello1 > ahello1.out) diff --git a/test/dist/0module.mk b/test/dist/0module.mk index a872098..6ca2a1b 100644 --- a/test/dist/0module.mk +++ b/test/dist/0module.mk @@ -3,23 +3,23 @@ TEST_DIST_NAME := dist TEST_DIST_SRC := test/$(TEST_DIST_NAME) TEST_DIST_BIN := $(PATH_BIN)/test/$(TEST_DIST_NAME) TEST_DIST_OUT := $(PATH_BIN)/test/$(TEST_DIST_NAME).tar.gz -TEST_DIST_INC := readme.txt -BUILD_HELP += \\n\\t* $(TEST_DIST_OUT) +TEST_DIST_DEPS := $(TEST_DIST_BIN)/readme.txt $(TEST_DIST_BIN)/ahello1.com $(TEST_DIST_BIN)/ahello2.com +BUILD_HELP += \\n\\t* $(TEST_DIST_OUT)\\n\\t* test-dist-prepare $(TEST_DIST_BIN): $(call mb_mkdir,$(TEST_DIST_BIN)) $(TEST_DIST_BIN)/readme.txt: $(TEST_DIST_SRC)/readme.txt | $(TEST_DIST_BIN) - $(call mb_copy,$<,$@) + $(call mb_unix2dos,$<,$@) $(TEST_DIST_BIN)/ahello1.com: bin/test/ahello-m80/ahello.com | $(TEST_DIST_BIN) $(call mb_copy,$<,$@) $(TEST_DIST_BIN)/ahello2.com: bin/test/ahello-sdcc/ahello.com | $(TEST_DIST_BIN) $(call mb_copy,$<,$@) - -$(TEST_DIST_OUT): \ - $(TEST_DIST_BIN)/readme.txt \ - $(TEST_DIST_BIN)/ahello1.com \ - $(TEST_DIST_BIN)/ahello2.com + +.PHONY: test-dist-prepare +test-dist-prepare: | $(TEST_DIST_DEPS) + +$(TEST_DIST_OUT): | test-dist-prepare $(call mb_create_dist,$(TEST_DIST_OUT),$(TEST_DIST_BIN)) From 83caa0ac745660e3c0ba1b144262fc036dde573a Mon Sep 17 00:00:00 2001 From: Willem Date: Wed, 26 Jun 2024 03:28:33 +0200 Subject: [PATCH 012/274] Fixed rename of test output. --- test/ahello-m80/0module.mk | 2 +- test/ahello-sdcc/0module.mk | 2 +- test/dist-qa-dos2/0module.mk | 3 ++- 3 files changed, 4 insertions(+), 3 deletions(-) diff --git a/test/ahello-m80/0module.mk b/test/ahello-m80/0module.mk index 7f42487..0193501 100644 --- a/test/ahello-m80/0module.mk +++ b/test/ahello-m80/0module.mk @@ -30,7 +30,7 @@ test-ahello-m80-run: $(TEST_AHELLO_M80)/ahello.com .PHONY: test-ahello-m80-assert test-ahello-m80-assert: $(TEST_AHELLO_M80)/ahello.com - $(call mb_delete,$(TEST_AHELLO_M80)/test.out) + $(call mb_delete,$(TEST_AHELLO_M80)/ahello.out) $(call mb_autoexec_cmd_test,$(TEST_AHELLO_M80),ahello > ahello.out) $(call mb_openmsx_dosctl,$(TEST_AHELLO_M80)) grep "M80: Hello world..." $(TEST_AHELLO_M80)/ahello.out diff --git a/test/ahello-sdcc/0module.mk b/test/ahello-sdcc/0module.mk index b5b6ae7..8bec363 100644 --- a/test/ahello-sdcc/0module.mk +++ b/test/ahello-sdcc/0module.mk @@ -23,7 +23,7 @@ test-ahello-sdcc-run: $(TEST_AHELLO_SDCC)/ahello.com .PHONY: test-ahello-sdcc-assert test-ahello-sdcc-assert: $(TEST_AHELLO_SDCC)/ahello.com - $(call mb_delete,$(TEST_AHELLO_SDCC)/test.out) + $(call mb_delete,$(TEST_AHELLO_SDCC)/ahello.out) $(call mb_autoexec_cmd_test,$(TEST_AHELLO_SDCC),ahello > ahello.out) $(call mb_openmsx_dosctl,$(TEST_AHELLO_SDCC)) grep "SDCC: Hello world..." $(TEST_AHELLO_SDCC)/ahello.out diff --git a/test/dist-qa-dos2/0module.mk b/test/dist-qa-dos2/0module.mk index 71c2a99..0a78b73 100644 --- a/test/dist-qa-dos2/0module.mk +++ b/test/dist-qa-dos2/0module.mk @@ -18,7 +18,8 @@ test-dist-qa-dos2-run: | $(TEST_DIST_QA_DOS2_DEPS) .PHONY: test-dist-qa-dos2-assert test-dist-qa-dos2-assert: | $(TEST_DIST_QA_DOS2_DEPS) - $(call mb_delete,$(TEST_DIST_QA_DOS2)/test.out) + $(call mb_delete,$(TEST_DIST_QA_DOS2)/ahello1.out) + $(call mb_delete,$(TEST_DIST_QA_DOS2)/ahello2.out) $(call mb_autoexec_write_default,$(TEST_DIST_QA_DOS2)) $(call mb_autoexec_append_cmd_test,$(TEST_DIST_QA_DOS2),ahello1 > ahello1.out) $(call mb_autoexec_append_cmd_test,$(TEST_DIST_QA_DOS2),ahello2 > ahello2.out) From 584b9ecf5ea824ca13a5255c14bffab0094a660a Mon Sep 17 00:00:00 2001 From: Willem Date: Wed, 26 Jun 2024 03:48:06 +0200 Subject: [PATCH 013/274] Updated build speeds. --- README.md | 18 +++++++++++------- 1 file changed, 11 insertions(+), 7 deletions(-) diff --git a/README.md b/README.md index 355103a..6d25da3 100644 --- a/README.md +++ b/README.md @@ -49,16 +49,20 @@ Then build result based compile rules see an example in; `test/ahello-m80/0modul When you want to see whats happening do a debug run; normal run; - time make clean assert-all - real 0m1.938s + time make assert-all + real 0m2.323s - debug run; - time MB_OPENMSX_RENDERER=SDL MB_OPENMSX_THROTTLE=ON make clean assert-all - real 0m32.977s + debug run at 11 Mhz; + time MB_OPENMSX_RENDERER=SDL MB_OPENMSX_THROTTLE=ON make assert-all + real 0m40.930s debug run real msx speed; - time MB_OPENMSX_RENDERER=SDL MB_OPENMSX_THROTTLE=ON MB_OPENMSX_SPEED=100 make clean assert-all - real 1m44.184s + time MB_OPENMSX_RENDERER=SDL MB_OPENMSX_THROTTLE=ON MB_OPENMSX_SPEED=100 make assert-all + real 2m8.761s + + build parallel; + time make -j4 assert-all + real 0m1.346s ## Functions From 945485062dc0554935d5d30c0d740540463959ee Mon Sep 17 00:00:00 2001 From: Willem Date: Wed, 26 Jun 2024 23:09:49 +0200 Subject: [PATCH 014/274] Removed boot beep of MSX. --- lib/make/mb_openmsx.mk | 4 +++- lib/openmsx/boot_env.tcl | 7 +++++++ 2 files changed, 10 insertions(+), 1 deletion(-) diff --git a/lib/make/mb_openmsx.mk b/lib/make/mb_openmsx.mk index bd9c2d0..3bd145d 100644 --- a/lib/make/mb_openmsx.mk +++ b/lib/make/mb_openmsx.mk @@ -15,8 +15,9 @@ MB_OPENMSX_THROTTLE ?= off MB_OPENMSX_RENDERER ?= MB_OPENMSX_CTLCACHE ?= $(MB_CACHE)/omsxctl MB_OPENMSX_SAVE_ON_EXIT ?= off +MB_OPENMSX_SOUND_DRIVER ?= null -# TODO: create openMSX issue to control extensions from the command line. +# TODO: create openMSX issue to clean share: https://github.com/openMSX/openMSX/issues/1672 define _mb_openmsx_setup $(if $(wildcard $(MB_OPENMSX_CTLCACHE)),,$(call mb_mkdir,$(MB_OPENMSX_CTLCACHE))) $(call mb_msxhub_file,$(MB_OPENMSX_CTLCACHE),OMSXCTL/1.0-1/get/OMSXCTL/omsxctl.tcl) @@ -36,6 +37,7 @@ define _mb_openmsx_run SCALE_FACTOR=$(MB_OPENMSX_SCALE_FACTOR) \ SPEED=$(MB_OPENMSX_SPEED) \ SAVE_SETTINGS_ON_EXIT=$(MB_OPENMSX_SAVE_ON_EXIT) \ + SOUND_DRIVER=$(MB_OPENMSX_SOUND_DRIVER) \ RENDERER=$(MB_OPENMSX_RENDERER) \ THROTTLE=$(MB_OPENMSX_THROTTLE) \ $(PATH_OPENMSX)/openmsx \ diff --git a/lib/openmsx/boot_env.tcl b/lib/openmsx/boot_env.tcl index 70edb9b..ec3da45 100644 --- a/lib/openmsx/boot_env.tcl +++ b/lib/openmsx/boot_env.tcl @@ -32,6 +32,13 @@ if {[info exists ::env(SAVE_SETTINGS_ON_EXIT)] && ([string trim $::env(SAVE_SETT } } +if {[info exists ::env(SOUND_DRIVER)] && ([string trim $::env(SOUND_DRIVER)] != "")} { + if {[catch {set sound_driver [string trim $::env(SOUND_DRIVER)]} err_msg]} { + puts stderr "error: env.SOUND_DRIVER value $err_msg" + exit 1 + } +} + if {[info exists ::env(RENDERER)] && ([string trim $::env(RENDERER)] != "")} { if {[catch {set renderer [string trim $::env(RENDERER)]} err_msg]} { puts stderr "error: env.RENDERER value $err_msg" From c9e5ec0b936cec6d9598c32794563695fdabeeaf Mon Sep 17 00:00:00 2001 From: Willem Date: Wed, 26 Jun 2024 23:14:15 +0200 Subject: [PATCH 015/274] test sound argument. --- lib/make/mb_openmsx.mk | 1 + 1 file changed, 1 insertion(+) diff --git a/lib/make/mb_openmsx.mk b/lib/make/mb_openmsx.mk index 3bd145d..07f0730 100644 --- a/lib/make/mb_openmsx.mk +++ b/lib/make/mb_openmsx.mk @@ -51,6 +51,7 @@ define _mb_openmsx_run -script $(PATH_MSXBUILD_REAL)/lib/openmsx/boot_hdd.tcl \ -script $(PATH_MSXBUILD_REAL)/lib/openmsx/fail_after.tcl \ -setting $(PATH_MSXBUILD_REAL)/lib/openmsx/boot_setting.xml \ + -command "set sound_driver null" \ -control stdio < $(PATH_MSXBUILD_REAL)/lib/openmsx/boot_stdio.xml endef From 158cb6a887f51e27eb310e5f9b880a5f9caac356 Mon Sep 17 00:00:00 2001 From: Willem Date: Wed, 26 Jun 2024 23:15:09 +0200 Subject: [PATCH 016/274] Disable sound before power on. --- lib/openmsx/boot_stdio.xml | 1 + 1 file changed, 1 insertion(+) diff --git a/lib/openmsx/boot_stdio.xml b/lib/openmsx/boot_stdio.xml index 6cfb7ca..c49ca68 100644 --- a/lib/openmsx/boot_stdio.xml +++ b/lib/openmsx/boot_stdio.xml @@ -3,5 +3,6 @@ When booting 'openmsx -control stdio < boot_stdio.xml' It starts then in power off mode and without renderer for fast booting. --> + set sound_driver null set power on From 7a2abf641814efd184a344c6d4ccc41219bbbfe8 Mon Sep 17 00:00:00 2001 From: Willem Date: Wed, 26 Jun 2024 23:27:29 +0200 Subject: [PATCH 017/274] Added SOUND_DRIVER documentation. --- lib/make/mb_openmsx.mk | 1 - lib/openmsx/boot_env.tcl | 3 +++ lib/openmsx/boot_stdio.xml | 1 - 3 files changed, 3 insertions(+), 2 deletions(-) diff --git a/lib/make/mb_openmsx.mk b/lib/make/mb_openmsx.mk index 07f0730..3bd145d 100644 --- a/lib/make/mb_openmsx.mk +++ b/lib/make/mb_openmsx.mk @@ -51,7 +51,6 @@ define _mb_openmsx_run -script $(PATH_MSXBUILD_REAL)/lib/openmsx/boot_hdd.tcl \ -script $(PATH_MSXBUILD_REAL)/lib/openmsx/fail_after.tcl \ -setting $(PATH_MSXBUILD_REAL)/lib/openmsx/boot_setting.xml \ - -command "set sound_driver null" \ -control stdio < $(PATH_MSXBUILD_REAL)/lib/openmsx/boot_stdio.xml endef diff --git a/lib/openmsx/boot_env.tcl b/lib/openmsx/boot_env.tcl index ec3da45..41e2c77 100644 --- a/lib/openmsx/boot_env.tcl +++ b/lib/openmsx/boot_env.tcl @@ -6,6 +6,9 @@ # SAVE_SETTINGS_ON_EXIT=off # Disables automatic settings saving. # +# SOUND_DRIVER=null +# Disabled sound to remove boot beeps. +# # RENDERER=SDL # Override video output from command line. # diff --git a/lib/openmsx/boot_stdio.xml b/lib/openmsx/boot_stdio.xml index c49ca68..6cfb7ca 100644 --- a/lib/openmsx/boot_stdio.xml +++ b/lib/openmsx/boot_stdio.xml @@ -3,6 +3,5 @@ When booting 'openmsx -control stdio < boot_stdio.xml' It starts then in power off mode and without renderer for fast booting. --> - set sound_driver null set power on From 83402abc99ff866546f9af40022fd061e49627fe Mon Sep 17 00:00:00 2001 From: Willem Date: Wed, 26 Jun 2024 23:42:15 +0200 Subject: [PATCH 018/274] Test setting sound driver disable. --- lib/openmsx/boot_setting.xml | 1 + 1 file changed, 1 insertion(+) diff --git a/lib/openmsx/boot_setting.xml b/lib/openmsx/boot_setting.xml index 7998b8b..82e6b6b 100644 --- a/lib/openmsx/boot_setting.xml +++ b/lib/openmsx/boot_setting.xml @@ -1,6 +1,7 @@ + null From 6736f3507635229857f5c93831802dbd9cdddd14 Mon Sep 17 00:00:00 2001 From: Willem Date: Wed, 26 Jun 2024 23:57:42 +0200 Subject: [PATCH 019/274] Removed TCL audio override as it can't enable alsa. --- lib/make/mb_openmsx.mk | 1 - lib/openmsx/boot_env.tcl | 10 ---------- 2 files changed, 11 deletions(-) diff --git a/lib/make/mb_openmsx.mk b/lib/make/mb_openmsx.mk index 3bd145d..58ed983 100644 --- a/lib/make/mb_openmsx.mk +++ b/lib/make/mb_openmsx.mk @@ -15,7 +15,6 @@ MB_OPENMSX_THROTTLE ?= off MB_OPENMSX_RENDERER ?= MB_OPENMSX_CTLCACHE ?= $(MB_CACHE)/omsxctl MB_OPENMSX_SAVE_ON_EXIT ?= off -MB_OPENMSX_SOUND_DRIVER ?= null # TODO: create openMSX issue to clean share: https://github.com/openMSX/openMSX/issues/1672 define _mb_openmsx_setup diff --git a/lib/openmsx/boot_env.tcl b/lib/openmsx/boot_env.tcl index 41e2c77..70edb9b 100644 --- a/lib/openmsx/boot_env.tcl +++ b/lib/openmsx/boot_env.tcl @@ -6,9 +6,6 @@ # SAVE_SETTINGS_ON_EXIT=off # Disables automatic settings saving. # -# SOUND_DRIVER=null -# Disabled sound to remove boot beeps. -# # RENDERER=SDL # Override video output from command line. # @@ -35,13 +32,6 @@ if {[info exists ::env(SAVE_SETTINGS_ON_EXIT)] && ([string trim $::env(SAVE_SETT } } -if {[info exists ::env(SOUND_DRIVER)] && ([string trim $::env(SOUND_DRIVER)] != "")} { - if {[catch {set sound_driver [string trim $::env(SOUND_DRIVER)]} err_msg]} { - puts stderr "error: env.SOUND_DRIVER value $err_msg" - exit 1 - } -} - if {[info exists ::env(RENDERER)] && ([string trim $::env(RENDERER)] != "")} { if {[catch {set renderer [string trim $::env(RENDERER)]} err_msg]} { puts stderr "error: env.RENDERER value $err_msg" From d653e900f0d79723b46fd41e367cea33a4043a45 Mon Sep 17 00:00:00 2001 From: Willem Date: Thu, 27 Jun 2024 00:02:36 +0200 Subject: [PATCH 020/274] Moved settings save on exit false to settings override. --- lib/make/mb_openmsx.mk | 3 --- lib/openmsx/boot_env.tcl | 10 ---------- lib/openmsx/boot_setting.xml | 1 + 3 files changed, 1 insertion(+), 13 deletions(-) diff --git a/lib/make/mb_openmsx.mk b/lib/make/mb_openmsx.mk index 58ed983..d4a731e 100644 --- a/lib/make/mb_openmsx.mk +++ b/lib/make/mb_openmsx.mk @@ -14,7 +14,6 @@ MB_OPENMSX_SCALE_FACTOR ?= 3 MB_OPENMSX_THROTTLE ?= off MB_OPENMSX_RENDERER ?= MB_OPENMSX_CTLCACHE ?= $(MB_CACHE)/omsxctl -MB_OPENMSX_SAVE_ON_EXIT ?= off # TODO: create openMSX issue to clean share: https://github.com/openMSX/openMSX/issues/1672 define _mb_openmsx_setup @@ -35,8 +34,6 @@ define _mb_openmsx_run JOYPORTB=$(MB_OPENMSX_JOYPORTB) \ SCALE_FACTOR=$(MB_OPENMSX_SCALE_FACTOR) \ SPEED=$(MB_OPENMSX_SPEED) \ - SAVE_SETTINGS_ON_EXIT=$(MB_OPENMSX_SAVE_ON_EXIT) \ - SOUND_DRIVER=$(MB_OPENMSX_SOUND_DRIVER) \ RENDERER=$(MB_OPENMSX_RENDERER) \ THROTTLE=$(MB_OPENMSX_THROTTLE) \ $(PATH_OPENMSX)/openmsx \ diff --git a/lib/openmsx/boot_env.tcl b/lib/openmsx/boot_env.tcl index 70edb9b..7970c7b 100644 --- a/lib/openmsx/boot_env.tcl +++ b/lib/openmsx/boot_env.tcl @@ -3,9 +3,6 @@ # Typically used in automation tools which run openMSX without human interaction. # Supported environment variables by this script; # -# SAVE_SETTINGS_ON_EXIT=off -# Disables automatic settings saving. -# # RENDERER=SDL # Override video output from command line. # @@ -25,13 +22,6 @@ # Inserts mouse in joyportb. # -if {[info exists ::env(SAVE_SETTINGS_ON_EXIT)] && ([string trim $::env(SAVE_SETTINGS_ON_EXIT)] != "")} { - if {[catch {set save_settings_on_exit [string trim $::env(SAVE_SETTINGS_ON_EXIT)]} err_msg]} { - puts stderr "error: env.SAVE_SETTINGS_ON_EXIT value $err_msg" - exit 1 - } -} - if {[info exists ::env(RENDERER)] && ([string trim $::env(RENDERER)] != "")} { if {[catch {set renderer [string trim $::env(RENDERER)]} err_msg]} { puts stderr "error: env.RENDERER value $err_msg" diff --git a/lib/openmsx/boot_setting.xml b/lib/openmsx/boot_setting.xml index 82e6b6b..4ddb0ee 100644 --- a/lib/openmsx/boot_setting.xml +++ b/lib/openmsx/boot_setting.xml @@ -1,6 +1,7 @@ + false null From 567f91be784b38f95cf45df680f1630d18088225 Mon Sep 17 00:00:00 2001 From: Willem Date: Thu, 27 Jun 2024 16:58:21 +0200 Subject: [PATCH 021/274] WIP Converted to per process openMSX share folder. --- lib/make/mb_autoexec.mk | 32 ++++++----- lib/make/mb_base.mk | 42 --------------- lib/make/mb_msxhub.mk | 8 +-- lib/make/mb_msxrom.mk | 59 +++++++++++++++++++++ lib/make/mb_openmsx.mk | 36 +++++++------ lib/make/msxbuild.mk | 54 +++++++++++++++++-- lib/openmsx/boot_setting.xml | 8 --- lib/openmsx/boot_stdio.xml | 7 --- lib/openmsx/{ => extensions}/ide-nextor.xml | 0 lib/openmsx/{ => scripts}/boot_env.tcl | 0 lib/openmsx/{ => scripts}/boot_hdd.tcl | 0 lib/openmsx/{ => scripts}/fail_after.tcl | 0 lib/openmsx/settings.xml | 8 +++ lib/openmsx/stdio.xml | 3 ++ test/0module.mk | 3 +- test/dist-qa-dos1/0module.mk | 5 +- test/dist-qa-dos2/0module.mk | 4 +- test/dist-qa-msx1/0module.mk | 32 +++++++++++ 18 files changed, 197 insertions(+), 104 deletions(-) delete mode 100644 lib/make/mb_base.mk create mode 100644 lib/make/mb_msxrom.mk delete mode 100644 lib/openmsx/boot_setting.xml delete mode 100644 lib/openmsx/boot_stdio.xml rename lib/openmsx/{ => extensions}/ide-nextor.xml (100%) rename lib/openmsx/{ => scripts}/boot_env.tcl (100%) rename lib/openmsx/{ => scripts}/boot_hdd.tcl (100%) rename lib/openmsx/{ => scripts}/fail_after.tcl (100%) create mode 100644 lib/openmsx/settings.xml create mode 100644 lib/openmsx/stdio.xml create mode 100644 test/dist-qa-msx1/0module.mk diff --git a/lib/make/mb_autoexec.mk b/lib/make/mb_autoexec.mk index 14003bc..eb5e4ac 100644 --- a/lib/make/mb_autoexec.mk +++ b/lib/make/mb_autoexec.mk @@ -1,8 +1,6 @@ MB_AUTOEXEC_BYSELF ?= true -MB_AUTOEXEC_BYSELF_MSG ?= Generated by msxbuild Makefile -MB_AUTOEXEC_TEXTMODE ?= 80 -MB_AUTOEXEC_TEXTCOLOR ?= 15,0,0 +MB_AUTOEXEC_BYSELF_MSG ?= Run msxbuild: MB_AUTOEXEC_VERSION ?= true MB_AUTOEXEC_STARTUP_TIMEOUT ?= 60 MB_AUTOEXEC_STARTUP_EXITCODE ?= 124 @@ -33,21 +31,25 @@ endef define mb_autoexec_append_exit $(call mb_autoexec_append_cmd,$(1),omsxctl exit 0) endef -define mb_autoexec_append_cmd_test +define mb_autoexec_append_safe_test $(call mb_autoexec_append_cmd,$(1),omsxctl fail_after $(MB_AUTOEXEC_TEST_TIMEOUT) seconds failed_test $(MB_AUTOEXEC_TEST_EXITCODE)) $(call mb_autoexec_append_echo,$(1),Running test '$(2)') $(call mb_autoexec_append_cmd,$(1),$(2)) endef +define mb_autoexec_append_safe_cmd + $(call mb_autoexec_append_cmd,$(1),omsxctl fail_after $(MB_AUTOEXEC_CMD_TIMEOUT) seconds failed_cmd $(MB_AUTOEXEC_CMD_EXITCODE)) + $(call mb_autoexec_append_echo,$(1),Running test '$(2)') + $(call mb_autoexec_append_cmd,$(1),$(2)) +endef define mb_autoexec_write_default echo -n "" > $(1)/autoexec.bat - $(if $(filter true,$(MB_AUTOEXEC_BYSELF)),$(call mb_autoexec_append_rem,$(1),$(MB_AUTOEXEC_BYSELF_MSG))) - $(call mb_autoexec_append_cmd,$(1),omsxctl fail_after $(MB_AUTOEXEC_STARTUP_TIMEOUT) seconds failed_autoexec $(MB_AUTOEXEC_STARTUP_EXITCODE)) - $(if $(filter 40,$(MB_AUTOEXEC_TEXTMODE)),$(call mb_autoexec_append_cmd,$(1),mode 40)) - $(if $(filter 40,$(MB_AUTOEXEC_TEXTMODE)),$(call mb_autoexec_append_echo,$(1),Enabled mode 40.)) - $(if $(filter 80,$(MB_AUTOEXEC_TEXTMODE)),$(call mb_autoexec_append_cmd,$(1),mode 80)) - $(if $(filter 80,$(MB_AUTOEXEC_TEXTMODE)),$(call mb_autoexec_append_echo,$(1),Enabled mode 80.)) - $(call mb_autoexec_append_cmd,$(1),COLOR $(MB_AUTOEXEC_TEXTCOLOR)) + $(if $(filter 40,$(2)),$(call mb_autoexec_append_cmd,$(1),mode $(2)),$(call mb_autoexec_append_cmd,$(1),mode 80)) + $(if $(filter 40,$(2)),$(call mb_autoexec_append_echo,$(1),Enabled mode $(2).),$(call mb_autoexec_append_echo,$(1),Enabled mode 80.)) + $(call mb_autoexec_append_cmd,$(1),omsxctl fail_after $(MB_AUTOEXEC_STARTUP_TIMEOUT) seconds failed_exec $(MB_AUTOEXEC_STARTUP_EXITCODE)) + $(call mb_autoexec_append_cmd,$(1),omsxctl setcolor 4 000 > NUL) + $(call mb_autoexec_append_cmd,$(1),omsxctl setcolor 15 777 > NUL) $(if $(filter true,$(MB_AUTOEXEC_VERSION)),$(call mb_autoexec_append_cmd,$(1),ver)) + $(if $(filter true,$(MB_AUTOEXEC_BYSELF)),$(call mb_autoexec_append_echo,$(1),$(MB_AUTOEXEC_BYSELF_MSG) $(notdir $(1)))) endef define mb_autoexec_open_gui @@ -65,16 +67,12 @@ endef define mb_autoexec_cmd @echo === Generating autoexec.bat for command $(call mb_autoexec_write_default,$(1)) - $(call mb_autoexec_append_cmd,$(1),omsxctl fail_after $(MB_AUTOEXEC_CMD_TIMEOUT) seconds failed_cmd $(MB_AUTOEXEC_CMD_EXITCODE)) - $(call mb_autoexec_append_echo,$(1),Running command '$(2)') - $(call mb_autoexec_append_cmd,$(1),$(2)) + $(call mb_autoexec_append_safe_cmd,$(1),$(2)) $(call mb_autoexec_append_exit,$(1)) endef define mb_autoexec_cmd_test @echo === Generating autoexec.bat for command test $(call mb_autoexec_write_default,$(1)) - $(call mb_autoexec_append_cmd,$(1),omsxctl fail_after $(MB_AUTOEXEC_TEST_TIMEOUT) seconds failed_test $(MB_AUTOEXEC_TEST_EXITCODE)) - $(call mb_autoexec_append_echo,$(1),Running test '$(2)') - $(call mb_autoexec_append_cmd,$(1),$(2)) + $(call mb_autoexec_append_safe_test,$(1),$(2)) $(call mb_autoexec_append_exit,$(1)) endef diff --git a/lib/make/mb_base.mk b/lib/make/mb_base.mk deleted file mode 100644 index 651c953..0000000 --- a/lib/make/mb_base.mk +++ /dev/null @@ -1,42 +0,0 @@ - -# OS cmds -ifeq ($(OS),Windows_NT) - MB_RM = del /F /Q - MB_RMDIR = RMDIR /S /Q - MB_MKDIR = mkdir - MB_COPY = copy - MB_ERRIGNORE = 2>NUL || true - MB_SEP=\\ - MB_CACHE ?= %LOCALAPPDATA% -else - MB_RM = rm -f - MB_RMDIR = rm -rf - MB_MKDIR = mkdir -p - MB_COPY = cp - MB_ERRIGNORE = 2>/dev/null - MB_SEP=/ - MB_CACHE ?= ~/.cache -endif - -# Remove space after separator -MB_PSEP = $(strip $(MB_SEP)) - -# Needed for comma in call arguments (like for l80.com) -MB_COMMA:=, - -define mb_clean - @echo === Cleaning build folder. - test $(1) && $(MB_RMDIR) $(1) -endef -define mb_mkdir - $(MB_MKDIR) $(1) -endef -define mb_delete - test $(1) && $(MB_RM) $(1) -endef -define mb_copy - $(MB_COPY) $(1) $(2) -endef -define mb_create_dist - tar -czf $(1) -C $(2) `ls $(2)` -endef diff --git a/lib/make/mb_msxhub.mk b/lib/make/mb_msxhub.mk index ac39738..10a1a85 100644 --- a/lib/make/mb_msxhub.mk +++ b/lib/make/mb_msxhub.mk @@ -1,11 +1,6 @@ MB_MSXHUB_API ?= https://msxhub.com/api -MB_MSXHUB_CACHE ?= $(MB_CACHE)/msxhub/repro-v0 - -# NOTE: with HDD import/export we get duplicate files after openMSX run, so force to lowercase. -define _mb_lowercase -$(subst A,a,$(subst B,b,$(subst C,c,$(subst D,d,$(subst E,e,$(subst F,f,$(subst G,g,$(subst H,h,$(subst I,i,$(subst J,j,$(subst K,k,$(subst L,l,$(subst M,m,$(subst N,n,$(subst O,o,$(subst P,p,$(subst Q,q,$(subst R,r,$(subst S,s,$(subst T,t,$(subst U,u,$(subst V,v,$(subst W,w,$(subst X,x,$(subst Y,y,$(subst Z,z,$(1))))))))))))))))))))))))))) -endef +MB_MSXHUB_CACHE ?= $(MB_CACHE)/msxbuild/msxhub define _mb_msxhub_file_fetch @echo === Fetch msxhub file @@ -13,6 +8,7 @@ define _mb_msxhub_file_fetch $(if $(wildcard $(MB_MSXHUB_CACHE)/$(subst $(MB_MSXHUB_API)/,,$(1))),,wget -O $(MB_MSXHUB_CACHE)/$(subst $(MB_MSXHUB_API)/,,$(1)) $(1)) endef +# NOTE: with HDD import/export we get duplicate files after openMSX run, so force to lowercase. define mb_msxhub_file $(if $(wildcard $(MB_MSXHUB_CACHE)/$(2)),,$(call _mb_msxhub_file_fetch,$(MB_MSXHUB_API)/$(2))) $(if $(wildcard $(1)/$(call _mb_lowercase,$(notdir $(2)))),,$(call mb_copy,$(MB_MSXHUB_CACHE)/$(2),$(1)/$(call _mb_lowercase,$(notdir $(2))))) diff --git a/lib/make/mb_msxrom.mk b/lib/make/mb_msxrom.mk new file mode 100644 index 0000000..1a91a7c --- /dev/null +++ b/lib/make/mb_msxrom.mk @@ -0,0 +1,59 @@ + +MB_MSXROM_API ?= https://msxrom.distributedrebirth.love/calc-pi/v19.1 +MB_MSXROM_CACHE ?= $(MB_CACHE)/msxbuild/msxrom + +define _mb_msxrom_file_fetch + @echo === Fetch msxrom file + $(if $(wildcard $(dir $(MB_MSXROM_CACHE)/$(subst $(MB_MSXROM_API)/,,$(1)))),,$(call mb_mkdir,$(dir $(MB_MSXROM_CACHE)/$(subst $(MB_MSXROM_API)/,,$(1))))) + $(if $(wildcard $(MB_MSXROM_CACHE)/$(subst $(MB_MSXROM_API)/,,$(1))),,wget -O $(MB_MSXROM_CACHE)/$(subst $(MB_MSXROM_API)/,,$(1)) $(1)) +endef + +define mb_msxrom_file + $(if $(wildcard $(MB_MSXROM_CACHE)/$(2)),,$(call _mb_msxrom_file_fetch,$(MB_MSXROM_API)/$(2))) + $(if $(wildcard $(1)/$(notdir $(2))),,$(call mb_copy,$(MB_MSXROM_CACHE)/$(2),$(1)/$(notdir $(2)))) + $(if $(filter true,$(3)),$(call mb_copy,$(MB_MSXROM_CACHE)/$(2),$(1)/$(notdir $(2)))) +endef + +define mb_msxrom_setup + $(if $(wildcard $(1)-omsx),,$(call mb_mkdir,$(1)-omsx)) + $(if $(wildcard $(1)-omsx/systemroms),,$(call mb_mkdir,$(1)-omsx/systemroms)) + $(if $(wildcard $(1)-omsx/systemroms/machines),,$(call mb_mkdir,$(1)-omsx/systemroms/machines)) + $(if $(wildcard $(1)-omsx/systemroms/extensions),,$(call mb_mkdir,$(1)-omsx/systemroms/extensions)) +endef + +define mb_msxrom_extension_ide_nextor + $(call mb_msxrom_file,$(1)-omsx/systemroms/extensions,extensions/Nextor-2.1.1.SunriseIDE.ROM) +endef + +define mb_msxrom_extension_rs232 + $(call mb_msxrom_file,$(1)-omsx/systemroms/extensions,extensions/rs232.rom) +endef + +define mb_msxrom_extension_fmpac + $(call mb_msxrom_file,$(1)-omsx/systemroms/extensions,extensions/fmpac.rom) +endef + +define mb_msxrom_extension_moonsound + $(call mb_msxrom_file,$(1)-omsx/systemroms/extensions,extensions/yrw801.rom) +endef + +define mb_msxrom_extension_basickun + $(call mb_msxrom_file,$(1)-omsx/systemroms/extensions,machines/sanyo/phc-70fd2_basickun.rom) +endef + +define mb_msxrom_machine_Canon_V-20 + $(call mb_msxrom_file,$(1)-omsx/systemroms/machines,machines/canon/v-20_basic-bios1.rom) +endef + +define mb_msxrom_machine_Philips_NMS_8250 + $(call mb_msxrom_file,$(1)-omsx/systemroms/machines,machines/philips/nms8250_basic-bios2.rom) + $(call mb_msxrom_file,$(1)-omsx/systemroms/machines,machines/philips/nms8250_msx2sub.rom) + $(call mb_msxrom_file,$(1)-omsx/systemroms/machines,machines/philips/nms8250_disk.rom) +endef + +define mb_msxrom_machine_Boosted_MSX2_EN + $(call mb_msxrom_machine_Philips_NMS_8250,$(1)) + $(call mb_msxrom_extension_fmpac,$(1)) + $(call mb_msxrom_extension_moonsound,$(1)) + $(call mb_msxrom_extension_basickun,$(1)) +endef diff --git a/lib/make/mb_openmsx.mk b/lib/make/mb_openmsx.mk index d4a731e..e2419a2 100644 --- a/lib/make/mb_openmsx.mk +++ b/lib/make/mb_openmsx.mk @@ -1,7 +1,7 @@ MB_OPENMSX_PATH_BIN ?= bin MB_OPENMSX_BOOT_TIMEOUT ?= 25 -MB_OPENMSX_MACHINE ?= Philips_NMS_8250 +MB_OPENMSX_MACHINE_DEF ?= Philips_NMS_8250 MB_OPENMSX_SPEED ?= $(if $(findstring turboR,$(MB_OPENMSX_MACHINE)),100,333) MB_OPENMSX_EXTS ?= MB_OPENMSX_EXTRA_SLOT ?= -ext slotexpander @@ -13,14 +13,24 @@ MB_OPENMSX_JOYPORTB ?= MB_OPENMSX_SCALE_FACTOR ?= 3 MB_OPENMSX_THROTTLE ?= off MB_OPENMSX_RENDERER ?= -MB_OPENMSX_CTLCACHE ?= $(MB_CACHE)/omsxctl +MB_OPENMSX_CTLCACHE ?= $(MB_CACHE)/msxbuild/omsxctl -# TODO: create openMSX issue to clean share: https://github.com/openMSX/openMSX/issues/1672 -define _mb_openmsx_setup +define mb_openmsx_setup $(if $(wildcard $(MB_OPENMSX_CTLCACHE)),,$(call mb_mkdir,$(MB_OPENMSX_CTLCACHE))) $(call mb_msxhub_file,$(MB_OPENMSX_CTLCACHE),OMSXCTL/1.0-1/get/OMSXCTL/omsxctl.tcl) $(call mb_msxhub_file,$(MB_OPENMSX_CTLCACHE),OMSXCTL/1.0-1/get/OMSXCTL/omsxctl.com) - $(if $(wildcard ~/.openMSX/share/extensions/ide-nextor.xml),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/openmsx/ide-nextor.xml,~/.openMSX/share/extensions/)) + $(if $(wildcard $(1)-omsx),,$(call mb_mkdir,$(1)-omsx)) + $(if $(wildcard $(1)-omsx/stdio.xml),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/openmsx/stdio.xml,$(1)-omsx)) + $(if $(wildcard $(1)-omsx/scripts),,$(call mb_mkdir,$(1)-omsx/scripts)) + $(if $(wildcard $(1)-omsx/scripts/boot_env.tcl),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/openmsx/scripts/boot_env.tcl,$(1)-omsx/scripts)) + $(if $(wildcard $(1)-omsx/scripts/boot_hdd.tcl),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/openmsx/scripts/boot_hdd.tcl,$(1)-omsx/scripts)) + $(if $(wildcard $(1)-omsx/scripts/fail_after.tcl),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/openmsx/scripts/fail_after.tcl,$(1)-omsx/scripts)) + $(if $(wildcard $(1)-omsx/scripts/omsxctl.tcl),,$(call mb_copy,$(MB_OPENMSX_CTLCACHE)/omsxctl.tcl,$(1)-omsx/scripts)) + $(if $(wildcard $(1)-omsx/extensions),,$(call mb_mkdir,$(1)-omsx/extensions)) + $(if $(wildcard $(1)-omsx/extensions/ide-nextor.xml),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/openmsx/extensions/ide-nextor.xml,$(1)-omsx/extensions)) + $(call mb_msxrom_setup,$(1)) + $(call mb_msxrom_extension_ide_nextor,$(1)) + $(call mb_msxrom_machine_$(2),$(1)) endef define _mb_openmsx_run @@ -29,29 +39,25 @@ define _mb_openmsx_run FAIL_AFTER_PATH=$(MB_OPENMSX_PATH_BIN) \ BOOT_HDD_PATH="$(1)" \ BOOT_HDD_SIZE=$(MB_OPENMSX_HDD_SIZE) \ - BOOT_HDD_IMAGE="$(MB_OPENMSX_PATH_BIN)/dsk-$(notdir $(1)).img" \ + BOOT_HDD_IMAGE="$(1)-omsx/disk-ide-hda.img" \ JOYPORTA=$(MB_OPENMSX_JOYPORTA) \ JOYPORTB=$(MB_OPENMSX_JOYPORTB) \ SCALE_FACTOR=$(MB_OPENMSX_SCALE_FACTOR) \ SPEED=$(MB_OPENMSX_SPEED) \ RENDERER=$(MB_OPENMSX_RENDERER) \ THROTTLE=$(MB_OPENMSX_THROTTLE) \ + OPENMSX_USER_DATA="$(1)-omsx" \ $(PATH_OPENMSX)/openmsx \ - -machine $(MB_OPENMSX_MACHINE) \ + -machine $(2) \ $(MB_OPENMSX_EXTRA_SLOT) \ $(MB_OPENMSX_EXTRA_HDD) \ $(MB_OPENMSX_EXTRA_MEM) \ $(MB_OPENMSX_EXTS) \ - -script $(MB_OPENMSX_CTLCACHE)/omsxctl.tcl \ - -script $(PATH_MSXBUILD_REAL)/lib/openmsx/boot_env.tcl \ - -script $(PATH_MSXBUILD_REAL)/lib/openmsx/boot_hdd.tcl \ - -script $(PATH_MSXBUILD_REAL)/lib/openmsx/fail_after.tcl \ - -setting $(PATH_MSXBUILD_REAL)/lib/openmsx/boot_setting.xml \ - -control stdio < $(PATH_MSXBUILD_REAL)/lib/openmsx/boot_stdio.xml + -control stdio < $(1)-omsx/stdio.xml endef define mb_openmsx_dosctl - $(if $(wildcard $(MB_OPENMSX_CTLCACHE)/omsxctl.tcl),,$(call _mb_openmsx_setup)) + $(call mb_openmsx_setup,$(1),$(if $(2),$(2),$(MB_OPENMSX_MACHINE_DEF))) $(if $(wildcard $(1)/omsxctl.com),,$(call mb_copy,$(MB_OPENMSX_CTLCACHE)/omsxctl.com,$(1))) - $(call _mb_openmsx_run,$(1)) + $(call _mb_openmsx_run,$(1),$(if $(2),$(2),$(MB_OPENMSX_MACHINE_DEF))) endef diff --git a/lib/make/msxbuild.mk b/lib/make/msxbuild.mk index bd86988..35fd18e 100644 --- a/lib/make/msxbuild.mk +++ b/lib/make/msxbuild.mk @@ -2,15 +2,63 @@ # msxbuild.mk - Makefile helper to use with msx projects. # -# Setup required tools paths +# Setup default tools paths PATH_SDCC ?= /usr/bin PATH_OPENMSX ?= /usr/bin PATH_MSXBUILD ?= $(dir $(lastword $(MAKEFILE_LIST)))../.. PATH_MSXBUILD_REAL := $(if $(realpath $(PATH_MSXBUILD)),$(realpath $(PATH_MSXBUILD)),$(PATH_MSXBUILD)) -# Include features -include $(PATH_MSXBUILD)/lib/make/mb_base.mk +# OS cmds +ifeq ($(OS),Windows_NT) + MB_RM = del /F /Q + MB_RMDIR = RMDIR /S /Q + MB_MKDIR = mkdir + MB_COPY = copy + MB_ERRIGNORE = 2>NUL || true + MB_SEP=\\ + MB_CACHE ?= %LOCALAPPDATA% +else + MB_RM = rm -f + MB_RMDIR = rm -rf + MB_MKDIR = mkdir -p + MB_COPY = cp + MB_ERRIGNORE = 2>/dev/null + MB_SEP=/ + MB_CACHE ?= ~/.cache +endif + +# Remove space after separator +MB_PSEP = $(strip $(MB_SEP)) + +# Needed for comma in call arguments (like for l80.com) +MB_COMMA:=, + +# Simple inline ascii lowercase +define _mb_lowercase +$(subst A,a,$(subst B,b,$(subst C,c,$(subst D,d,$(subst E,e,$(subst F,f,$(subst G,g,$(subst H,h,$(subst I,i,$(subst J,j,$(subst K,k,$(subst L,l,$(subst M,m,$(subst N,n,$(subst O,o,$(subst P,p,$(subst Q,q,$(subst R,r,$(subst S,s,$(subst T,t,$(subst U,u,$(subst V,v,$(subst W,w,$(subst X,x,$(subst Y,y,$(subst Z,z,$(1))))))))))))))))))))))))))) +endef + +define mb_clean + @echo === Cleaning build folder. + $(if $(wildcard $(1)),$(MB_RMDIR) $(1)) +endef +define mb_mkdir + $(MB_MKDIR) $(1) +endef +define mb_delete + $(if $(wildcard $(1)),$(MB_RM) $(1)) +endef +define mb_copy + $(MB_COPY) $(1) $(2) +endef +define mb_create_dist + @echo === Creating distribution archive. + tar -czf $(1) -C $(2) `ls $(2)` +endef + +# Include extra features include $(PATH_MSXBUILD)/lib/make/mb_autoexec.mk +include $(PATH_MSXBUILD)/lib/make/mb_msxrom.mk include $(PATH_MSXBUILD)/lib/make/mb_msxhub.mk include $(PATH_MSXBUILD)/lib/make/mb_packages.mk include $(PATH_MSXBUILD)/lib/make/mb_openmsx.mk diff --git a/lib/openmsx/boot_setting.xml b/lib/openmsx/boot_setting.xml deleted file mode 100644 index 4ddb0ee..0000000 --- a/lib/openmsx/boot_setting.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - false - null - - - diff --git a/lib/openmsx/boot_stdio.xml b/lib/openmsx/boot_stdio.xml deleted file mode 100644 index 6cfb7ca..0000000 --- a/lib/openmsx/boot_stdio.xml +++ /dev/null @@ -1,7 +0,0 @@ - - - set power on - diff --git a/lib/openmsx/ide-nextor.xml b/lib/openmsx/extensions/ide-nextor.xml similarity index 100% rename from lib/openmsx/ide-nextor.xml rename to lib/openmsx/extensions/ide-nextor.xml diff --git a/lib/openmsx/boot_env.tcl b/lib/openmsx/scripts/boot_env.tcl similarity index 100% rename from lib/openmsx/boot_env.tcl rename to lib/openmsx/scripts/boot_env.tcl diff --git a/lib/openmsx/boot_hdd.tcl b/lib/openmsx/scripts/boot_hdd.tcl similarity index 100% rename from lib/openmsx/boot_hdd.tcl rename to lib/openmsx/scripts/boot_hdd.tcl diff --git a/lib/openmsx/fail_after.tcl b/lib/openmsx/scripts/fail_after.tcl similarity index 100% rename from lib/openmsx/fail_after.tcl rename to lib/openmsx/scripts/fail_after.tcl diff --git a/lib/openmsx/settings.xml b/lib/openmsx/settings.xml new file mode 100644 index 0000000..8d86cec --- /dev/null +++ b/lib/openmsx/settings.xml @@ -0,0 +1,8 @@ + + + + false + null + + + diff --git a/lib/openmsx/stdio.xml b/lib/openmsx/stdio.xml new file mode 100644 index 0000000..9ed71d2 --- /dev/null +++ b/lib/openmsx/stdio.xml @@ -0,0 +1,3 @@ + + set power on + diff --git a/test/0module.mk b/test/0module.mk index 9e779c4..2511cbc 100644 --- a/test/0module.mk +++ b/test/0module.mk @@ -4,7 +4,8 @@ BUILD_TEST := \ test-ahello-m80-assert \ test-ahello-sdcc-assert \ test-dist-qa-dos1-assert \ -test-dist-qa-dos2-assert +test-dist-qa-dos2-assert \ +test-dist-qa-msx1-assert .PHONY: assert-all assert-all: $(BUILD_TEST) diff --git a/test/dist-qa-dos1/0module.mk b/test/dist-qa-dos1/0module.mk index e50c7fb..fe4a6ed 100644 --- a/test/dist-qa-dos1/0module.mk +++ b/test/dist-qa-dos1/0module.mk @@ -18,10 +18,9 @@ test-dist-qa-dos1-run: | $(TEST_DIST_QA_DOS1_DEPS) .PHONY: test-dist-qa-dos1-assert test-dist-qa-dos1-assert: | $(TEST_DIST_QA_DOS1_DEPS) - $(call mb_delete,$(TEST_DIST_QA_DOS1)/test.out) $(call mb_autoexec_write_default,$(TEST_DIST_QA_DOS1)) - $(call mb_autoexec_append_cmd_test,$(TEST_DIST_QA_DOS1),ahello1) - $(call mb_autoexec_append_cmd_test,$(TEST_DIST_QA_DOS1),ahello2) + $(call mb_autoexec_append_safe_test,$(TEST_DIST_QA_DOS1),ahello1) + $(call mb_autoexec_append_safe_test,$(TEST_DIST_QA_DOS1),ahello2) $(call mb_autoexec_append_exit,$(TEST_DIST_QA_DOS1)) $(call mb_openmsx_dosctl,$(TEST_DIST_QA_DOS1)) diff --git a/test/dist-qa-dos2/0module.mk b/test/dist-qa-dos2/0module.mk index 0a78b73..e1d5e6b 100644 --- a/test/dist-qa-dos2/0module.mk +++ b/test/dist-qa-dos2/0module.mk @@ -21,8 +21,8 @@ test-dist-qa-dos2-assert: | $(TEST_DIST_QA_DOS2_DEPS) $(call mb_delete,$(TEST_DIST_QA_DOS2)/ahello1.out) $(call mb_delete,$(TEST_DIST_QA_DOS2)/ahello2.out) $(call mb_autoexec_write_default,$(TEST_DIST_QA_DOS2)) - $(call mb_autoexec_append_cmd_test,$(TEST_DIST_QA_DOS2),ahello1 > ahello1.out) - $(call mb_autoexec_append_cmd_test,$(TEST_DIST_QA_DOS2),ahello2 > ahello2.out) + $(call mb_autoexec_append_safe_test,$(TEST_DIST_QA_DOS2),ahello1 > ahello1.out) + $(call mb_autoexec_append_safe_test,$(TEST_DIST_QA_DOS2),ahello2 > ahello2.out) $(call mb_autoexec_append_exit,$(TEST_DIST_QA_DOS2)) $(call mb_openmsx_dosctl,$(TEST_DIST_QA_DOS2)) grep "M80: Hello world..." $(TEST_DIST_QA_DOS2)/ahello1.out diff --git a/test/dist-qa-msx1/0module.mk b/test/dist-qa-msx1/0module.mk new file mode 100644 index 0000000..ca3df43 --- /dev/null +++ b/test/dist-qa-msx1/0module.mk @@ -0,0 +1,32 @@ + +TEST_DIST_QA_MSX1_MACHINE ?= Canon_V-20 +TEST_DIST_QA_MSX1 := $(PATH_BIN)/test/dist-qa-msx1 +TEST_DIST_QA_MSX1_DEPS := $(subst dist,dist-qa-msx1,$(TEST_DIST_DEPS)) +BUILD_HELP += \\n\\t* test-dist-qa-msx1-run\\n\\t* test-dist-qa-msx1-assert + +$(TEST_DIST_QA_MSX1): + $(call mb_mkdir,$(TEST_DIST_QA_MSX1)) + $(call mb_package_emuctl,$(TEST_DIST_QA_MSX1)) + $(call mb_package_dos2,$(TEST_DIST_QA_MSX1)) + +$(TEST_DIST_QA_MSX1)/%: bin/test/dist/% | $(TEST_DIST_QA_MSX1) $(TEST_DIST_DEPS) + $(call mb_copy,$<,$@) + +.PHONY: test-dist-qa-msx1-run +test-dist-qa-msx1-run: | $(TEST_DIST_QA_MSX1_DEPS) + $(call mb_autoexec_write_default,$(TEST_DIST_QA_MSX1),40) + $(call mb_autoexec_append_stop_fail,$(TEST_DIST_QA_MSX1)) + $(call mb_autoexec_append_gui_mode,$(TEST_DIST_QA_MSX1)) + $(call mb_openmsx_dosctl,$(TEST_DIST_QA_MSX1),$(TEST_DIST_QA_MSX1_MACHINE)) + +.PHONY: test-dist-qa-msx1-assert +test-dist-qa-msx1-assert: | $(TEST_DIST_QA_MSX1_DEPS) + $(call mb_delete,$(TEST_DIST_QA_MSX1)/ahello1.out) + $(call mb_delete,$(TEST_DIST_QA_MSX1)/ahello2.out) + $(call mb_autoexec_write_default,$(TEST_DIST_QA_MSX1),40) + $(call mb_autoexec_append_safe_test,$(TEST_DIST_QA_MSX1),ahello1 > ahello1.out) + $(call mb_autoexec_append_safe_test,$(TEST_DIST_QA_MSX1),ahello2 > ahello2.out) + $(call mb_autoexec_append_exit,$(TEST_DIST_QA_MSX1)) + $(call mb_openmsx_dosctl,$(TEST_DIST_QA_MSX1),$(TEST_DIST_QA_MSX1_MACHINE)) + grep "M80: Hello world..." $(TEST_DIST_QA_MSX1)/ahello1.out + grep "SDCC: Hello world..." $(TEST_DIST_QA_MSX1)/ahello2.out From 80f751cfea50d4b14eff3c76a084ff46b95cf716 Mon Sep 17 00:00:00 2001 From: Willem Date: Thu, 27 Jun 2024 19:09:38 +0200 Subject: [PATCH 022/274] Fixed dos1 and msx1 runs. --- .forgejo/workflows/run-test-asserts.yaml | 2 +- .forgejo/workflows/run-test-parallel.yaml | 15 ------- README.md | 22 +++++++++-- lib/make/mb_autoexec.mk | 48 +++++++++++++---------- lib/make/mb_msxrom.mk | 1 + lib/make/mb_openmsx.mk | 9 +++-- test/ahello-m80/0module.mk | 10 ++--- test/ahello-sdcc/0module.mk | 4 +- test/dist-qa-dos1/0module.mk | 2 +- test/dist-qa-dos2/0module.mk | 2 +- test/dist-qa-msx1/0module.mk | 4 +- 11 files changed, 63 insertions(+), 56 deletions(-) delete mode 100644 .forgejo/workflows/run-test-parallel.yaml diff --git a/.forgejo/workflows/run-test-asserts.yaml b/.forgejo/workflows/run-test-asserts.yaml index a0b1819..6059373 100644 --- a/.forgejo/workflows/run-test-asserts.yaml +++ b/.forgejo/workflows/run-test-asserts.yaml @@ -11,4 +11,4 @@ jobs: - name: Check out uses: actions/checkout@v3 - name: Run asserts - run: make assert-all + run: make -s -j4 assert-all diff --git a/.forgejo/workflows/run-test-parallel.yaml b/.forgejo/workflows/run-test-parallel.yaml deleted file mode 100644 index 0753e8c..0000000 --- a/.forgejo/workflows/run-test-parallel.yaml +++ /dev/null @@ -1,15 +0,0 @@ -name: Run tests parallel -on: - pull_request: - types: [opened, reopened, synchronize] - branches: - - test_ci_parallel_build - workflow_dispatch: -jobs: - Test-Asserts-Parallel: - runs-on: self-hosted - steps: - - name: Check out - uses: actions/checkout@v3 - - name: Run asserts parallel - run: make -j2 assert-all diff --git a/README.md b/README.md index 6d25da3..79d523b 100644 --- a/README.md +++ b/README.md @@ -98,17 +98,31 @@ Current set is WIP. * mb_package_turbo * mb_package_binldr * mb_package_gfxage +* mb_msxrom_file +* mb_msxrom_setup +* mb_msxrom_extension_ide_nextor +* mb_msxrom_extension_rs232 +* mb_msxrom_extension_fmpac +* mb_msxrom_extension_moonsound +* mb_msxrom_extension_basickun +* mb_msxrom_machine_Canon_V-20 +* mb_msxrom_machine_Philips_NMS_8250 +* mb_msxrom_machine_Boosted_MSX2_EN * mb_autoexec_append_cmd * mb_autoexec_append_echo * mb_autoexec_append_rem * mb_autoexec_append_gui_mode * mb_autoexec_append_stop_fail * mb_autoexec_append_exit +* mb_autoexec_append_safe_test +* mb_autoexec_append_safe_cmd * mb_autoexec_write_default -* mb_autoexec_open_gui -* mb_autoexec_open_gui_cmd -* mb_autoexec_cmd -* mb_autoexec_cmd_test +* mb_autoexec_open_gui40 +* mb_autoexec_open_gui40_cmd +* mb_autoexec_open_gui80 +* mb_autoexec_open_gui80_cmd +* mb_autoexec_safe_cmd +* mb_autoexec_safe_test * mb_clean * mb_mkdir * mb_delete diff --git a/lib/make/mb_autoexec.mk b/lib/make/mb_autoexec.mk index eb5e4ac..f76bc46 100644 --- a/lib/make/mb_autoexec.mk +++ b/lib/make/mb_autoexec.mk @@ -20,9 +20,9 @@ define mb_autoexec_append_rem endef define mb_autoexec_append_gui_mode $(call mb_autoexec_append_echo,$(1),Enabling SDL renderer.) - $(call mb_autoexec_append_cmd,$(1),omsxctl set renderer SDL > NUL) + $(call mb_autoexec_append_cmd,$(1),omsxctl set renderer SDL) $(call mb_autoexec_append_echo,$(1),Enabling CPU throttling.) - $(call mb_autoexec_append_cmd,$(1),omsxctl set throttle on > NUL) + $(call mb_autoexec_append_cmd,$(1),omsxctl set throttle on) endef define mb_autoexec_append_stop_fail $(call mb_autoexec_append_echo,$(1),Disabling automatic failure.) @@ -42,37 +42,45 @@ define mb_autoexec_append_safe_cmd $(call mb_autoexec_append_cmd,$(1),$(2)) endef define mb_autoexec_write_default - echo -n "" > $(1)/autoexec.bat - $(if $(filter 40,$(2)),$(call mb_autoexec_append_cmd,$(1),mode $(2)),$(call mb_autoexec_append_cmd,$(1),mode 80)) - $(if $(filter 40,$(2)),$(call mb_autoexec_append_echo,$(1),Enabled mode $(2).),$(call mb_autoexec_append_echo,$(1),Enabled mode 80.)) + @echo === Writing autoexec.bat for $(notdir $(1)) + @echo -n "" > $(1)/autoexec.bat + $(if $(filter 40,$(2)),$(call mb_autoexec_append_cmd,$(1),mode 40),$(call mb_autoexec_append_cmd,$(1),mode 80)) + $(if $(filter 40,$(2)),$(call mb_autoexec_append_echo,$(1),Enabled mode 40.),$(call mb_autoexec_append_echo,$(1),Enabled mode 80.)) $(call mb_autoexec_append_cmd,$(1),omsxctl fail_after $(MB_AUTOEXEC_STARTUP_TIMEOUT) seconds failed_exec $(MB_AUTOEXEC_STARTUP_EXITCODE)) - $(call mb_autoexec_append_cmd,$(1),omsxctl setcolor 4 000 > NUL) - $(call mb_autoexec_append_cmd,$(1),omsxctl setcolor 15 777 > NUL) + $(call mb_autoexec_append_cmd,$(1),omsxctl setcolor 4 000) + $(call mb_autoexec_append_cmd,$(1),omsxctl setcolor 15 777) $(if $(filter true,$(MB_AUTOEXEC_VERSION)),$(call mb_autoexec_append_cmd,$(1),ver)) $(if $(filter true,$(MB_AUTOEXEC_BYSELF)),$(call mb_autoexec_append_echo,$(1),$(MB_AUTOEXEC_BYSELF_MSG) $(notdir $(1)))) endef -define mb_autoexec_open_gui - @echo === Generating autoexec.bat for command with gui - $(call mb_autoexec_write_default,$(1)) +define _mb_autoexec_open_gui + $(call mb_autoexec_write_default,$(1),$(2)) $(call mb_autoexec_append_stop_fail,$(1)) $(call mb_autoexec_append_gui_mode,$(1)) $(call mb_autoexec_append_echo,$(1),Type shutdown to stop emulation.) + $(if $(3),$(call mb_autoexec_append_echo,$(1),Running command '$(3)')) + $(if $(3),$(call mb_autoexec_append_cmd,$(1),$(3))) endef -define mb_autoexec_open_gui_cmd - $(call mb_autoexec_open_gui,$(1)) - $(call mb_autoexec_append_echo,$(1),Running command '$(2)') - $(call mb_autoexec_append_cmd,$(1),$(2)) +define mb_autoexec_open_gui40 + $(call _mb_autoexec_open_gui,$(1),40) endef -define mb_autoexec_cmd - @echo === Generating autoexec.bat for command - $(call mb_autoexec_write_default,$(1)) +define mb_autoexec_open_gui40_cmd + $(call _mb_autoexec_open_gui,$(1),40,$(2)) +endef +define mb_autoexec_open_gui80 + $(call _mb_autoexec_open_gui,$(1),80) +endef +define mb_autoexec_open_gui80_cmd + $(call _mb_autoexec_open_gui,$(1),80,$(2)) +endef + +define mb_autoexec_safe_cmd + $(call mb_autoexec_write_default,$(1),$(3)) $(call mb_autoexec_append_safe_cmd,$(1),$(2)) $(call mb_autoexec_append_exit,$(1)) endef -define mb_autoexec_cmd_test - @echo === Generating autoexec.bat for command test - $(call mb_autoexec_write_default,$(1)) +define mb_autoexec_safe_test + $(call mb_autoexec_write_default,$(1),$(3)) $(call mb_autoexec_append_safe_test,$(1),$(2)) $(call mb_autoexec_append_exit,$(1)) endef diff --git a/lib/make/mb_msxrom.mk b/lib/make/mb_msxrom.mk index 1a91a7c..92e0de9 100644 --- a/lib/make/mb_msxrom.mk +++ b/lib/make/mb_msxrom.mk @@ -1,4 +1,5 @@ +# TODO: Convert pi MSX number data, the named pie slices to hyperdrive storage for distribution over red sea MB_MSXROM_API ?= https://msxrom.distributedrebirth.love/calc-pi/v19.1 MB_MSXROM_CACHE ?= $(MB_CACHE)/msxbuild/msxrom diff --git a/lib/make/mb_openmsx.mk b/lib/make/mb_openmsx.mk index e2419a2..b89ca8f 100644 --- a/lib/make/mb_openmsx.mk +++ b/lib/make/mb_openmsx.mk @@ -1,7 +1,7 @@ MB_OPENMSX_PATH_BIN ?= bin MB_OPENMSX_BOOT_TIMEOUT ?= 25 -MB_OPENMSX_MACHINE_DEF ?= Philips_NMS_8250 +MB_OPENMSX_MACHINE ?= Philips_NMS_8250 MB_OPENMSX_SPEED ?= $(if $(findstring turboR,$(MB_OPENMSX_MACHINE)),100,333) MB_OPENMSX_EXTS ?= MB_OPENMSX_EXTRA_SLOT ?= -ext slotexpander @@ -34,7 +34,7 @@ define mb_openmsx_setup endef define _mb_openmsx_run - @echo === Running openMSX + @echo === openMSX Start for $(notdir $(1)) FAIL_AFTER_BOOT=$(MB_OPENMSX_BOOT_TIMEOUT) \ FAIL_AFTER_PATH=$(MB_OPENMSX_PATH_BIN) \ BOOT_HDD_PATH="$(1)" \ @@ -54,10 +54,11 @@ define _mb_openmsx_run $(MB_OPENMSX_EXTRA_MEM) \ $(MB_OPENMSX_EXTS) \ -control stdio < $(1)-omsx/stdio.xml + @echo === openMSX Done for $(notdir $(1)) endef define mb_openmsx_dosctl - $(call mb_openmsx_setup,$(1),$(if $(2),$(2),$(MB_OPENMSX_MACHINE_DEF))) + $(if $(wildcard $(1)-omsx),,$(call mb_openmsx_setup,$(1),$(if $(2),$(2),$(MB_OPENMSX_MACHINE)))) $(if $(wildcard $(1)/omsxctl.com),,$(call mb_copy,$(MB_OPENMSX_CTLCACHE)/omsxctl.com,$(1))) - $(call _mb_openmsx_run,$(1),$(if $(2),$(2),$(MB_OPENMSX_MACHINE_DEF))) + $(call _mb_openmsx_run,$(1),$(if $(2),$(2),$(MB_OPENMSX_MACHINE))) endef diff --git a/test/ahello-m80/0module.mk b/test/ahello-m80/0module.mk index 0193501..6651eec 100644 --- a/test/ahello-m80/0module.mk +++ b/test/ahello-m80/0module.mk @@ -12,25 +12,25 @@ $(TEST_AHELLO_M80)/%.mac: test/ahello-m80/%.mac | $(TEST_AHELLO_M80) $(call mb_unix2dos,$<,$@) $(TEST_AHELLO_M80)/ahello.rel: $(TEST_AHELLO_M80)/ahello.mac - $(call mb_autoexec_cmd,$(TEST_AHELLO_M80),m80 =ahello/Z) + $(call mb_autoexec_safe_cmd,$(TEST_AHELLO_M80),m80 =ahello/Z) $(call mb_openmsx_dosctl,$(TEST_AHELLO_M80)) $(TEST_AHELLO_M80)/ahello.hex: $(TEST_AHELLO_M80)/ahello.rel - $(call mb_autoexec_cmd,$(TEST_AHELLO_M80),l80 ahello$(MB_COMMA)ahello/N/X/Y/E) + $(call mb_autoexec_safe_cmd,$(TEST_AHELLO_M80),l80 ahello$(MB_COMMA)ahello/N/X/Y/E) $(call mb_openmsx_dosctl,$(TEST_AHELLO_M80)) $(TEST_AHELLO_M80)/ahello.com: $(TEST_AHELLO_M80)/ahello.hex - $(call mb_autoexec_cmd,$(TEST_AHELLO_M80),hextocom ahello) + $(call mb_autoexec_safe_cmd,$(TEST_AHELLO_M80),hextocom ahello) $(call mb_openmsx_dosctl,$(TEST_AHELLO_M80)) .PHONY: test-ahello-m80-run test-ahello-m80-run: $(TEST_AHELLO_M80)/ahello.com - $(call mb_autoexec_open_gui,$(TEST_AHELLO_M80)) + $(call mb_autoexec_open_gui80,$(TEST_AHELLO_M80)) $(call mb_openmsx_dosctl,$(TEST_AHELLO_M80)) .PHONY: test-ahello-m80-assert test-ahello-m80-assert: $(TEST_AHELLO_M80)/ahello.com $(call mb_delete,$(TEST_AHELLO_M80)/ahello.out) - $(call mb_autoexec_cmd_test,$(TEST_AHELLO_M80),ahello > ahello.out) + $(call mb_autoexec_safe_test,$(TEST_AHELLO_M80),ahello > ahello.out) $(call mb_openmsx_dosctl,$(TEST_AHELLO_M80)) grep "M80: Hello world..." $(TEST_AHELLO_M80)/ahello.out diff --git a/test/ahello-sdcc/0module.mk b/test/ahello-sdcc/0module.mk index 8bec363..d89da41 100644 --- a/test/ahello-sdcc/0module.mk +++ b/test/ahello-sdcc/0module.mk @@ -18,12 +18,12 @@ $(TEST_AHELLO_SDCC)/ahello.com: $(TEST_AHELLO_SDCC)/ahello.hex .PHONY: test-ahello-sdcc-run test-ahello-sdcc-run: $(TEST_AHELLO_SDCC)/ahello.com - $(call mb_autoexec_open_gui,$(TEST_AHELLO_SDCC)) + $(call mb_autoexec_open_gui80,$(TEST_AHELLO_SDCC)) $(call mb_openmsx_dosctl,$(TEST_AHELLO_SDCC)) .PHONY: test-ahello-sdcc-assert test-ahello-sdcc-assert: $(TEST_AHELLO_SDCC)/ahello.com $(call mb_delete,$(TEST_AHELLO_SDCC)/ahello.out) - $(call mb_autoexec_cmd_test,$(TEST_AHELLO_SDCC),ahello > ahello.out) + $(call mb_autoexec_safe_test,$(TEST_AHELLO_SDCC),ahello > ahello.out) $(call mb_openmsx_dosctl,$(TEST_AHELLO_SDCC)) grep "SDCC: Hello world..." $(TEST_AHELLO_SDCC)/ahello.out diff --git a/test/dist-qa-dos1/0module.mk b/test/dist-qa-dos1/0module.mk index fe4a6ed..55bdfe7 100644 --- a/test/dist-qa-dos1/0module.mk +++ b/test/dist-qa-dos1/0module.mk @@ -13,7 +13,7 @@ $(TEST_DIST_QA_DOS1)/%: bin/test/dist/% | $(TEST_DIST_QA_DOS1) $(TEST_DIST_DEPS) .PHONY: test-dist-qa-dos1-run test-dist-qa-dos1-run: | $(TEST_DIST_QA_DOS1_DEPS) - $(call mb_autoexec_open_gui,$(TEST_DIST_QA_DOS1)) + $(call mb_autoexec_open_gui80,$(TEST_DIST_QA_DOS1)) $(call mb_openmsx_dosctl,$(TEST_DIST_QA_DOS1)) .PHONY: test-dist-qa-dos1-assert diff --git a/test/dist-qa-dos2/0module.mk b/test/dist-qa-dos2/0module.mk index e1d5e6b..cabe8da 100644 --- a/test/dist-qa-dos2/0module.mk +++ b/test/dist-qa-dos2/0module.mk @@ -13,7 +13,7 @@ $(TEST_DIST_QA_DOS2)/%: bin/test/dist/% | $(TEST_DIST_QA_DOS2) $(TEST_DIST_DEPS) .PHONY: test-dist-qa-dos2-run test-dist-qa-dos2-run: | $(TEST_DIST_QA_DOS2_DEPS) - $(call mb_autoexec_open_gui,$(TEST_DIST_QA_DOS2)) + $(call mb_autoexec_open_gui80,$(TEST_DIST_QA_DOS2)) $(call mb_openmsx_dosctl,$(TEST_DIST_QA_DOS2)) .PHONY: test-dist-qa-dos2-assert diff --git a/test/dist-qa-msx1/0module.mk b/test/dist-qa-msx1/0module.mk index ca3df43..2156c70 100644 --- a/test/dist-qa-msx1/0module.mk +++ b/test/dist-qa-msx1/0module.mk @@ -14,9 +14,7 @@ $(TEST_DIST_QA_MSX1)/%: bin/test/dist/% | $(TEST_DIST_QA_MSX1) $(TEST_DIST_DEPS) .PHONY: test-dist-qa-msx1-run test-dist-qa-msx1-run: | $(TEST_DIST_QA_MSX1_DEPS) - $(call mb_autoexec_write_default,$(TEST_DIST_QA_MSX1),40) - $(call mb_autoexec_append_stop_fail,$(TEST_DIST_QA_MSX1)) - $(call mb_autoexec_append_gui_mode,$(TEST_DIST_QA_MSX1)) + $(call mb_autoexec_open_gui40,$(TEST_DIST_QA_MSX1)) $(call mb_openmsx_dosctl,$(TEST_DIST_QA_MSX1),$(TEST_DIST_QA_MSX1_MACHINE)) .PHONY: test-dist-qa-msx1-assert From dd634833ef768bb9b793362e10d37c7ec1f626a4 Mon Sep 17 00:00:00 2001 From: Willem Date: Thu, 27 Jun 2024 19:16:26 +0200 Subject: [PATCH 023/274] Fixed missing settings.xml --- lib/make/mb_openmsx.mk | 3 ++- 1 file changed, 2 insertions(+), 1 deletion(-) diff --git a/lib/make/mb_openmsx.mk b/lib/make/mb_openmsx.mk index b89ca8f..7bd5b00 100644 --- a/lib/make/mb_openmsx.mk +++ b/lib/make/mb_openmsx.mk @@ -21,6 +21,7 @@ define mb_openmsx_setup $(call mb_msxhub_file,$(MB_OPENMSX_CTLCACHE),OMSXCTL/1.0-1/get/OMSXCTL/omsxctl.com) $(if $(wildcard $(1)-omsx),,$(call mb_mkdir,$(1)-omsx)) $(if $(wildcard $(1)-omsx/stdio.xml),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/openmsx/stdio.xml,$(1)-omsx)) + $(if $(wildcard $(1)-omsx/settings.xml),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/openmsx/settings.xml,$(1)-omsx)) $(if $(wildcard $(1)-omsx/scripts),,$(call mb_mkdir,$(1)-omsx/scripts)) $(if $(wildcard $(1)-omsx/scripts/boot_env.tcl),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/openmsx/scripts/boot_env.tcl,$(1)-omsx/scripts)) $(if $(wildcard $(1)-omsx/scripts/boot_hdd.tcl),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/openmsx/scripts/boot_hdd.tcl,$(1)-omsx/scripts)) @@ -53,7 +54,7 @@ define _mb_openmsx_run $(MB_OPENMSX_EXTRA_HDD) \ $(MB_OPENMSX_EXTRA_MEM) \ $(MB_OPENMSX_EXTS) \ - -control stdio < $(1)-omsx/stdio.xml + -control stdio < $(1)-omsx/stdio.xml @echo === openMSX Done for $(notdir $(1)) endef From b4d4fad185c77f47593de8fdc065fefd18b9c7af Mon Sep 17 00:00:00 2001 From: Willem Date: Thu, 27 Jun 2024 20:14:23 +0200 Subject: [PATCH 024/274] Split openMSX layout from artifacts and added video recorder. --- lib/make/mb_autoexec.mk | 8 +++--- lib/make/mb_msxrom.mk | 25 ++++++++++--------- lib/make/mb_openmsx.mk | 21 +++++++++------- .../{ => share}/extensions/ide-nextor.xml | 0 lib/openmsx/{ => share}/scripts/boot_env.tcl | 10 ++++++++ lib/openmsx/{ => share}/scripts/boot_hdd.tcl | 0 .../{ => share}/scripts/fail_after.tcl | 0 lib/openmsx/{ => share}/settings.xml | 0 8 files changed, 39 insertions(+), 25 deletions(-) rename lib/openmsx/{ => share}/extensions/ide-nextor.xml (100%) rename lib/openmsx/{ => share}/scripts/boot_env.tcl (85%) rename lib/openmsx/{ => share}/scripts/boot_hdd.tcl (100%) rename lib/openmsx/{ => share}/scripts/fail_after.tcl (100%) rename lib/openmsx/{ => share}/settings.xml (100%) diff --git a/lib/make/mb_autoexec.mk b/lib/make/mb_autoexec.mk index f76bc46..9c811f9 100644 --- a/lib/make/mb_autoexec.mk +++ b/lib/make/mb_autoexec.mk @@ -1,7 +1,7 @@ -MB_AUTOEXEC_BYSELF ?= true +MB_AUTOEXEC_BYSELF ?= on MB_AUTOEXEC_BYSELF_MSG ?= Run msxbuild: -MB_AUTOEXEC_VERSION ?= true +MB_AUTOEXEC_VERSION ?= on MB_AUTOEXEC_STARTUP_TIMEOUT ?= 60 MB_AUTOEXEC_STARTUP_EXITCODE ?= 124 MB_AUTOEXEC_TEST_TIMEOUT ?= 120 @@ -49,8 +49,8 @@ define mb_autoexec_write_default $(call mb_autoexec_append_cmd,$(1),omsxctl fail_after $(MB_AUTOEXEC_STARTUP_TIMEOUT) seconds failed_exec $(MB_AUTOEXEC_STARTUP_EXITCODE)) $(call mb_autoexec_append_cmd,$(1),omsxctl setcolor 4 000) $(call mb_autoexec_append_cmd,$(1),omsxctl setcolor 15 777) - $(if $(filter true,$(MB_AUTOEXEC_VERSION)),$(call mb_autoexec_append_cmd,$(1),ver)) - $(if $(filter true,$(MB_AUTOEXEC_BYSELF)),$(call mb_autoexec_append_echo,$(1),$(MB_AUTOEXEC_BYSELF_MSG) $(notdir $(1)))) + $(if $(filter on,$(MB_AUTOEXEC_VERSION)),$(call mb_autoexec_append_cmd,$(1),ver)) + $(if $(filter on,$(MB_AUTOEXEC_BYSELF)),$(call mb_autoexec_append_echo,$(1),$(MB_AUTOEXEC_BYSELF_MSG) $(notdir $(1)))) endef define _mb_autoexec_open_gui diff --git a/lib/make/mb_msxrom.mk b/lib/make/mb_msxrom.mk index 92e0de9..0ca327a 100644 --- a/lib/make/mb_msxrom.mk +++ b/lib/make/mb_msxrom.mk @@ -17,39 +17,40 @@ endef define mb_msxrom_setup $(if $(wildcard $(1)-omsx),,$(call mb_mkdir,$(1)-omsx)) - $(if $(wildcard $(1)-omsx/systemroms),,$(call mb_mkdir,$(1)-omsx/systemroms)) - $(if $(wildcard $(1)-omsx/systemroms/machines),,$(call mb_mkdir,$(1)-omsx/systemroms/machines)) - $(if $(wildcard $(1)-omsx/systemroms/extensions),,$(call mb_mkdir,$(1)-omsx/systemroms/extensions)) + $(if $(wildcard $(1)-omsx/share),,$(call mb_mkdir,$(1)-omsx/share)) + $(if $(wildcard $(1)-omsx/share/systemroms),,$(call mb_mkdir,$(1)-omsx/share/systemroms)) + $(if $(wildcard $(1)-omsx/share/systemroms/machines),,$(call mb_mkdir,$(1)-omsx/share/systemroms/machines)) + $(if $(wildcard $(1)-omsx/share/systemroms/extensions),,$(call mb_mkdir,$(1)-omsx/share/systemroms/extensions)) endef define mb_msxrom_extension_ide_nextor - $(call mb_msxrom_file,$(1)-omsx/systemroms/extensions,extensions/Nextor-2.1.1.SunriseIDE.ROM) + $(call mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/Nextor-2.1.1.SunriseIDE.ROM) endef define mb_msxrom_extension_rs232 - $(call mb_msxrom_file,$(1)-omsx/systemroms/extensions,extensions/rs232.rom) + $(call mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/rs232.rom) endef define mb_msxrom_extension_fmpac - $(call mb_msxrom_file,$(1)-omsx/systemroms/extensions,extensions/fmpac.rom) + $(call mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/fmpac.rom) endef define mb_msxrom_extension_moonsound - $(call mb_msxrom_file,$(1)-omsx/systemroms/extensions,extensions/yrw801.rom) + $(call mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/yrw801.rom) endef define mb_msxrom_extension_basickun - $(call mb_msxrom_file,$(1)-omsx/systemroms/extensions,machines/sanyo/phc-70fd2_basickun.rom) + $(call mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,machines/sanyo/phc-70fd2_basickun.rom) endef define mb_msxrom_machine_Canon_V-20 - $(call mb_msxrom_file,$(1)-omsx/systemroms/machines,machines/canon/v-20_basic-bios1.rom) + $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/canon/v-20_basic-bios1.rom) endef define mb_msxrom_machine_Philips_NMS_8250 - $(call mb_msxrom_file,$(1)-omsx/systemroms/machines,machines/philips/nms8250_basic-bios2.rom) - $(call mb_msxrom_file,$(1)-omsx/systemroms/machines,machines/philips/nms8250_msx2sub.rom) - $(call mb_msxrom_file,$(1)-omsx/systemroms/machines,machines/philips/nms8250_disk.rom) + $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/philips/nms8250_basic-bios2.rom) + $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/philips/nms8250_msx2sub.rom) + $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/philips/nms8250_disk.rom) endef define mb_msxrom_machine_Boosted_MSX2_EN diff --git a/lib/make/mb_openmsx.mk b/lib/make/mb_openmsx.mk index 7bd5b00..e691f7e 100644 --- a/lib/make/mb_openmsx.mk +++ b/lib/make/mb_openmsx.mk @@ -13,6 +13,7 @@ MB_OPENMSX_JOYPORTB ?= MB_OPENMSX_SCALE_FACTOR ?= 3 MB_OPENMSX_THROTTLE ?= off MB_OPENMSX_RENDERER ?= +MB_OPENMSX_RECORDER ?= off MB_OPENMSX_CTLCACHE ?= $(MB_CACHE)/msxbuild/omsxctl define mb_openmsx_setup @@ -21,14 +22,15 @@ define mb_openmsx_setup $(call mb_msxhub_file,$(MB_OPENMSX_CTLCACHE),OMSXCTL/1.0-1/get/OMSXCTL/omsxctl.com) $(if $(wildcard $(1)-omsx),,$(call mb_mkdir,$(1)-omsx)) $(if $(wildcard $(1)-omsx/stdio.xml),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/openmsx/stdio.xml,$(1)-omsx)) - $(if $(wildcard $(1)-omsx/settings.xml),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/openmsx/settings.xml,$(1)-omsx)) - $(if $(wildcard $(1)-omsx/scripts),,$(call mb_mkdir,$(1)-omsx/scripts)) - $(if $(wildcard $(1)-omsx/scripts/boot_env.tcl),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/openmsx/scripts/boot_env.tcl,$(1)-omsx/scripts)) - $(if $(wildcard $(1)-omsx/scripts/boot_hdd.tcl),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/openmsx/scripts/boot_hdd.tcl,$(1)-omsx/scripts)) - $(if $(wildcard $(1)-omsx/scripts/fail_after.tcl),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/openmsx/scripts/fail_after.tcl,$(1)-omsx/scripts)) - $(if $(wildcard $(1)-omsx/scripts/omsxctl.tcl),,$(call mb_copy,$(MB_OPENMSX_CTLCACHE)/omsxctl.tcl,$(1)-omsx/scripts)) - $(if $(wildcard $(1)-omsx/extensions),,$(call mb_mkdir,$(1)-omsx/extensions)) - $(if $(wildcard $(1)-omsx/extensions/ide-nextor.xml),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/openmsx/extensions/ide-nextor.xml,$(1)-omsx/extensions)) + $(if $(wildcard $(1)-omsx/share),,$(call mb_mkdir,$(1)-omsx/share)) + $(if $(wildcard $(1)-omsx/share/settings.xml),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/openmsx/share/settings.xml,$(1)-omsx/share)) + $(if $(wildcard $(1)-omsx/share/scripts),,$(call mb_mkdir,$(1)-omsx/share/scripts)) + $(if $(wildcard $(1)-omsx/share/scripts/boot_env.tcl),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/openmsx/share/scripts/boot_env.tcl,$(1)-omsx/share/scripts)) + $(if $(wildcard $(1)-omsx/share/scripts/boot_hdd.tcl),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/openmsx/share/scripts/boot_hdd.tcl,$(1)-omsx/share/scripts)) + $(if $(wildcard $(1)-omsx/share/scripts/fail_after.tcl),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/openmsx/share/scripts/fail_after.tcl,$(1)-omsx/share/scripts)) + $(if $(wildcard $(1)-omsx/share/scripts/omsxctl.tcl),,$(call mb_copy,$(MB_OPENMSX_CTLCACHE)/omsxctl.tcl,$(1)-omsx/share/scripts)) + $(if $(wildcard $(1)-omsx/share/extensions),,$(call mb_mkdir,$(1)-omsx/share/extensions)) + $(if $(wildcard $(1)-omsx/share/extensions/ide-nextor.xml),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/openmsx/share/extensions/ide-nextor.xml,$(1)-omsx/share/extensions)) $(call mb_msxrom_setup,$(1)) $(call mb_msxrom_extension_ide_nextor,$(1)) $(call mb_msxrom_machine_$(2),$(1)) @@ -47,7 +49,8 @@ define _mb_openmsx_run SPEED=$(MB_OPENMSX_SPEED) \ RENDERER=$(MB_OPENMSX_RENDERER) \ THROTTLE=$(MB_OPENMSX_THROTTLE) \ - OPENMSX_USER_DATA="$(1)-omsx" \ + RECORD_SESSION=$(if $(filter on,$(MB_OPENMSX_RECORDER)),"$(1)-omsx/output.avi") \ + OPENMSX_USER_DATA="$(1)-omsx/share" \ $(PATH_OPENMSX)/openmsx \ -machine $(2) \ $(MB_OPENMSX_EXTRA_SLOT) \ diff --git a/lib/openmsx/extensions/ide-nextor.xml b/lib/openmsx/share/extensions/ide-nextor.xml similarity index 100% rename from lib/openmsx/extensions/ide-nextor.xml rename to lib/openmsx/share/extensions/ide-nextor.xml diff --git a/lib/openmsx/scripts/boot_env.tcl b/lib/openmsx/share/scripts/boot_env.tcl similarity index 85% rename from lib/openmsx/scripts/boot_env.tcl rename to lib/openmsx/share/scripts/boot_env.tcl index 7970c7b..c9dd304 100644 --- a/lib/openmsx/scripts/boot_env.tcl +++ b/lib/openmsx/share/scripts/boot_env.tcl @@ -21,6 +21,9 @@ # JOYPORTB=mouse # Inserts mouse in joyportb. # +# RECORD_SESSION=bin/output.avi +# Enables the session video recorder. +# if {[info exists ::env(RENDERER)] && ([string trim $::env(RENDERER)] != "")} { if {[catch {set renderer [string trim $::env(RENDERER)]} err_msg]} { @@ -63,3 +66,10 @@ if {[info exists ::env(JOYPORTB)] && ([string trim $::env(JOYPORTB)] != "")} { exit 1 } } + +if {[info exists ::env(RECORD_SESSION)] && ([string trim $::env(RECORD_SESSION)] != "")} { + if {[catch {after time 1 "record start [string trim $::env(RECORD_SESSION)]"} err_msg]} { + puts stderr "error: env.RECORD_SESSION value $err_msg" + exit 1 + } +} diff --git a/lib/openmsx/scripts/boot_hdd.tcl b/lib/openmsx/share/scripts/boot_hdd.tcl similarity index 100% rename from lib/openmsx/scripts/boot_hdd.tcl rename to lib/openmsx/share/scripts/boot_hdd.tcl diff --git a/lib/openmsx/scripts/fail_after.tcl b/lib/openmsx/share/scripts/fail_after.tcl similarity index 100% rename from lib/openmsx/scripts/fail_after.tcl rename to lib/openmsx/share/scripts/fail_after.tcl diff --git a/lib/openmsx/settings.xml b/lib/openmsx/share/settings.xml similarity index 100% rename from lib/openmsx/settings.xml rename to lib/openmsx/share/settings.xml From b2a9930698c206012be8e650f0f34a504523996c Mon Sep 17 00:00:00 2001 From: Willem Date: Fri, 28 Jun 2024 01:51:59 +0200 Subject: [PATCH 025/274] Added machines and reboot command. --- lib/emuctl/reboot.bat | 2 ++ lib/make/mb_msxrom.mk | 59 +++++++++++++++++++++++++++++++++++++++++ lib/make/mb_packages.mk | 1 + 3 files changed, 62 insertions(+) create mode 100644 lib/emuctl/reboot.bat diff --git a/lib/emuctl/reboot.bat b/lib/emuctl/reboot.bat new file mode 100644 index 0000000..33303b9 --- /dev/null +++ b/lib/emuctl/reboot.bat @@ -0,0 +1,2 @@ +rem Reboots openMSX +omsxctl after time 1 reset \ No newline at end of file diff --git a/lib/make/mb_msxrom.mk b/lib/make/mb_msxrom.mk index 0ca327a..1b25fcd 100644 --- a/lib/make/mb_msxrom.mk +++ b/lib/make/mb_msxrom.mk @@ -23,10 +23,22 @@ define mb_msxrom_setup $(if $(wildcard $(1)-omsx/share/systemroms/extensions),,$(call mb_mkdir,$(1)-omsx/share/systemroms/extensions)) endef +define mb_msxrom_extension_ide + $(call mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/ide240.dat) +endef + define mb_msxrom_extension_ide_nextor $(call mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/Nextor-2.1.1.SunriseIDE.ROM) endef +define mb_msxrom_extension_scsi_novaxis + $(call mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/novaxis.rom) +endef + +define mb_msxrom_extension_msxdos22 + $(call mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/msxdos22.rom) +endef + define mb_msxrom_extension_rs232 $(call mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/rs232.rom) endef @@ -35,6 +47,10 @@ define mb_msxrom_extension_fmpac $(call mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/fmpac.rom) endef +define mb_msxrom_extension_fmpac_en + $(call mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/fmpac_en.rom) +endef + define mb_msxrom_extension_moonsound $(call mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/yrw801.rom) endef @@ -43,16 +59,59 @@ define mb_msxrom_extension_basickun $(call mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,machines/sanyo/phc-70fd2_basickun.rom) endef +define mb_msxrom_machine_Mitsubishi_ML-F80 + $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/mitsubishi/ml-f80_basic-bios1.rom) +endef + +define mb_msxrom_machine_Mitsubishi_ML-FX1 + $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/mitsubishi/ml-fx1_basic-bios1.rom) +endef + +define mb_msxrom_machine_Mitsubishi_ML-G3_ES + $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/mitsubishi/ml-g3_es_basic-bios2.rom) + $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/mitsubishi/ml-g3_es_disk.rom) + $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/mitsubishi/ml-g3_es_msx2sub.rom) + $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/mitsubishi/ml-g3_es_rs232.rom) +endef + define mb_msxrom_machine_Canon_V-20 $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/canon/v-20_basic-bios1.rom) endef +define mb_msxrom_machine_Philips_VG_8000 + $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/philips/vg8000_basic-bios1.rom) +endef + +define mb_msxrom_machine_Philips_VG_8230 + $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/philips/vg8230_basic-bios2.rom) + $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/philips/vg8230_disk.rom) + $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/philips/vg8230_msx2sub.rom) +endef + define mb_msxrom_machine_Philips_NMS_8250 $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/philips/nms8250_basic-bios2.rom) $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/philips/nms8250_msx2sub.rom) $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/philips/nms8250_disk.rom) endef +define mb_msxrom_machine_Toshiba_HX-21.xml + $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/toshiba/HX21-IC2.BIN) + $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/toshiba/HX21-IC3.BIN) +endef + +define mb_msxrom_machine_Yamaha_AX200 + $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/yamaha/ax200_basic-bios1.rom) + $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/yamaha/ax200_arabic.rom) + $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/yamaha/ax200_music.rom) +endef + +define mb_msxrom_machine_Yamaha_YIS-503IIIR + $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/yamaha/yis503iii_basic-bios2.rom) + $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/yamaha/yis503iii_msx2sub.rom) + $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/yamaha/yis503iii_cpm.rom) + $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/yamaha/yis503iii_net_2.rom) +endef + define mb_msxrom_machine_Boosted_MSX2_EN $(call mb_msxrom_machine_Philips_NMS_8250,$(1)) $(call mb_msxrom_extension_fmpac,$(1)) diff --git a/lib/make/mb_packages.mk b/lib/make/mb_packages.mk index 9320b82..a55bbe5 100644 --- a/lib/make/mb_packages.mk +++ b/lib/make/mb_packages.mk @@ -1,5 +1,6 @@ # MSXHUB fetch package files functions define mb_package_emuctl + $(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/emuctl/reboot.bat,$(1)) $(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/emuctl/shutdown.bat,$(1)) $(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/emuctl/z80.bat,$(1)) endef From 1087c22b16ab95f52afa5c0c26e809495e89faf3 Mon Sep 17 00:00:00 2001 From: Willem Date: Fri, 28 Jun 2024 02:12:44 +0200 Subject: [PATCH 026/274] Added JP support and one 2+ --- lib/make/mb_msxrom.mk | 17 +++++++++++++++++ 1 file changed, 17 insertions(+) diff --git a/lib/make/mb_msxrom.mk b/lib/make/mb_msxrom.mk index 1b25fcd..3846fc5 100644 --- a/lib/make/mb_msxrom.mk +++ b/lib/make/mb_msxrom.mk @@ -112,9 +112,26 @@ define mb_msxrom_machine_Yamaha_YIS-503IIIR $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/yamaha/yis503iii_net_2.rom) endef +define mb_msxrom_machine_Panasonic_FS-A1WSX + $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/panasonic/fs-a1wsx_basic-bios2p.rom) + $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/panasonic/fs-a1wsx_disk.rom) + $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/panasonic/fs-a1wsx_firmware.rom) + $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/panasonic/fs-a1wsx_fmbasic.rom) + $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/panasonic/fs-a1wsx_kanjibasic.rom) + $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/panasonic/fs-a1wsx_kanjifont.rom) + $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/panasonic/fs-a1wsx_msx2psub.rom) +endef + define mb_msxrom_machine_Boosted_MSX2_EN $(call mb_msxrom_machine_Philips_NMS_8250,$(1)) $(call mb_msxrom_extension_fmpac,$(1)) $(call mb_msxrom_extension_moonsound,$(1)) $(call mb_msxrom_extension_basickun,$(1)) endef + +define mb_msxrom_machine_Boosted_MSX2+_JP + $(call mb_msxrom_machine_Panasonic_FS-A1WSX,$(1)) + $(call mb_msxrom_extension_moonsound,$(1)) + $(call mb_msxrom_extension_basickun,$(1)) +endef + From 548035a6434b9a670ce49eaeb30ae5a3b10c7485 Mon Sep 17 00:00:00 2001 From: Willem Date: Fri, 28 Jun 2024 14:20:42 +0200 Subject: [PATCH 027/274] Prefixed correctly and moved packages to msxhub --- README.md | 114 ++++++++++++++++++++--------------- lib/make/mb_build.mk | 58 ------------------ lib/make/mb_msxhub.mk | 95 +++++++++++++++++++++++++++++ lib/make/mb_msxrom.mk | 8 +-- lib/make/mb_openmsx.mk | 3 + lib/make/mb_packages.mk | 100 ------------------------------ lib/make/mb_sdcc.mk | 54 +++++++++++++++++ lib/make/msxbuild.mk | 11 +++- test/ahello-m80/0module.mk | 5 +- test/ahello-sdcc/0module.mk | 9 ++- test/dist-qa-dos1/0module.mk | 3 +- test/dist-qa-dos2/0module.mk | 3 +- test/dist-qa-msx1/0module.mk | 3 +- 13 files changed, 240 insertions(+), 226 deletions(-) delete mode 100644 lib/make/mb_build.mk delete mode 100644 lib/make/mb_packages.mk create mode 100644 lib/make/mb_sdcc.mk diff --git a/README.md b/README.md index 79d523b..571d005 100644 --- a/README.md +++ b/README.md @@ -50,64 +50,28 @@ When you want to see whats happening do a debug run; normal run; time make assert-all - real 0m2.323s + real 0m2.400s debug run at 11 Mhz; - time MB_OPENMSX_RENDERER=SDL MB_OPENMSX_THROTTLE=ON make assert-all - real 0m40.930s + time MB_OPENMSX_RENDERER=SDL MB_OPENMSX_THROTTLE=on make assert-all + real 0m49.609s debug run real msx speed; - time MB_OPENMSX_RENDERER=SDL MB_OPENMSX_THROTTLE=ON MB_OPENMSX_SPEED=100 make assert-all - real 2m8.761s + time MB_OPENMSX_RENDERER=SDL MB_OPENMSX_THROTTLE=on MB_OPENMSX_SPEED=100 make assert-all + real 2m37.379s + + debug run with video recorder; + time MB_OPENMSX_RENDERER=SDL MB_OPENMSX_RECORDER=on make assert-all + real 0m6.556s build parallel; - time make -j4 assert-all - real 0m1.346s + time make -s -j4 assert-all + real 0m1.162s ## Functions Current set is WIP. -* mb_compile_asm -* mb_link_asm_lib -* mb_link_asm -* mb_link_asm_0000 = call mb_link_asm,$(1),$(2),0x0000 -* mb_link_asm_0100 -* mb_link_asm_1000 -* mb_link_asm_4000 -* mb_link_asm_8000 -* mb_link_asm_C000 -* mb_link_asm_dos = alias for mb_link_asm_0100 -* mb_hex2bin -* mb_openmsx_dosctl -* mb_msxhub_file -* mb_package_emuctl -* mb_package_dos1 -* mb_package_dos2 -* mb_package_nextor -* mb_package_macro80 -* mb_package_z80asmuk -* mb_package_popcom -* mb_package_dmphex -* mb_package_baskom -* mb_package_pmarc -* mb_package_lhpack -* mb_package_lhext -* mb_package_gunzip -* mb_package_tunzip -* mb_package_turbo -* mb_package_binldr -* mb_package_gfxage -* mb_msxrom_file -* mb_msxrom_setup -* mb_msxrom_extension_ide_nextor -* mb_msxrom_extension_rs232 -* mb_msxrom_extension_fmpac -* mb_msxrom_extension_moonsound -* mb_msxrom_extension_basickun -* mb_msxrom_machine_Canon_V-20 -* mb_msxrom_machine_Philips_NMS_8250 -* mb_msxrom_machine_Boosted_MSX2_EN * mb_autoexec_append_cmd * mb_autoexec_append_echo * mb_autoexec_append_rem @@ -123,10 +87,66 @@ Current set is WIP. * mb_autoexec_open_gui80_cmd * mb_autoexec_safe_cmd * mb_autoexec_safe_test +* mb_msxhub_file +* mb_msxhub_get_dos1 +* mb_msxhub_get_dos2 +* mb_msxhub_get_nextor +* mb_msxhub_get_macro80 +* mb_msxhub_get_z80asmuk +* mb_msxhub_get_popcom +* mb_msxhub_get_dmphex +* mb_msxhub_get_baskom +* mb_msxhub_get_pmarc +* mb_msxhub_get_lhpack +* mb_msxhub_get_lhext +* mb_msxhub_get_gunzip +* mb_msxhub_get_tunzip +* mb_msxhub_get_turbo +* mb_msxhub_get_binldr +* mb_msxhub_get_gfxage +* mb_msxrom_file +* mb_msxrom_setup +* mb_msxrom_extension_ide +* mb_msxrom_extension_ide_nextor +* mb_msxrom_extension_scsi_novaxis +* mb_msxrom_extension_msxdos22 +* mb_msxrom_extension_rs232 +* mb_msxrom_extension_fmpac +* mb_msxrom_extension_fmpac_en +* mb_msxrom_extension_moonsound +* mb_msxrom_extension_basickun +* mb_msxrom_machine_Canon_V-20 +* mb_msxrom_machine_Mitsubishi_ML-F80 +* mb_msxrom_machine_Mitsubishi_ML-FX1 +* mb_msxrom_machine_Mitsubishi_ML-G3_ES +* mb_msxrom_machine_Philips_VG_8000 +* mb_msxrom_machine_Philips_VG_8230 +* mb_msxrom_machine_Philips_NMS_8250 +* mb_msxrom_machine_Toshiba_HX-21.xml +* mb_msxrom_machine_Yamaha_AX200 +* mb_msxrom_machine_Yamaha_YIS-503IIIR +* mb_msxrom_machine_Panasonic_FS-A1WSX +* mb_msxrom_machine_Boosted_MSX2_EN +* mb_msxrom_machine_Boosted_MSX2+_JP +* mb_openmsx_setup +* mb_openmsx_dosctl +* mb_sdcc_compile_asm +* mb_sdcc_link_asm_lib +* mb_sdcc_link_asm +* mb_sdcc_link_asm_0000 = calls mb_sdcc_link_asm,$(1),$(2),0x0000 +* mb_sdcc_link_asm_0100 +* mb_sdcc_link_asm_1000 +* mb_sdcc_link_asm_4000 +* mb_sdcc_link_asm_8000 +* mb_sdcc_link_asm_C000 +* mb_sdcc_link_asm_dos = calls mb_sdcc_link_asm_0100 +* mb_sdcc_hex2bin * mb_clean * mb_mkdir * mb_delete * mb_copy +* mb_unix2dos +* mb_dos2unix * mb_create_dist ## Errata diff --git a/lib/make/mb_build.mk b/lib/make/mb_build.mk deleted file mode 100644 index ef39403..0000000 --- a/lib/make/mb_build.mk +++ /dev/null @@ -1,58 +0,0 @@ - -MB_BUILD_FLAG_CPU ?= -mz80 -MB_BUILD_FLAG_LD ?= --nostdinc -MB_BUILD_SDASM_FLAGS ?= -g -l -c -o -MB_BUILD_SDCC_FLAGS ?= -MB_BUILD_SDAR_FLAGS ?= -rc -MB_BUILD_SDCC_CMD ?= $(PATH_SDCC)/sdcc -MB_BUILD_SDASM_CMD ?= $(PATH_SDCC)/sdasz80 -MB_BUILD_SDAR_CMD ?= $(PATH_SDCC)/sdar -MB_BUILD_SDOBJCOPY_CMD ?= $(PATH_SDCC)/sdobjcopy - -# TODO: Add C + mixed support; -#$(MB_SDASM) -I$(MB_LIBASM_SRC)/include -#-l$(LIBASM_LINK) - -define mb_unix2dos - unix2dos -n $(1) $(2) -endef - -define mb_compile_asm - @echo === Compile module asm. - $(MB_BUILD_SDASM_CMD) $(MB_BUILD_SDASM_FLAGS) $(1) $(2) -endef - -define mb_link_asm_lib - @echo === Link module asm lib. - $(MB_BUILD_SDAR_CMD) $(MB_BUILD_SDAR_FLAGS) $(1) $(2) -endef - -define mb_link_asm - @echo === Link asm module at $(3) - $(MB_BUILD_SDCC_CMD) $(MB_BUILD_FLAG_CPU) $(MB_BUILD_SDCC_FLAGS) --no-std-crt0 --code-loc $(3) -o $(1) $(2) -endef -define mb_link_asm_0000 - $(call mb_link_asm,$(1),$(2),0x0000) -endef -define mb_link_asm_0100 - $(call mb_link_asm,$(1),$(2),0x0100) -endef -define mb_link_asm_1000 - $(call mb_link_asm,$(1),$(2),0x1000) -endef -define mb_link_asm_4000 - $(call mb_link_asm,$(1),$(2),0x4000) -endef -define mb_link_asm_8000 - $(call mb_link_asm,$(1),$(2),0x8000) -endef -define mb_link_asm_C000 - $(call mb_link_asm,$(1),$(2),0xC000) -endef -define mb_link_asm_dos - $(call mb_link_asm_0100,$(1),$(2)) -endef - -define mb_hex2bin - $(MB_BUILD_SDOBJCOPY_CMD) -I ihex --output-target=binary $(1) $(2) -endef diff --git a/lib/make/mb_msxhub.mk b/lib/make/mb_msxhub.mk index 10a1a85..0c2053a 100644 --- a/lib/make/mb_msxhub.mk +++ b/lib/make/mb_msxhub.mk @@ -14,3 +14,98 @@ define mb_msxhub_file $(if $(wildcard $(1)/$(call _mb_lowercase,$(notdir $(2)))),,$(call mb_copy,$(MB_MSXHUB_CACHE)/$(2),$(1)/$(call _mb_lowercase,$(notdir $(2))))) $(if $(filter true,$(3)),$(call mb_copy,$(MB_MSXHUB_CACHE)/$(2),$(1)/$(call _mb_lowercase,$(notdir $(2))))) endef + + +# +# Operating System +# +define mb_msxhub_get_dos1 + $(call mb_msxhub_file,$(1),MSXDOS1/1.03-2/get/MSXDOS1/MSXDOS.SYS) + $(call mb_msxhub_file,$(1),MSXDOS1/1.03-2/get/MSXDOS1/COMMAND.COM) +endef +define mb_msxhub_get_dos2 + $(call mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/MSXDOS2.SYS) + $(call mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/COMMAND2.COM) +endef +define mb_msxhub_get_nextor + $(call mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/NEXTOR.SYS) + $(call mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/COMMAND2.COM) +endef + + +# +# Compilers +# +define mb_msxhub_get_macro80 + $(call mb_msxhub_file,$(1),MACRO80/2.0-1/get/MACRO80/CREF80.COM) + $(call mb_msxhub_file,$(1),MACRO80/2.0-1/get/MACRO80/L80.COM) + $(call mb_msxhub_file,$(1),MACRO80/2.0-1/get/MACRO80/LIB80.COM) + $(call mb_msxhub_file,$(1),MACRO80/2.0-1/get/MACRO80/M80.COM) + $(call mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/HEXTOCOM.COM) + $(call mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/HEXTOBIN.COM) +endef +define mb_msxhub_get_z80asmuk + $(call mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/BINTOASM.COM) + $(call mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/DUMP.COM) + $(call mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/HEXTOCOM.COM) + $(call mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/PRL.COM) + $(call mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/PRNTOSYM.COM) + $(call mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/RSX.COM) + $(call mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/ZSM.COM) + $(call mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/ZSMPP.COM) + $(call mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/HEXTOBIN.COM) +endef + + +# +# Compressors +# +define mb_msxhub_get_pmarc + $(call mb_msxhub_file,$(1),PMARC/2.0-1/get/PMARC/PMARC.COM) + $(call mb_msxhub_file,$(1),PMARC/2.0-1/get/PMARC/PMCAT.COM) + $(call mb_msxhub_file,$(1),PMARC/2.0-1/get/PMARC/PMEXE2.COM) + $(call mb_msxhub_file,$(1),PMARC/2.0-1/get/PMARC/PMEXT.COM) + $(call mb_msxhub_file,$(1),PMARC/2.0-1/get/PMARC/PMSET.COM) + $(call mb_msxhub_file,$(1),PMARC/2.0-1/get/PMARC/PMSFX2.COM) +endef +define mb_msxhub_get_lhpack + $(call mb_msxhub_file,$(1),LHPACK/1.00-1/get/LHPACK/lhpack.com) +endef +define mb_msxhub_get_lhext + $(call mb_msxhub_file,$(1),LHEXT/1.34-2/get/LHEXT/lhext.com) +endef +define mb_msxhub_get_gunzip + $(call mb_msxhub_file,$(1),GUNZIP/1.1-1/get/GUNZIP/gunzip.com) +endef +define mb_msxhub_get_tunzip + $(call mb_msxhub_file,$(1),TUNZIP/0.91-1/get/TUNZIP/tunzip.com) +endef +define mb_msxhub_get_popcom + $(call mb_msxhub_file,$(1),POPCOM/1.00-2/get/POPCOM/popcom.com) +endef + + +# +# Tools +# +define mb_msxhub_get_turbo + $(call mb_msxhub_file,$(1),TURBO/2.1-1/get/TURBO/turbo.com) +endef +define mb_msxhub_get_baskom + $(call mb_msxhub_file,$(1),BASKOM/1.0-1/get/BASKOM/baskom.com) +endef +define mb_msxhub_get_binldr + $(call mb_msxhub_file,$(1),BINLDR/1.0.2-1/get/BINLDR/BINLDR.COM) +endef +define mb_msxhub_get_dmphex + $(call mb_msxhub_file,$(1),DMPHEX/1.00-1/get/DMPHEX/dmphex.com) +endef + + +# +# Graphics editors +# +define mb_msxhub_get_gfxage + $(call mb_msxhub_file,$(1),GFXAGE/0.99-1/get/GFXAGE/gfxage.com) +endef + diff --git a/lib/make/mb_msxrom.mk b/lib/make/mb_msxrom.mk index 3846fc5..24121a7 100644 --- a/lib/make/mb_msxrom.mk +++ b/lib/make/mb_msxrom.mk @@ -59,6 +59,10 @@ define mb_msxrom_extension_basickun $(call mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,machines/sanyo/phc-70fd2_basickun.rom) endef +define mb_msxrom_machine_Canon_V-20 + $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/canon/v-20_basic-bios1.rom) +endef + define mb_msxrom_machine_Mitsubishi_ML-F80 $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/mitsubishi/ml-f80_basic-bios1.rom) endef @@ -74,10 +78,6 @@ define mb_msxrom_machine_Mitsubishi_ML-G3_ES $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/mitsubishi/ml-g3_es_rs232.rom) endef -define mb_msxrom_machine_Canon_V-20 - $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/canon/v-20_basic-bios1.rom) -endef - define mb_msxrom_machine_Philips_VG_8000 $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/philips/vg8000_basic-bios1.rom) endef diff --git a/lib/make/mb_openmsx.mk b/lib/make/mb_openmsx.mk index e691f7e..1275e1b 100644 --- a/lib/make/mb_openmsx.mk +++ b/lib/make/mb_openmsx.mk @@ -64,5 +64,8 @@ endef define mb_openmsx_dosctl $(if $(wildcard $(1)-omsx),,$(call mb_openmsx_setup,$(1),$(if $(2),$(2),$(MB_OPENMSX_MACHINE)))) $(if $(wildcard $(1)/omsxctl.com),,$(call mb_copy,$(MB_OPENMSX_CTLCACHE)/omsxctl.com,$(1))) + $(if $(wildcard $(1)/reboot.bat),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/emuctl/reboot.bat,$(1))) + $(if $(wildcard $(1)/shutdown.bat),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/emuctl/shutdown.bat,$(1))) + $(if $(wildcard $(1)/z80.bat),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/emuctl/z80.bat,$(1))) $(call _mb_openmsx_run,$(1),$(if $(2),$(2),$(MB_OPENMSX_MACHINE))) endef diff --git a/lib/make/mb_packages.mk b/lib/make/mb_packages.mk deleted file mode 100644 index a55bbe5..0000000 --- a/lib/make/mb_packages.mk +++ /dev/null @@ -1,100 +0,0 @@ -# MSXHUB fetch package files functions -define mb_package_emuctl - $(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/emuctl/reboot.bat,$(1)) - $(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/emuctl/shutdown.bat,$(1)) - $(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/emuctl/z80.bat,$(1)) -endef - -# -# Operating System -# -define mb_package_dos1 - $(call mb_msxhub_file,$(1),MSXDOS1/1.03-2/get/MSXDOS1/MSXDOS.SYS) - $(call mb_msxhub_file,$(1),MSXDOS1/1.03-2/get/MSXDOS1/COMMAND.COM) -endef -define mb_package_dos2 - $(call mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/MSXDOS2.SYS) - $(call mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/COMMAND2.COM) -endef -define mb_package_nextor - $(call mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/NEXTOR.SYS) - $(call mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/COMMAND2.COM) -endef - - -# -# Compilers -# -define mb_package_macro80 - $(call mb_msxhub_file,$(1),MACRO80/2.0-1/get/MACRO80/CREF80.COM) - $(call mb_msxhub_file,$(1),MACRO80/2.0-1/get/MACRO80/L80.COM) - $(call mb_msxhub_file,$(1),MACRO80/2.0-1/get/MACRO80/LIB80.COM) - $(call mb_msxhub_file,$(1),MACRO80/2.0-1/get/MACRO80/M80.COM) - $(call mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/HEXTOCOM.COM) - $(call mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/HEXTOBIN.COM) -endef -define mb_package_z80asmuk - $(call mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/BINTOASM.COM) - $(call mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/DUMP.COM) - $(call mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/HEXTOCOM.COM) - $(call mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/PRL.COM) - $(call mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/PRNTOSYM.COM) - $(call mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/RSX.COM) - $(call mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/ZSM.COM) - $(call mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/ZSMPP.COM) - $(call mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/HEXTOBIN.COM) -endef - - -# -# Compressors -# -define mb_package_pmarc - $(call mb_msxhub_file,$(1),PMARC/2.0-1/get/PMARC/PMARC.COM) - $(call mb_msxhub_file,$(1),PMARC/2.0-1/get/PMARC/PMCAT.COM) - $(call mb_msxhub_file,$(1),PMARC/2.0-1/get/PMARC/PMEXE2.COM) - $(call mb_msxhub_file,$(1),PMARC/2.0-1/get/PMARC/PMEXT.COM) - $(call mb_msxhub_file,$(1),PMARC/2.0-1/get/PMARC/PMSET.COM) - $(call mb_msxhub_file,$(1),PMARC/2.0-1/get/PMARC/PMSFX2.COM) -endef -define mb_package_lhpack - $(call mb_msxhub_file,$(1),LHPACK/1.00-1/get/LHPACK/lhpack.com) -endef -define mb_package_lhext - $(call mb_msxhub_file,$(1),LHEXT/1.34-2/get/LHEXT/lhext.com) -endef -define mb_package_gunzip - $(call mb_msxhub_file,$(1),GUNZIP/1.1-1/get/GUNZIP/gunzip.com) -endef -define mb_package_tunzip - $(call mb_msxhub_file,$(1),TUNZIP/0.91-1/get/TUNZIP/tunzip.com) -endef -define mb_package_popcom - $(call mb_msxhub_file,$(1),POPCOM/1.00-2/get/POPCOM/popcom.com) -endef - - -# -# Tools -# -define mb_package_turbo - $(call mb_msxhub_file,$(1),TURBO/2.1-1/get/TURBO/turbo.com) -endef -define mb_package_baskom - $(call mb_msxhub_file,$(1),BASKOM/1.0-1/get/BASKOM/baskom.com) -endef -define mb_package_binldr - $(call mb_msxhub_file,$(1),BINLDR/1.0.2-1/get/BINLDR/BINLDR.COM) -endef -define mb_package_dmphex - $(call mb_msxhub_file,$(1),DMPHEX/1.00-1/get/DMPHEX/dmphex.com) -endef - - -# -# Graphics editors -# -define mb_package_gfxage - $(call mb_msxhub_file,$(1),GFXAGE/0.99-1/get/GFXAGE/gfxage.com) -endef - diff --git a/lib/make/mb_sdcc.mk b/lib/make/mb_sdcc.mk new file mode 100644 index 0000000..e8fe860 --- /dev/null +++ b/lib/make/mb_sdcc.mk @@ -0,0 +1,54 @@ + +MB_SDCC_FLAG_CPU ?= -mz80 +MB_SDCC_FLAG_LD ?= --nostdinc +MB_SDCC_ASM_FLAGS ?= -g -l -c -o +MB_SDCC_CC_FLAGS ?= +MB_SDCC_AR_FLAGS ?= -rc +MB_SDCC_CC_CMD ?= $(PATH_SDCC)/sdcc +MB_SDCC_ASM_CMD ?= $(PATH_SDCC)/sdasz80 +MB_SDCC_AR_CMD ?= $(PATH_SDCC)/sdar +MB_SDCC_OBJCOPY_CMD ?= $(PATH_SDCC)/sdobjcopy + +# TODO: Add C + mixed support; +#$(MB_SDASM) -I$(MB_LIBASM_SRC)/include +#-l$(LIBASM_LINK) + +define mb_sdcc_compile_asm + @echo === Compile module asm. + $(MB_SDCC_ASM_CMD) $(MB_SDCC_ASM_FLAGS) $(1) $(2) +endef + +define mb_sdcc_link_asm_lib + @echo === Link module asm lib. + $(MB_SDCC_AR_CMD) $(MB_SDCC_AR_FLAGS) $(1) $(2) +endef + +define mb_sdcc_link_asm + @echo === Link asm module at $(3) + $(MB_SDCC_CC_CMD) $(MB_SDCC_FLAG_CPU) $(MB_SDCC_CC_FLAGS) --no-std-crt0 --code-loc $(3) -o $(1) $(2) +endef +define mb_sdcc_link_asm_0000 + $(call mb_sdcc_link_asm,$(1),$(2),0x0000) +endef +define mb_sdcc_link_asm_0100 + $(call mb_sdcc_link_asm,$(1),$(2),0x0100) +endef +define mb_sdcc_link_asm_1000 + $(call mb_sdcc_link_asm,$(1),$(2),0x1000) +endef +define mb_sdcc_link_asm_4000 + $(call mb_sdcc_link_asm,$(1),$(2),0x4000) +endef +define mb_sdcc_link_asm_8000 + $(call mb_sdcc_link_asm,$(1),$(2),0x8000) +endef +define mb_sdcc_link_asm_C000 + $(call mb_sdcc_link_asm,$(1),$(2),0xC000) +endef +define mb_sdcc_link_asm_dos + $(call mb_sdcc_link_asm_0100,$(1),$(2)) +endef + +define mb_sdcc_hex2bin + $(MB_SDCC_OBJCOPY_CMD) -I ihex --output-target=binary $(1) $(2) +endef diff --git a/lib/make/msxbuild.mk b/lib/make/msxbuild.mk index 35fd18e..ab93c25 100644 --- a/lib/make/msxbuild.mk +++ b/lib/make/msxbuild.mk @@ -51,15 +51,20 @@ endef define mb_copy $(MB_COPY) $(1) $(2) endef +define mb_unix2dos + unix2dos -q -n $(1) $(2) +endef +define mb_dos2unix + dos2unix -q -n $(1) $(2) +endef define mb_create_dist @echo === Creating distribution archive. tar -czf $(1) -C $(2) `ls $(2)` endef # Include extra features -include $(PATH_MSXBUILD)/lib/make/mb_autoexec.mk +include $(PATH_MSXBUILD)/lib/make/mb_sdcc.mk include $(PATH_MSXBUILD)/lib/make/mb_msxrom.mk include $(PATH_MSXBUILD)/lib/make/mb_msxhub.mk -include $(PATH_MSXBUILD)/lib/make/mb_packages.mk include $(PATH_MSXBUILD)/lib/make/mb_openmsx.mk -include $(PATH_MSXBUILD)/lib/make/mb_build.mk +include $(PATH_MSXBUILD)/lib/make/mb_autoexec.mk diff --git a/test/ahello-m80/0module.mk b/test/ahello-m80/0module.mk index 6651eec..038d6c0 100644 --- a/test/ahello-m80/0module.mk +++ b/test/ahello-m80/0module.mk @@ -4,9 +4,8 @@ BUILD_HELP += \\n\\t* $(TEST_AHELLO_M80)/ahello.com\\n\\t* test-ahello-m8 $(TEST_AHELLO_M80): $(call mb_mkdir,$(TEST_AHELLO_M80)) - $(call mb_package_emuctl,$(TEST_AHELLO_M80)) - $(call mb_package_macro80,$(TEST_AHELLO_M80)) - $(call mb_package_nextor,$(TEST_AHELLO_M80)) + $(call mb_msxhub_get_macro80,$(TEST_AHELLO_M80)) + $(call mb_msxhub_get_nextor,$(TEST_AHELLO_M80)) $(TEST_AHELLO_M80)/%.mac: test/ahello-m80/%.mac | $(TEST_AHELLO_M80) $(call mb_unix2dos,$<,$@) diff --git a/test/ahello-sdcc/0module.mk b/test/ahello-sdcc/0module.mk index d89da41..1ddbcd2 100644 --- a/test/ahello-sdcc/0module.mk +++ b/test/ahello-sdcc/0module.mk @@ -4,17 +4,16 @@ BUILD_HELP += \\n\\t* $(TEST_AHELLO_SDCC)/ahello.com\\n\\t* test-ahello-s $(TEST_AHELLO_SDCC): $(call mb_mkdir,$(TEST_AHELLO_SDCC)) - $(call mb_package_emuctl,$(TEST_AHELLO_SDCC)) - $(call mb_package_nextor,$(TEST_AHELLO_SDCC)) + $(call mb_msxhub_get_nextor,$(TEST_AHELLO_SDCC)) $(TEST_AHELLO_SDCC)/%.rel: test/ahello-sdcc/%.asm | $(TEST_AHELLO_SDCC) - $(call mb_compile_asm,$@,$<) + $(call mb_sdcc_compile_asm,$@,$<) $(TEST_AHELLO_SDCC)/ahello.hex: $(TEST_AHELLO_SDCC)/ahello.rel - $(call mb_link_asm_dos,$(TEST_AHELLO_SDCC)/ahello.hex,$(TEST_AHELLO_SDCC)/ahello.rel) + $(call mb_sdcc_link_asm_dos,$(TEST_AHELLO_SDCC)/ahello.hex,$(TEST_AHELLO_SDCC)/ahello.rel) $(TEST_AHELLO_SDCC)/ahello.com: $(TEST_AHELLO_SDCC)/ahello.hex - $(call mb_hex2bin,$(TEST_AHELLO_SDCC)/ahello.hex,$(TEST_AHELLO_SDCC)/ahello.com) + $(call mb_sdcc_hex2bin,$(TEST_AHELLO_SDCC)/ahello.hex,$(TEST_AHELLO_SDCC)/ahello.com) .PHONY: test-ahello-sdcc-run test-ahello-sdcc-run: $(TEST_AHELLO_SDCC)/ahello.com diff --git a/test/dist-qa-dos1/0module.mk b/test/dist-qa-dos1/0module.mk index 55bdfe7..0b63519 100644 --- a/test/dist-qa-dos1/0module.mk +++ b/test/dist-qa-dos1/0module.mk @@ -5,8 +5,7 @@ BUILD_HELP += \\n\\t* test-dist-qa-dos1-run\\n\\t* test-dist-qa-dos $(TEST_DIST_QA_DOS1): $(call mb_mkdir,$(TEST_DIST_QA_DOS1)) - $(call mb_package_emuctl,$(TEST_DIST_QA_DOS1)) - $(call mb_package_dos1,$(TEST_DIST_QA_DOS1)) + $(call mb_msxhub_get_dos1,$(TEST_DIST_QA_DOS1)) $(TEST_DIST_QA_DOS1)/%: bin/test/dist/% | $(TEST_DIST_QA_DOS1) $(TEST_DIST_DEPS) $(call mb_copy,$<,$@) diff --git a/test/dist-qa-dos2/0module.mk b/test/dist-qa-dos2/0module.mk index cabe8da..a942ad9 100644 --- a/test/dist-qa-dos2/0module.mk +++ b/test/dist-qa-dos2/0module.mk @@ -5,8 +5,7 @@ BUILD_HELP += \\n\\t* test-dist-qa-dos2-run\\n\\t* test-dist-qa-dos $(TEST_DIST_QA_DOS2): $(call mb_mkdir,$(TEST_DIST_QA_DOS2)) - $(call mb_package_emuctl,$(TEST_DIST_QA_DOS2)) - $(call mb_package_dos2,$(TEST_DIST_QA_DOS2)) + $(call mb_msxhub_get_dos2,$(TEST_DIST_QA_DOS2)) $(TEST_DIST_QA_DOS2)/%: bin/test/dist/% | $(TEST_DIST_QA_DOS2) $(TEST_DIST_DEPS) $(call mb_copy,$<,$@) diff --git a/test/dist-qa-msx1/0module.mk b/test/dist-qa-msx1/0module.mk index 2156c70..43f9c63 100644 --- a/test/dist-qa-msx1/0module.mk +++ b/test/dist-qa-msx1/0module.mk @@ -6,8 +6,7 @@ BUILD_HELP += \\n\\t* test-dist-qa-msx1-run\\n\\t* test-dist-qa-m $(TEST_DIST_QA_MSX1): $(call mb_mkdir,$(TEST_DIST_QA_MSX1)) - $(call mb_package_emuctl,$(TEST_DIST_QA_MSX1)) - $(call mb_package_dos2,$(TEST_DIST_QA_MSX1)) + $(call mb_msxhub_get_dos2,$(TEST_DIST_QA_MSX1)) $(TEST_DIST_QA_MSX1)/%: bin/test/dist/% | $(TEST_DIST_QA_MSX1) $(TEST_DIST_DEPS) $(call mb_copy,$<,$@) From 857cc43fa5e2287e2c31052dadc8213d1f9f4af2 Mon Sep 17 00:00:00 2001 From: Willem Date: Fri, 28 Jun 2024 14:26:48 +0200 Subject: [PATCH 028/274] Fixed sdcc asm compiler make variable names. --- lib/make/mb_sdcc.mk | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) diff --git a/lib/make/mb_sdcc.mk b/lib/make/mb_sdcc.mk index e8fe860..d22e035 100644 --- a/lib/make/mb_sdcc.mk +++ b/lib/make/mb_sdcc.mk @@ -1,11 +1,11 @@ MB_SDCC_FLAG_CPU ?= -mz80 MB_SDCC_FLAG_LD ?= --nostdinc -MB_SDCC_ASM_FLAGS ?= -g -l -c -o +MB_SDCC_ASZ80_FLAGS ?= -g -l -c -o MB_SDCC_CC_FLAGS ?= MB_SDCC_AR_FLAGS ?= -rc MB_SDCC_CC_CMD ?= $(PATH_SDCC)/sdcc -MB_SDCC_ASM_CMD ?= $(PATH_SDCC)/sdasz80 +MB_SDCC_ASZ80_CMD ?= $(PATH_SDCC)/sdasz80 MB_SDCC_AR_CMD ?= $(PATH_SDCC)/sdar MB_SDCC_OBJCOPY_CMD ?= $(PATH_SDCC)/sdobjcopy @@ -15,7 +15,7 @@ MB_SDCC_OBJCOPY_CMD ?= $(PATH_SDCC)/sdobjcopy define mb_sdcc_compile_asm @echo === Compile module asm. - $(MB_SDCC_ASM_CMD) $(MB_SDCC_ASM_FLAGS) $(1) $(2) + $(MB_SDCC_ASZ80_CMD) $(MB_SDCC_ASZ80_FLAGS) $(1) $(2) endef define mb_sdcc_link_asm_lib From 36d40dbbdd56c0ce41e9653cd336f8b85f443434 Mon Sep 17 00:00:00 2001 From: Willem Date: Fri, 28 Jun 2024 14:31:06 +0200 Subject: [PATCH 029/274] Shorted video recorder env var. --- lib/make/mb_openmsx.mk | 2 +- lib/openmsx/share/scripts/boot_env.tcl | 10 +++++----- 2 files changed, 6 insertions(+), 6 deletions(-) diff --git a/lib/make/mb_openmsx.mk b/lib/make/mb_openmsx.mk index 1275e1b..aa524a9 100644 --- a/lib/make/mb_openmsx.mk +++ b/lib/make/mb_openmsx.mk @@ -49,7 +49,7 @@ define _mb_openmsx_run SPEED=$(MB_OPENMSX_SPEED) \ RENDERER=$(MB_OPENMSX_RENDERER) \ THROTTLE=$(MB_OPENMSX_THROTTLE) \ - RECORD_SESSION=$(if $(filter on,$(MB_OPENMSX_RECORDER)),"$(1)-omsx/output.avi") \ + RECORDER=$(if $(filter on,$(MB_OPENMSX_RECORDER)),"$(1)-omsx/output.avi") \ OPENMSX_USER_DATA="$(1)-omsx/share" \ $(PATH_OPENMSX)/openmsx \ -machine $(2) \ diff --git a/lib/openmsx/share/scripts/boot_env.tcl b/lib/openmsx/share/scripts/boot_env.tcl index c9dd304..d67aed9 100644 --- a/lib/openmsx/share/scripts/boot_env.tcl +++ b/lib/openmsx/share/scripts/boot_env.tcl @@ -21,8 +21,8 @@ # JOYPORTB=mouse # Inserts mouse in joyportb. # -# RECORD_SESSION=bin/output.avi -# Enables the session video recorder. +# RECORDER=bin/output.avi +# Enables the the video recorder. # if {[info exists ::env(RENDERER)] && ([string trim $::env(RENDERER)] != "")} { @@ -67,9 +67,9 @@ if {[info exists ::env(JOYPORTB)] && ([string trim $::env(JOYPORTB)] != "")} { } } -if {[info exists ::env(RECORD_SESSION)] && ([string trim $::env(RECORD_SESSION)] != "")} { - if {[catch {after time 1 "record start [string trim $::env(RECORD_SESSION)]"} err_msg]} { - puts stderr "error: env.RECORD_SESSION value $err_msg" +if {[info exists ::env(RECORDER)] && ([string trim $::env(RECORDER)] != "")} { + if {[catch {after time 1 "record start [string trim $::env(RECORDER)]"} err_msg]} { + puts stderr "error: env.RECORDER value $err_msg" exit 1 } } From 8de341a9f86c8b5d6bb7d1396048b4c91bc5260a Mon Sep 17 00:00:00 2001 From: Willem Date: Fri, 28 Jun 2024 14:45:43 +0200 Subject: [PATCH 030/274] Docs added msxrom and moved sdcc as last feature. --- README.md | 7 ++++--- 1 file changed, 4 insertions(+), 3 deletions(-) diff --git a/README.md b/README.md index 571d005..d19e325 100644 --- a/README.md +++ b/README.md @@ -21,11 +21,12 @@ The files in this repro try to handle these steps for you. ## Features -* SDCC msx build steps. -* Allows headless openMSX buildpipe. +* Allows parallel headless openMSX build pipeline * openMSX safe exit failure guards. -* Automatic disk image import + export per build step. +* Automatic disk image import + export per build step * Downloads and caches resources from msxhub.com +* Auto magic openMSX extensions and machine rom's setup +* SDCC msx build steps ## Dependencies From 40fe6ae015286fdd7778200000a0cbc9d5d8e8c2 Mon Sep 17 00:00:00 2001 From: Willem Date: Fri, 28 Jun 2024 14:48:34 +0200 Subject: [PATCH 031/274] Added errata --- README.md | 1 + 1 file changed, 1 insertion(+) diff --git a/README.md b/README.md index d19e325..373f5a0 100644 --- a/README.md +++ b/README.md @@ -155,4 +155,5 @@ Current set is WIP. * Doesn't work on windows * Missing C and mixed support * If no SDL than screenshot in fail_after doesn't work +* Video recorder does not append if used in multiple build steps From 5051de99fef8a4ca1625d7abc46e5ebba4a47483 Mon Sep 17 00:00:00 2001 From: Willem Date: Fri, 28 Jun 2024 18:17:54 +0200 Subject: [PATCH 032/274] Fixed reboot watchdog fail safe. --- lib/openmsx/share/scripts/fail_after.tcl | 12 +++++++++++- 1 file changed, 11 insertions(+), 1 deletion(-) diff --git a/lib/openmsx/share/scripts/fail_after.tcl b/lib/openmsx/share/scripts/fail_after.tcl index 8e590d9..54526cd 100644 --- a/lib/openmsx/share/scripts/fail_after.tcl +++ b/lib/openmsx/share/scripts/fail_after.tcl @@ -61,10 +61,19 @@ proc fail_after { timeout {time_unit "time"} {fail_id "fail_after"} {fail_code 2 return $msg } +proc fail_after_reboot_watchdog {} { + global fail_after_boot_timeout + if {$fail_after_boot_timeout != 0} { + fail_after $fail_after_boot_timeout realtime failed_boot 124 + after boot "fail_after_reboot_watchdog" + } +} + # Globals set fail_after_prev_timer 0 set fail_after_prev_id 0 set fail_after_path 0 +set fail_after_boot_timeout 0 # Parse screenshot path env setting if {[info exists ::env(FAIL_AFTER_PATH)] && ([string trim $::env(FAIL_AFTER_PATH)] != "")} { @@ -73,5 +82,6 @@ if {[info exists ::env(FAIL_AFTER_PATH)] && ([string trim $::env(FAIL_AFTER_PATH # Enables boot watch dog timer when FAIL_AFTER_BOOT env has a value. (124 see `man timeout`) if {[info exists ::env(FAIL_AFTER_BOOT)] && ([string trim $::env(FAIL_AFTER_BOOT)] != "")} { - fail_after [string trim $::env(FAIL_AFTER_BOOT)] realtime failed_boot 124 + set fail_after_boot_timeout [string trim $::env(FAIL_AFTER_BOOT)] + fail_after_reboot_watchdog } From dc8b8a535e9167bdd2460f060262cfba6467df2d Mon Sep 17 00:00:00 2001 From: Willem Date: Fri, 28 Jun 2024 18:52:59 +0200 Subject: [PATCH 033/274] Fixed message for mode 40 output. --- lib/openmsx/share/scripts/fail_after.tcl | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/lib/openmsx/share/scripts/fail_after.tcl b/lib/openmsx/share/scripts/fail_after.tcl index 54526cd..7a8ed57 100644 --- a/lib/openmsx/share/scripts/fail_after.tcl +++ b/lib/openmsx/share/scripts/fail_after.tcl @@ -2,7 +2,7 @@ # # Typically used in combination with the MSX-DOS 'omsxctl.com' utility. # -# Adds two environment variables and two commands to openMSX; +# Adds two commands to openMSX; # # 'fail_after timeout [timeunit] [fail_id] [fail_code]' # Schedules an openMSX exit after the timeout. @@ -54,7 +54,7 @@ proc fail_after { timeout {time_unit "time"} {fail_id "fail_after"} {fail_code 2 puts stderr "error: $err_msg" fail_after_exit fail_after_timer_error 1 } - set msg "$msg\n$fail_id: Automatic failure in $timeout $time_unit seconds." + set msg "$msg\n$fail_id: Failure in $timeout $time_unit sec" } else { set fail_after_prev_timer 0 } From 2896fa31bfb8e96a3b4a266fdeaf0e5a6c957cc1 Mon Sep 17 00:00:00 2001 From: Willem Date: Fri, 28 Jun 2024 19:04:15 +0200 Subject: [PATCH 034/274] Cleaned machine boot messages. --- README.md | 8 +++--- lib/make/mb_autoexec.mk | 16 ++++++------ lib/make/mb_openmsx.mk | 34 ++++++++++++++------------ lib/openmsx/share/scripts/boot_env.tcl | 33 ++++++++++++++++++++++--- 4 files changed, 59 insertions(+), 32 deletions(-) diff --git a/README.md b/README.md index 373f5a0..76280a5 100644 --- a/README.md +++ b/README.md @@ -54,16 +54,16 @@ When you want to see whats happening do a debug run; real 0m2.400s debug run at 11 Mhz; - time MB_OPENMSX_RENDERER=SDL MB_OPENMSX_THROTTLE=on make assert-all + time MB_OPENMSX_RENDERER=on MB_OPENMSX_THROTTLE=on make assert-all real 0m49.609s debug run real msx speed; - time MB_OPENMSX_RENDERER=SDL MB_OPENMSX_THROTTLE=on MB_OPENMSX_SPEED=100 make assert-all + time MB_OPENMSX_RENDERER=on MB_OPENMSX_THROTTLE=on MB_OPENMSX_SPEED=100 make assert-all real 2m37.379s debug run with video recorder; - time MB_OPENMSX_RENDERER=SDL MB_OPENMSX_RECORDER=on make assert-all - real 0m6.556s + time MB_OPENMSX_RENDERER=on MB_OPENMSX_RECORDER=on make -s -j4 assert-all + real 0m4.479s build parallel; time make -s -j4 assert-all diff --git a/lib/make/mb_autoexec.mk b/lib/make/mb_autoexec.mk index 9c811f9..cd7b16c 100644 --- a/lib/make/mb_autoexec.mk +++ b/lib/make/mb_autoexec.mk @@ -1,6 +1,4 @@ -MB_AUTOEXEC_BYSELF ?= on -MB_AUTOEXEC_BYSELF_MSG ?= Run msxbuild: MB_AUTOEXEC_VERSION ?= on MB_AUTOEXEC_STARTUP_TIMEOUT ?= 60 MB_AUTOEXEC_STARTUP_EXITCODE ?= 124 @@ -19,10 +17,8 @@ define mb_autoexec_append_rem $(call mb_autoexec_append_cmd,$(1),rem $(2)) endef define mb_autoexec_append_gui_mode - $(call mb_autoexec_append_echo,$(1),Enabling SDL renderer.) - $(call mb_autoexec_append_cmd,$(1),omsxctl set renderer SDL) - $(call mb_autoexec_append_echo,$(1),Enabling CPU throttling.) - $(call mb_autoexec_append_cmd,$(1),omsxctl set throttle on) + $(call mb_autoexec_append_echo,$(1),Enabling boot GUI mode.) + $(call mb_autoexec_append_cmd,$(1),omsxctl boot_gui_mode) endef define mb_autoexec_append_stop_fail $(call mb_autoexec_append_echo,$(1),Disabling automatic failure.) @@ -44,13 +40,15 @@ endef define mb_autoexec_write_default @echo === Writing autoexec.bat for $(notdir $(1)) @echo -n "" > $(1)/autoexec.bat + $(call mb_autoexec_append_cmd,$(1),omsxctl setcolor 4 000) + $(call mb_autoexec_append_cmd,$(1),omsxctl setcolor 15 777) $(if $(filter 40,$(2)),$(call mb_autoexec_append_cmd,$(1),mode 40),$(call mb_autoexec_append_cmd,$(1),mode 80)) $(if $(filter 40,$(2)),$(call mb_autoexec_append_echo,$(1),Enabled mode 40.),$(call mb_autoexec_append_echo,$(1),Enabled mode 80.)) $(call mb_autoexec_append_cmd,$(1),omsxctl fail_after $(MB_AUTOEXEC_STARTUP_TIMEOUT) seconds failed_exec $(MB_AUTOEXEC_STARTUP_EXITCODE)) - $(call mb_autoexec_append_cmd,$(1),omsxctl setcolor 4 000) - $(call mb_autoexec_append_cmd,$(1),omsxctl setcolor 15 777) $(if $(filter on,$(MB_AUTOEXEC_VERSION)),$(call mb_autoexec_append_cmd,$(1),ver)) - $(if $(filter on,$(MB_AUTOEXEC_BYSELF)),$(call mb_autoexec_append_echo,$(1),$(MB_AUTOEXEC_BYSELF_MSG) $(notdir $(1)))) + $(if $(filter on,$(MB_AUTOEXEC_VERSION)),$(call mb_autoexec_append_cmd,$(1),omsxctl machine_info config_name)) + $(if $(filter on,$(MB_AUTOEXEC_VERSION)),$(call mb_autoexec_append_cmd,$(1),omsxctl openmsx_info version)) + $(call mb_autoexec_append_echo,$(1),Run msxbuild: $(notdir $(1))) endef define _mb_autoexec_open_gui diff --git a/lib/make/mb_openmsx.mk b/lib/make/mb_openmsx.mk index aa524a9..576a57a 100644 --- a/lib/make/mb_openmsx.mk +++ b/lib/make/mb_openmsx.mk @@ -1,20 +1,21 @@ -MB_OPENMSX_PATH_BIN ?= bin -MB_OPENMSX_BOOT_TIMEOUT ?= 25 -MB_OPENMSX_MACHINE ?= Philips_NMS_8250 -MB_OPENMSX_SPEED ?= $(if $(findstring turboR,$(MB_OPENMSX_MACHINE)),100,333) -MB_OPENMSX_EXTS ?= -MB_OPENMSX_EXTRA_SLOT ?= -ext slotexpander -MB_OPENMSX_EXTRA_MEM ?= -ext ram4mb -MB_OPENMSX_EXTRA_HDD ?= -ext ide-nextor -MB_OPENMSX_HDD_SIZE ?= 4m -MB_OPENMSX_JOYPORTA ?= -MB_OPENMSX_JOYPORTB ?= -MB_OPENMSX_SCALE_FACTOR ?= 3 -MB_OPENMSX_THROTTLE ?= off -MB_OPENMSX_RENDERER ?= -MB_OPENMSX_RECORDER ?= off -MB_OPENMSX_CTLCACHE ?= $(MB_CACHE)/msxbuild/omsxctl +MB_OPENMSX_PATH_BIN ?= bin +MB_OPENMSX_BOOT_TIMEOUT ?= 25 +MB_OPENMSX_MACHINE ?= Philips_NMS_8250 +MB_OPENMSX_SPEED ?= $(if $(findstring turboR,$(MB_OPENMSX_MACHINE)),100,333) +MB_OPENMSX_EXTS ?= +MB_OPENMSX_EXTRA_SLOT ?= -ext slotexpander +MB_OPENMSX_EXTRA_MEM ?= -ext ram4mb +MB_OPENMSX_EXTRA_HDD ?= -ext ide-nextor +MB_OPENMSX_HDD_SIZE ?= 4m +MB_OPENMSX_JOYPORTA ?= +MB_OPENMSX_JOYPORTB ?= +MB_OPENMSX_SCALE_FACTOR ?= 3 +MB_OPENMSX_THROTTLE ?= off +MB_OPENMSX_RENDERER ?= off +MB_OPENMSX_RENDERER_TYPE ?= SDL +MB_OPENMSX_RECORDER ?= off +MB_OPENMSX_CTLCACHE ?= $(MB_CACHE)/msxbuild/omsxctl define mb_openmsx_setup $(if $(wildcard $(MB_OPENMSX_CTLCACHE)),,$(call mb_mkdir,$(MB_OPENMSX_CTLCACHE))) @@ -48,6 +49,7 @@ define _mb_openmsx_run SCALE_FACTOR=$(MB_OPENMSX_SCALE_FACTOR) \ SPEED=$(MB_OPENMSX_SPEED) \ RENDERER=$(MB_OPENMSX_RENDERER) \ + RENDERER_TYPE=$(MB_OPENMSX_RENDERER_TYPE) \ THROTTLE=$(MB_OPENMSX_THROTTLE) \ RECORDER=$(if $(filter on,$(MB_OPENMSX_RECORDER)),"$(1)-omsx/output.avi") \ OPENMSX_USER_DATA="$(1)-omsx/share" \ diff --git a/lib/openmsx/share/scripts/boot_env.tcl b/lib/openmsx/share/scripts/boot_env.tcl index d67aed9..6ca1bc7 100644 --- a/lib/openmsx/share/scripts/boot_env.tcl +++ b/lib/openmsx/share/scripts/boot_env.tcl @@ -1,11 +1,20 @@ # boot_env -- Sets various openMSX settings based from environment variables. # # Typically used in automation tools which run openMSX without human interaction. +# +# Adds the following command to openMSX; +# +# 'boot_gui_mode' +# Enableds the renderer and throttle from the inside. +# # Supported environment variables by this script; # -# RENDERER=SDL +# RENDERER=on|off # Override video output from command line. # +# RENDERER_TYPE=SDL +# Select video renderer type. +# # SCALE_FACTOR=3 # Override video scale factor for SDL renderer. # @@ -25,8 +34,26 @@ # Enables the the video recorder. # -if {[info exists ::env(RENDERER)] && ([string trim $::env(RENDERER)] != "")} { - if {[catch {set renderer [string trim $::env(RENDERER)]} err_msg]} { +# Enabled openMSX gui from inside +proc boot_gui_mode {} { + global renderer + global throttle + global boot_env_renderer_type + if {$boot_env_renderer_type != 0} { + set renderer $boot_env_renderer_type + set throttle on + } +} + +# Globals +set boot_env_renderer_type 0 + +if {[info exists ::env(RENDERER_TYPE)] && ([string trim $::env(RENDERER_TYPE)] != "")} { + set boot_env_renderer_type [string trim $::env(RENDERER_TYPE)] +} + +if {[info exists ::env(RENDERER)] && ([string trim $::env(RENDERER)] == "on")} { + if {[catch {set renderer $boot_env_renderer_type} err_msg]} { puts stderr "error: env.RENDERER value $err_msg" exit 1 } From d2a58e86b70441ce1eefd35dad922a5ddf7722dc Mon Sep 17 00:00:00 2001 From: Willem Date: Fri, 28 Jun 2024 19:10:24 +0200 Subject: [PATCH 035/274] Added exit on missing renderer type. --- lib/openmsx/share/scripts/boot_env.tcl | 3 +++ 1 file changed, 3 insertions(+) diff --git a/lib/openmsx/share/scripts/boot_env.tcl b/lib/openmsx/share/scripts/boot_env.tcl index 6ca1bc7..524aeaa 100644 --- a/lib/openmsx/share/scripts/boot_env.tcl +++ b/lib/openmsx/share/scripts/boot_env.tcl @@ -42,6 +42,9 @@ proc boot_gui_mode {} { if {$boot_env_renderer_type != 0} { set renderer $boot_env_renderer_type set throttle on + } else { + puts stderr "error: Requested boot_gui_mode but env.RENDERER_TYPE is missing." + exit 1 } } From 33ef6ed712541b84ef55661461aa2bc78cbe7fc2 Mon Sep 17 00:00:00 2001 From: Willem Date: Fri, 28 Jun 2024 22:28:31 +0200 Subject: [PATCH 036/274] Fixed greater then escape to make echo work. --- lib/make/mb_autoexec.mk | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/lib/make/mb_autoexec.mk b/lib/make/mb_autoexec.mk index cd7b16c..fab619c 100644 --- a/lib/make/mb_autoexec.mk +++ b/lib/make/mb_autoexec.mk @@ -29,12 +29,12 @@ define mb_autoexec_append_exit endef define mb_autoexec_append_safe_test $(call mb_autoexec_append_cmd,$(1),omsxctl fail_after $(MB_AUTOEXEC_TEST_TIMEOUT) seconds failed_test $(MB_AUTOEXEC_TEST_EXITCODE)) - $(call mb_autoexec_append_echo,$(1),Running test '$(2)') + $(call mb_autoexec_append_echo,$(1),Running test: $(subst >,>,$(2))) $(call mb_autoexec_append_cmd,$(1),$(2)) endef define mb_autoexec_append_safe_cmd $(call mb_autoexec_append_cmd,$(1),omsxctl fail_after $(MB_AUTOEXEC_CMD_TIMEOUT) seconds failed_cmd $(MB_AUTOEXEC_CMD_EXITCODE)) - $(call mb_autoexec_append_echo,$(1),Running test '$(2)') + $(call mb_autoexec_append_echo,$(1),Running command: $(subst >,>,$(2))) $(call mb_autoexec_append_cmd,$(1),$(2)) endef define mb_autoexec_write_default From 82cb218befcca8225d64d3c28d3c1d6ab741a4f9 Mon Sep 17 00:00:00 2001 From: Willem Date: Fri, 28 Jun 2024 22:29:37 +0200 Subject: [PATCH 037/274] Moved all openMSX data to local bin to enable video recorder. --- README.md | 11 ++++++----- lib/make/mb_openmsx.mk | 5 +++-- lib/openmsx/share/scripts/boot_env.tcl | 5 ++++- test/0module.mk | 15 +++++++++++++-- 4 files changed, 26 insertions(+), 10 deletions(-) diff --git a/README.md b/README.md index 76280a5..4640697 100644 --- a/README.md +++ b/README.md @@ -33,10 +33,11 @@ The files in this repro try to handle these steps for you. * make + wget + tar + dos2unix * sdcc * openmsx +* ffmpeg (optional, see `test/0module.mk` howto merge videos) For debian use; - apt-get install make wget tar dos2unix sdcc openmsx + apt-get install make wget tar dos2unix sdcc openmsx ffmpeg ## Usage @@ -60,10 +61,10 @@ When you want to see whats happening do a debug run; debug run real msx speed; time MB_OPENMSX_RENDERER=on MB_OPENMSX_THROTTLE=on MB_OPENMSX_SPEED=100 make assert-all real 2m37.379s - - debug run with video recorder; - time MB_OPENMSX_RENDERER=on MB_OPENMSX_RECORDER=on make -s -j4 assert-all - real 0m4.479s + + debug run with video recorder and merge to one video file; + time MB_OPENMSX_RENDERER=on MB_OPENMSX_RECORDER=on make -s assert-all-video + real 0m7.136s build parallel; time make -s -j4 assert-all diff --git a/lib/make/mb_openmsx.mk b/lib/make/mb_openmsx.mk index 576a57a..343865b 100644 --- a/lib/make/mb_openmsx.mk +++ b/lib/make/mb_openmsx.mk @@ -43,7 +43,7 @@ define _mb_openmsx_run FAIL_AFTER_PATH=$(MB_OPENMSX_PATH_BIN) \ BOOT_HDD_PATH="$(1)" \ BOOT_HDD_SIZE=$(MB_OPENMSX_HDD_SIZE) \ - BOOT_HDD_IMAGE="$(1)-omsx/disk-ide-hda.img" \ + BOOT_HDD_IMAGE="$(1)-omsx/persistent/ide-nextor/untitled1/hd.dsk" \ JOYPORTA=$(MB_OPENMSX_JOYPORTA) \ JOYPORTB=$(MB_OPENMSX_JOYPORTB) \ SCALE_FACTOR=$(MB_OPENMSX_SCALE_FACTOR) \ @@ -51,7 +51,8 @@ define _mb_openmsx_run RENDERER=$(MB_OPENMSX_RENDERER) \ RENDERER_TYPE=$(MB_OPENMSX_RENDERER_TYPE) \ THROTTLE=$(MB_OPENMSX_THROTTLE) \ - RECORDER=$(if $(filter on,$(MB_OPENMSX_RECORDER)),"$(1)-omsx/output.avi") \ + RECORDER=$(if $(filter on,$(MB_OPENMSX_RECORDER)),msxbuild-) \ + OPENMSX_HOME="$(1)-omsx" \ OPENMSX_USER_DATA="$(1)-omsx/share" \ $(PATH_OPENMSX)/openmsx \ -machine $(2) \ diff --git a/lib/openmsx/share/scripts/boot_env.tcl b/lib/openmsx/share/scripts/boot_env.tcl index 524aeaa..2e0ed8c 100644 --- a/lib/openmsx/share/scripts/boot_env.tcl +++ b/lib/openmsx/share/scripts/boot_env.tcl @@ -98,8 +98,11 @@ if {[info exists ::env(JOYPORTB)] && ([string trim $::env(JOYPORTB)] != "")} { } if {[info exists ::env(RECORDER)] && ([string trim $::env(RECORDER)] != "")} { - if {[catch {after time 1 "record start [string trim $::env(RECORDER)]"} err_msg]} { + if {[catch {after time 1 "record start -prefix [string trim $::env(RECORDER)]"} err_msg]} { puts stderr "error: env.RECORDER value $err_msg" exit 1 } + after quit { + record stop + } } diff --git a/test/0module.mk b/test/0module.mk index 2511cbc..f523467 100644 --- a/test/0module.mk +++ b/test/0module.mk @@ -1,5 +1,5 @@ -BUILD_HELP += \\n\\t* assert-all \(Run all asertion tests\) +BUILD_HELP += \\n\\t* assert-all \(Run all asertion tests\)\\n\\t* assert-all-video \(Merges all video's to one\) BUILD_TEST := \ test-ahello-m80-assert \ test-ahello-sdcc-assert \ @@ -8,5 +8,16 @@ test-dist-qa-dos2-assert \ test-dist-qa-msx1-assert .PHONY: assert-all -assert-all: $(BUILD_TEST) +assert-all: | $(BUILD_TEST) @echo All assertions completed. + +bin/test/video-merge.lst: | assert-all + @echo === Create video merge listing + ls -1 bin/test/*-omsx/videos/msxbuild-*.avi | awk -F "bin/test/" -v s="file '" -v e="'" '{print s$$2e}' > $@ + +bin/test/video-session.avi: bin/test/video-merge.lst + ffmpeg -f concat -i $< -c copy $@ + +.PHONY: assert-all-video +assert-all-video: bin/test/video-session.avi + @echo Video merge completed. From 73e8b2e75b724b9de52ec26b385e46b4ce6360fd Mon Sep 17 00:00:00 2001 From: Willem Date: Fri, 28 Jun 2024 22:55:22 +0200 Subject: [PATCH 038/274] Fixed help --- test/0module.mk | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/test/0module.mk b/test/0module.mk index f523467..d70fceb 100644 --- a/test/0module.mk +++ b/test/0module.mk @@ -1,5 +1,5 @@ -BUILD_HELP += \\n\\t* assert-all \(Run all asertion tests\)\\n\\t* assert-all-video \(Merges all video's to one\) +BUILD_HELP += \\n\\t* assert-all \(Run all asertion tests\)\\n\\t* assert-all-video \(Merges all videos to one\) BUILD_TEST := \ test-ahello-m80-assert \ test-ahello-sdcc-assert \ From a443040dfff51d7bff120db2f780ed6eb2a48643 Mon Sep 17 00:00:00 2001 From: Willem Date: Fri, 28 Jun 2024 23:17:18 +0200 Subject: [PATCH 039/274] Make ffmpeg silent and overwriting. --- test/0module.mk | 14 +++++--------- 1 file changed, 5 insertions(+), 9 deletions(-) diff --git a/test/0module.mk b/test/0module.mk index d70fceb..9c96457 100644 --- a/test/0module.mk +++ b/test/0module.mk @@ -11,13 +11,9 @@ test-dist-qa-msx1-assert assert-all: | $(BUILD_TEST) @echo All assertions completed. -bin/test/video-merge.lst: | assert-all - @echo === Create video merge listing - ls -1 bin/test/*-omsx/videos/msxbuild-*.avi | awk -F "bin/test/" -v s="file '" -v e="'" '{print s$$2e}' > $@ - -bin/test/video-session.avi: bin/test/video-merge.lst - ffmpeg -f concat -i $< -c copy $@ - .PHONY: assert-all-video -assert-all-video: bin/test/video-session.avi - @echo Video merge completed. +assert-all-video: | assert-all + @echo === Build session video listing + ls -1 bin/test/*-omsx/videos/msxbuild-*.avi | awk -F "bin/test/" -v s="file '" -v e="'" '{print s$$2e}' > bin/test/video-merge.lst + ffmpeg -v quiet -y -f concat -i bin/test/video-merge.lst -c copy bin/test/video-session.avi + @echo === Concatted video completed. From b0bbb7ff1c6298f47214db82a9b98a487e10605b Mon Sep 17 00:00:00 2001 From: Willem Date: Fri, 28 Jun 2024 23:27:47 +0200 Subject: [PATCH 040/274] Added autoexec append safe test/cmd timeout and/or exit code overrride. --- lib/make/mb_autoexec.mk | 4 ++-- test/dist-qa-msx1/0module.mk | 4 ++-- 2 files changed, 4 insertions(+), 4 deletions(-) diff --git a/lib/make/mb_autoexec.mk b/lib/make/mb_autoexec.mk index fab619c..6d61f2e 100644 --- a/lib/make/mb_autoexec.mk +++ b/lib/make/mb_autoexec.mk @@ -28,12 +28,12 @@ define mb_autoexec_append_exit $(call mb_autoexec_append_cmd,$(1),omsxctl exit 0) endef define mb_autoexec_append_safe_test - $(call mb_autoexec_append_cmd,$(1),omsxctl fail_after $(MB_AUTOEXEC_TEST_TIMEOUT) seconds failed_test $(MB_AUTOEXEC_TEST_EXITCODE)) + $(call mb_autoexec_append_cmd,$(1),omsxctl fail_after $(if $(3),$(3),$(MB_AUTOEXEC_TEST_TIMEOUT)) seconds failed_test $(if $(4),$(4),$(MB_AUTOEXEC_TEST_EXITCODE))) $(call mb_autoexec_append_echo,$(1),Running test: $(subst >,>,$(2))) $(call mb_autoexec_append_cmd,$(1),$(2)) endef define mb_autoexec_append_safe_cmd - $(call mb_autoexec_append_cmd,$(1),omsxctl fail_after $(MB_AUTOEXEC_CMD_TIMEOUT) seconds failed_cmd $(MB_AUTOEXEC_CMD_EXITCODE)) + $(call mb_autoexec_append_cmd,$(1),omsxctl fail_after $(if $(3),$(3),$(MB_AUTOEXEC_CMD_TIMEOUT)) seconds failed_cmd $(if $(4),$(4),$(MB_AUTOEXEC_CMD_EXITCODE))) $(call mb_autoexec_append_echo,$(1),Running command: $(subst >,>,$(2))) $(call mb_autoexec_append_cmd,$(1),$(2)) endef diff --git a/test/dist-qa-msx1/0module.mk b/test/dist-qa-msx1/0module.mk index 43f9c63..9d38a0c 100644 --- a/test/dist-qa-msx1/0module.mk +++ b/test/dist-qa-msx1/0module.mk @@ -21,8 +21,8 @@ test-dist-qa-msx1-assert: | $(TEST_DIST_QA_MSX1_DEPS) $(call mb_delete,$(TEST_DIST_QA_MSX1)/ahello1.out) $(call mb_delete,$(TEST_DIST_QA_MSX1)/ahello2.out) $(call mb_autoexec_write_default,$(TEST_DIST_QA_MSX1),40) - $(call mb_autoexec_append_safe_test,$(TEST_DIST_QA_MSX1),ahello1 > ahello1.out) - $(call mb_autoexec_append_safe_test,$(TEST_DIST_QA_MSX1),ahello2 > ahello2.out) + $(call mb_autoexec_append_safe_test,$(TEST_DIST_QA_MSX1),ahello1 > ahello1.out,33) + $(call mb_autoexec_append_safe_test,$(TEST_DIST_QA_MSX1),ahello2 > ahello2.out,66,99) $(call mb_autoexec_append_exit,$(TEST_DIST_QA_MSX1)) $(call mb_openmsx_dosctl,$(TEST_DIST_QA_MSX1),$(TEST_DIST_QA_MSX1_MACHINE)) grep "M80: Hello world..." $(TEST_DIST_QA_MSX1)/ahello1.out From 72e4e916bf352099ae66a86055d9ba26b12ae4d5 Mon Sep 17 00:00:00 2001 From: Willem Date: Fri, 28 Jun 2024 23:38:05 +0200 Subject: [PATCH 041/274] Allow for per process machine override without clean. --- lib/make/mb_openmsx.mk | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/lib/make/mb_openmsx.mk b/lib/make/mb_openmsx.mk index 343865b..c8442f0 100644 --- a/lib/make/mb_openmsx.mk +++ b/lib/make/mb_openmsx.mk @@ -65,7 +65,7 @@ define _mb_openmsx_run endef define mb_openmsx_dosctl - $(if $(wildcard $(1)-omsx),,$(call mb_openmsx_setup,$(1),$(if $(2),$(2),$(MB_OPENMSX_MACHINE)))) + $(call mb_openmsx_setup,$(1),$(if $(2),$(2),$(MB_OPENMSX_MACHINE))) $(if $(wildcard $(1)/omsxctl.com),,$(call mb_copy,$(MB_OPENMSX_CTLCACHE)/omsxctl.com,$(1))) $(if $(wildcard $(1)/reboot.bat),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/emuctl/reboot.bat,$(1))) $(if $(wildcard $(1)/shutdown.bat),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/emuctl/shutdown.bat,$(1))) From 74a29e889e347f00a6f34277531bf44ac2568562 Mon Sep 17 00:00:00 2001 From: Willem Date: Sat, 29 Jun 2024 00:40:21 +0200 Subject: [PATCH 042/274] Auto install nextor if no dos is given by user. --- README.md | 11 ++++++--- lib/make/mb_msxhub.mk | 47 ++++++++++++++++++++++++++++++++---- lib/make/mb_openmsx.mk | 2 ++ test/ahello-m80/0module.mk | 1 - test/ahello-sdcc/0module.mk | 1 - test/dist-qa-dos1/0module.mk | 2 +- test/dist-qa-dos2/0module.mk | 2 +- test/dist-qa-msx1/0module.mk | 1 - 8 files changed, 53 insertions(+), 14 deletions(-) diff --git a/README.md b/README.md index 4640697..c272db6 100644 --- a/README.md +++ b/README.md @@ -90,21 +90,24 @@ Current set is WIP. * mb_autoexec_safe_cmd * mb_autoexec_safe_test * mb_msxhub_file -* mb_msxhub_get_dos1 +* mb_msxhub_getboot_dos1 +* mb_msxhub_getboot_dos2 +* mb_msxhub_getboot_nextor * mb_msxhub_get_dos2 * mb_msxhub_get_nextor * mb_msxhub_get_macro80 * mb_msxhub_get_z80asmuk -* mb_msxhub_get_popcom -* mb_msxhub_get_dmphex -* mb_msxhub_get_baskom * mb_msxhub_get_pmarc * mb_msxhub_get_lhpack * mb_msxhub_get_lhext * mb_msxhub_get_gunzip * mb_msxhub_get_tunzip +* mb_msxhub_get_popcom +* mb_msxhub_get_adir * mb_msxhub_get_turbo +* mb_msxhub_get_baskom * mb_msxhub_get_binldr +* mb_msxhub_get_dmphex * mb_msxhub_get_gfxage * mb_msxrom_file * mb_msxrom_setup diff --git a/lib/make/mb_msxhub.mk b/lib/make/mb_msxhub.mk index 0c2053a..fd9ae0d 100644 --- a/lib/make/mb_msxhub.mk +++ b/lib/make/mb_msxhub.mk @@ -17,21 +17,55 @@ endef # -# Operating System +# Boot Operating System (minimal) # -define mb_msxhub_get_dos1 +define mb_msxhub_getboot_dos1 $(call mb_msxhub_file,$(1),MSXDOS1/1.03-2/get/MSXDOS1/MSXDOS.SYS) $(call mb_msxhub_file,$(1),MSXDOS1/1.03-2/get/MSXDOS1/COMMAND.COM) endef -define mb_msxhub_get_dos2 +define mb_msxhub_getboot_dos2 $(call mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/MSXDOS2.SYS) $(call mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/COMMAND2.COM) endef -define mb_msxhub_get_nextor +define mb_msxhub_getboot_nextor $(call mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/NEXTOR.SYS) $(call mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/COMMAND2.COM) endef - +# +# Full Operating Systems +# +define mb_msxhub_boot_get_dos2 + $(call mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/MSXDOS2.SYS) + $(call mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/COMMAND2.COM) + $(call mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/CHKDSK.COM) + $(call mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/DISKCOPY.COM) + $(call mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/FIXDISK.COM) + $(call mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/UNDEL.COM) + $(call mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/XCOPY.COM) + $(call mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/XDIR.COM) + $(call mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/KMODE.COM) +endef +define mb_msxhub_get_nextor + $(call mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/COMMAND.COM) + $(call mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/DELALL.COM) + $(call mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/DEVINFO.COM) + $(call mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/COMMAND2.COM) + $(call mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/DRIVERS.COM) + $(call mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/DRVINFO.COM) + $(call mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/FASTOUT.COM) + $(call mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/LOCK.COM) + $(call mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/MAPDRV.COM) + $(call mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/MSXDOS.SYS) + $(call mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/EMUFILE.COM) + $(call mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/RALLOC.COM) + $(call mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/Z80MODE.COM) + $(call mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/NSYSVER.COM) + $(call mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/NEXTORJP.SYS) + $(call mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/NEXBOOT.COM) + $(call mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/CONCLUS.COM) + $(call mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/NEXTOR.SYS) + $(call mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/NEXTORK.SYS) +endef # # Compilers @@ -88,6 +122,9 @@ endef # # Tools # +define mb_msxhub_get_adir + $(call mb_msxhub_file,$(1),ADIR/1.3-1/get/ADIR/adir.com) +endef define mb_msxhub_get_turbo $(call mb_msxhub_file,$(1),TURBO/2.1-1/get/TURBO/turbo.com) endef diff --git a/lib/make/mb_openmsx.mk b/lib/make/mb_openmsx.mk index c8442f0..b68e962 100644 --- a/lib/make/mb_openmsx.mk +++ b/lib/make/mb_openmsx.mk @@ -1,6 +1,7 @@ MB_OPENMSX_PATH_BIN ?= bin MB_OPENMSX_BOOT_TIMEOUT ?= 25 +MB_OPENMSX_BOOT_OS ?= nextor MB_OPENMSX_MACHINE ?= Philips_NMS_8250 MB_OPENMSX_SPEED ?= $(if $(findstring turboR,$(MB_OPENMSX_MACHINE)),100,333) MB_OPENMSX_EXTS ?= @@ -70,5 +71,6 @@ define mb_openmsx_dosctl $(if $(wildcard $(1)/reboot.bat),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/emuctl/reboot.bat,$(1))) $(if $(wildcard $(1)/shutdown.bat),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/emuctl/shutdown.bat,$(1))) $(if $(wildcard $(1)/z80.bat),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/emuctl/z80.bat,$(1))) + $(if $(wildcard $(1)/COMMAND.COM),,$(if $(wildcard $(1)/COMMAND2.COM),,$(call mb_msxhub_getboot_$(MB_OPENMSX_BOOT_OS),$(1)))) $(call _mb_openmsx_run,$(1),$(if $(2),$(2),$(MB_OPENMSX_MACHINE))) endef diff --git a/test/ahello-m80/0module.mk b/test/ahello-m80/0module.mk index 038d6c0..c5cd214 100644 --- a/test/ahello-m80/0module.mk +++ b/test/ahello-m80/0module.mk @@ -5,7 +5,6 @@ BUILD_HELP += \\n\\t* $(TEST_AHELLO_M80)/ahello.com\\n\\t* test-ahello-m8 $(TEST_AHELLO_M80): $(call mb_mkdir,$(TEST_AHELLO_M80)) $(call mb_msxhub_get_macro80,$(TEST_AHELLO_M80)) - $(call mb_msxhub_get_nextor,$(TEST_AHELLO_M80)) $(TEST_AHELLO_M80)/%.mac: test/ahello-m80/%.mac | $(TEST_AHELLO_M80) $(call mb_unix2dos,$<,$@) diff --git a/test/ahello-sdcc/0module.mk b/test/ahello-sdcc/0module.mk index 1ddbcd2..eb63d46 100644 --- a/test/ahello-sdcc/0module.mk +++ b/test/ahello-sdcc/0module.mk @@ -4,7 +4,6 @@ BUILD_HELP += \\n\\t* $(TEST_AHELLO_SDCC)/ahello.com\\n\\t* test-ahello-s $(TEST_AHELLO_SDCC): $(call mb_mkdir,$(TEST_AHELLO_SDCC)) - $(call mb_msxhub_get_nextor,$(TEST_AHELLO_SDCC)) $(TEST_AHELLO_SDCC)/%.rel: test/ahello-sdcc/%.asm | $(TEST_AHELLO_SDCC) $(call mb_sdcc_compile_asm,$@,$<) diff --git a/test/dist-qa-dos1/0module.mk b/test/dist-qa-dos1/0module.mk index 0b63519..cd152f2 100644 --- a/test/dist-qa-dos1/0module.mk +++ b/test/dist-qa-dos1/0module.mk @@ -5,7 +5,7 @@ BUILD_HELP += \\n\\t* test-dist-qa-dos1-run\\n\\t* test-dist-qa-dos $(TEST_DIST_QA_DOS1): $(call mb_mkdir,$(TEST_DIST_QA_DOS1)) - $(call mb_msxhub_get_dos1,$(TEST_DIST_QA_DOS1)) + $(call mb_msxhub_getboot_dos1,$(TEST_DIST_QA_DOS1)) $(TEST_DIST_QA_DOS1)/%: bin/test/dist/% | $(TEST_DIST_QA_DOS1) $(TEST_DIST_DEPS) $(call mb_copy,$<,$@) diff --git a/test/dist-qa-dos2/0module.mk b/test/dist-qa-dos2/0module.mk index a942ad9..2108b50 100644 --- a/test/dist-qa-dos2/0module.mk +++ b/test/dist-qa-dos2/0module.mk @@ -5,7 +5,7 @@ BUILD_HELP += \\n\\t* test-dist-qa-dos2-run\\n\\t* test-dist-qa-dos $(TEST_DIST_QA_DOS2): $(call mb_mkdir,$(TEST_DIST_QA_DOS2)) - $(call mb_msxhub_get_dos2,$(TEST_DIST_QA_DOS2)) + $(call mb_msxhub_getboot_dos2,$(TEST_DIST_QA_DOS2)) $(TEST_DIST_QA_DOS2)/%: bin/test/dist/% | $(TEST_DIST_QA_DOS2) $(TEST_DIST_DEPS) $(call mb_copy,$<,$@) diff --git a/test/dist-qa-msx1/0module.mk b/test/dist-qa-msx1/0module.mk index 9d38a0c..6efa573 100644 --- a/test/dist-qa-msx1/0module.mk +++ b/test/dist-qa-msx1/0module.mk @@ -6,7 +6,6 @@ BUILD_HELP += \\n\\t* test-dist-qa-msx1-run\\n\\t* test-dist-qa-m $(TEST_DIST_QA_MSX1): $(call mb_mkdir,$(TEST_DIST_QA_MSX1)) - $(call mb_msxhub_get_dos2,$(TEST_DIST_QA_MSX1)) $(TEST_DIST_QA_MSX1)/%: bin/test/dist/% | $(TEST_DIST_QA_MSX1) $(TEST_DIST_DEPS) $(call mb_copy,$<,$@) From 5cf75460a98b8847d76f09d0de0d075154fca3f8 Mon Sep 17 00:00:00 2001 From: Willem Date: Sat, 29 Jun 2024 00:41:35 +0200 Subject: [PATCH 043/274] Package name typo. --- lib/make/mb_msxhub.mk | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/lib/make/mb_msxhub.mk b/lib/make/mb_msxhub.mk index fd9ae0d..c56a62d 100644 --- a/lib/make/mb_msxhub.mk +++ b/lib/make/mb_msxhub.mk @@ -34,7 +34,7 @@ endef # # Full Operating Systems # -define mb_msxhub_boot_get_dos2 +define mb_msxhub_get_dos2 $(call mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/MSXDOS2.SYS) $(call mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/COMMAND2.COM) $(call mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/CHKDSK.COM) From 5fc96f8f73d1013aec3dd43b7f4d0b19e933f4c1 Mon Sep 17 00:00:00 2001 From: Willem Date: Sat, 29 Jun 2024 00:52:56 +0200 Subject: [PATCH 044/274] Fixed casing bug in os detection wildcard. --- lib/make/mb_openmsx.mk | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/lib/make/mb_openmsx.mk b/lib/make/mb_openmsx.mk index b68e962..0257fef 100644 --- a/lib/make/mb_openmsx.mk +++ b/lib/make/mb_openmsx.mk @@ -71,6 +71,6 @@ define mb_openmsx_dosctl $(if $(wildcard $(1)/reboot.bat),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/emuctl/reboot.bat,$(1))) $(if $(wildcard $(1)/shutdown.bat),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/emuctl/shutdown.bat,$(1))) $(if $(wildcard $(1)/z80.bat),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/emuctl/z80.bat,$(1))) - $(if $(wildcard $(1)/COMMAND.COM),,$(if $(wildcard $(1)/COMMAND2.COM),,$(call mb_msxhub_getboot_$(MB_OPENMSX_BOOT_OS),$(1)))) + $(if $(wildcard $(1)/command.com),,$(if $(wildcard $(1)/command2.com),,$(call mb_msxhub_getboot_$(MB_OPENMSX_BOOT_OS),$(1)))) $(call _mb_openmsx_run,$(1),$(if $(2),$(2),$(MB_OPENMSX_MACHINE))) endef From a48701563e24698d9bc081bfdf230a39e63e53a7 Mon Sep 17 00:00:00 2001 From: Willem Date: Sat, 29 Jun 2024 01:01:17 +0200 Subject: [PATCH 045/274] Added dos2 tools package. --- lib/make/mb_msxhub.mk | 27 +++++++++++++++++++++++++-- 1 file changed, 25 insertions(+), 2 deletions(-) diff --git a/lib/make/mb_msxhub.mk b/lib/make/mb_msxhub.mk index c56a62d..f16b84e 100644 --- a/lib/make/mb_msxhub.mk +++ b/lib/make/mb_msxhub.mk @@ -118,7 +118,6 @@ define mb_msxhub_get_popcom $(call mb_msxhub_file,$(1),POPCOM/1.00-2/get/POPCOM/popcom.com) endef - # # Tools # @@ -137,7 +136,31 @@ endef define mb_msxhub_get_dmphex $(call mb_msxhub_file,$(1),DMPHEX/1.00-1/get/DMPHEX/dmphex.com) endef - +define mb_msxhub_get_dos2tools + $(call mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/ADDAUX.COM) + $(call mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/BEEP.COM) + $(call mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/BIO.COM) + $(call mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/BODY.COM) + $(call mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/BSAVE.COM) + $(call mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/CAL.COM) + $(call mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/CALC.COM) + $(call mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/DUMP.COM) + $(call mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/EXPAND.COM) + $(call mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/GREP.COM) + $(call mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/HEAD.COM) + $(call mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/KEY.COM) + $(call mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/LIST.COM) + $(call mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/LS.COM) + $(call mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/MENU.COM) + $(call mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/MORE.COM) + $(call mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/PATCH.COM) + $(call mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/SLEEP.COM) + $(call mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/TAIL.COM) + $(call mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/TR.COM) + $(call mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/UNIQ.COM) + $(call mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/VIEW.COM) + $(call mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/WC.COM) +endef # # Graphics editors From a8eb4a07f629e1ec9dd4e1e2703a7bf132ed82bc Mon Sep 17 00:00:00 2001 From: Willem Date: Sat, 29 Jun 2024 01:25:13 +0200 Subject: [PATCH 046/274] Fixed instant boot console run. --- lib/make/mb_autoexec.mk | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/lib/make/mb_autoexec.mk b/lib/make/mb_autoexec.mk index 6d61f2e..c099e86 100644 --- a/lib/make/mb_autoexec.mk +++ b/lib/make/mb_autoexec.mk @@ -18,7 +18,7 @@ define mb_autoexec_append_rem endef define mb_autoexec_append_gui_mode $(call mb_autoexec_append_echo,$(1),Enabling boot GUI mode.) - $(call mb_autoexec_append_cmd,$(1),omsxctl boot_gui_mode) + $(call mb_autoexec_append_cmd,$(1),omsxctl after time 1 boot_gui_mode) endef define mb_autoexec_append_stop_fail $(call mb_autoexec_append_echo,$(1),Disabling automatic failure.) From fdbe0eac7e584822fcdd3a8db61e391760add6a5 Mon Sep 17 00:00:00 2001 From: Willem Date: Sat, 29 Jun 2024 01:25:39 +0200 Subject: [PATCH 047/274] Added missing package. --- README.md | 3 ++- 1 file changed, 2 insertions(+), 1 deletion(-) diff --git a/README.md b/README.md index c272db6..7d74b21 100644 --- a/README.md +++ b/README.md @@ -22,7 +22,7 @@ The files in this repro try to handle these steps for you. ## Features * Allows parallel headless openMSX build pipeline -* openMSX safe exit failure guards. +* openMSX safe exit failure guards * Automatic disk image import + export per build step * Downloads and caches resources from msxhub.com * Auto magic openMSX extensions and machine rom's setup @@ -108,6 +108,7 @@ Current set is WIP. * mb_msxhub_get_baskom * mb_msxhub_get_binldr * mb_msxhub_get_dmphex +* mb_msxhub_get_dos2tools * mb_msxhub_get_gfxage * mb_msxrom_file * mb_msxrom_setup From 92b8aa9a068c8d7c760c7f1ecfbd9164a77792c9 Mon Sep 17 00:00:00 2001 From: Willem Date: Sat, 29 Jun 2024 01:26:42 +0200 Subject: [PATCH 048/274] Removed duplicate user data now we use openMSX home override. --- lib/make/mb_openmsx.mk | 1 - 1 file changed, 1 deletion(-) diff --git a/lib/make/mb_openmsx.mk b/lib/make/mb_openmsx.mk index 0257fef..d6189bb 100644 --- a/lib/make/mb_openmsx.mk +++ b/lib/make/mb_openmsx.mk @@ -54,7 +54,6 @@ define _mb_openmsx_run THROTTLE=$(MB_OPENMSX_THROTTLE) \ RECORDER=$(if $(filter on,$(MB_OPENMSX_RECORDER)),msxbuild-) \ OPENMSX_HOME="$(1)-omsx" \ - OPENMSX_USER_DATA="$(1)-omsx/share" \ $(PATH_OPENMSX)/openmsx \ -machine $(2) \ $(MB_OPENMSX_EXTRA_SLOT) \ From 598d673d91302c718f9b1d920e03cb0b657c2715 Mon Sep 17 00:00:00 2001 From: Willem Date: Sat, 29 Jun 2024 02:49:16 +0200 Subject: [PATCH 049/274] Enabled auto path to A:\UTILS + added test for msxhub packages. --- README.md | 16 ++++++------ lib/make/mb_autoexec.mk | 3 +++ lib/make/mb_msxhub.mk | 30 +++++++--------------- lib/make/mb_openmsx.mk | 14 +++++++---- test/0module.mk | 3 ++- test/ahello-m80/0module.mk | 4 ++- test/dist-qa-dos1/0module.mk | 2 +- test/dist-qa-dos2/0module.mk | 2 +- test/dist-qa-msxhub/0module.mk | 46 ++++++++++++++++++++++++++++++++++ 9 files changed, 82 insertions(+), 38 deletions(-) create mode 100644 test/dist-qa-msxhub/0module.mk diff --git a/README.md b/README.md index 7d74b21..86d0ee6 100644 --- a/README.md +++ b/README.md @@ -52,7 +52,7 @@ When you want to see whats happening do a debug run; normal run; time make assert-all - real 0m2.400s + real 0m3.223s debug run at 11 Mhz; time MB_OPENMSX_RENDERER=on MB_OPENMSX_THROTTLE=on make assert-all @@ -68,7 +68,7 @@ When you want to see whats happening do a debug run; build parallel; time make -s -j4 assert-all - real 0m1.162s + real 0m1.817s ## Functions @@ -90,11 +90,11 @@ Current set is WIP. * mb_autoexec_safe_cmd * mb_autoexec_safe_test * mb_msxhub_file -* mb_msxhub_getboot_dos1 -* mb_msxhub_getboot_dos2 -* mb_msxhub_getboot_nextor -* mb_msxhub_get_dos2 -* mb_msxhub_get_nextor +* mb_msxhub_get_dos1_boot +* mb_msxhub_get_dos2_boot +* mb_msxhub_get_dos2_utils +* mb_msxhub_get_nextor_boot +* mb_msxhub_get_nextor_utils * mb_msxhub_get_macro80 * mb_msxhub_get_z80asmuk * mb_msxhub_get_pmarc @@ -157,8 +157,8 @@ Current set is WIP. ## Errata +* Imported folders in openMSX; `cd utils;cd ..;dir` breaks, use `cd utils:cd a:\;dir` as workaround * Doesn't work on windows * Missing C and mixed support * If no SDL than screenshot in fail_after doesn't work -* Video recorder does not append if used in multiple build steps diff --git a/lib/make/mb_autoexec.mk b/lib/make/mb_autoexec.mk index c099e86..4b671a7 100644 --- a/lib/make/mb_autoexec.mk +++ b/lib/make/mb_autoexec.mk @@ -48,6 +48,9 @@ define mb_autoexec_write_default $(if $(filter on,$(MB_AUTOEXEC_VERSION)),$(call mb_autoexec_append_cmd,$(1),ver)) $(if $(filter on,$(MB_AUTOEXEC_VERSION)),$(call mb_autoexec_append_cmd,$(1),omsxctl machine_info config_name)) $(if $(filter on,$(MB_AUTOEXEC_VERSION)),$(call mb_autoexec_append_cmd,$(1),omsxctl openmsx_info version)) + $(if $(wildcard $(1)/utils),$(call mb_autoexec_append_cmd,$(1),PATH + A:\)) + $(if $(wildcard $(1)/utils),$(call mb_autoexec_append_cmd,$(1),PATH + A:\UTILS)) + $(if $(wildcard $(1)/utils),$(call mb_autoexec_append_cmd,$(1),PATH)) $(call mb_autoexec_append_echo,$(1),Run msxbuild: $(notdir $(1))) endef diff --git a/lib/make/mb_msxhub.mk b/lib/make/mb_msxhub.mk index f16b84e..8c04b09 100644 --- a/lib/make/mb_msxhub.mk +++ b/lib/make/mb_msxhub.mk @@ -15,28 +15,26 @@ define mb_msxhub_file $(if $(filter true,$(3)),$(call mb_copy,$(MB_MSXHUB_CACHE)/$(2),$(1)/$(call _mb_lowercase,$(notdir $(2))))) endef - # -# Boot Operating System (minimal) +# Operating System (minimal) # -define mb_msxhub_getboot_dos1 +define mb_msxhub_get_dos1_boot $(call mb_msxhub_file,$(1),MSXDOS1/1.03-2/get/MSXDOS1/MSXDOS.SYS) $(call mb_msxhub_file,$(1),MSXDOS1/1.03-2/get/MSXDOS1/COMMAND.COM) endef -define mb_msxhub_getboot_dos2 +define mb_msxhub_get_dos2_boot $(call mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/MSXDOS2.SYS) $(call mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/COMMAND2.COM) endef -define mb_msxhub_getboot_nextor +define mb_msxhub_get_nextor_boot $(call mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/NEXTOR.SYS) $(call mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/COMMAND2.COM) endef + # -# Full Operating Systems +# Native OS utils # -define mb_msxhub_get_dos2 - $(call mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/MSXDOS2.SYS) - $(call mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/COMMAND2.COM) +define mb_msxhub_get_dos2_utils $(call mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/CHKDSK.COM) $(call mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/DISKCOPY.COM) $(call mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/FIXDISK.COM) @@ -45,26 +43,20 @@ define mb_msxhub_get_dos2 $(call mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/XDIR.COM) $(call mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/KMODE.COM) endef -define mb_msxhub_get_nextor - $(call mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/COMMAND.COM) +define mb_msxhub_get_nextor_utils $(call mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/DELALL.COM) $(call mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/DEVINFO.COM) - $(call mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/COMMAND2.COM) $(call mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/DRIVERS.COM) $(call mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/DRVINFO.COM) $(call mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/FASTOUT.COM) $(call mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/LOCK.COM) $(call mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/MAPDRV.COM) - $(call mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/MSXDOS.SYS) $(call mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/EMUFILE.COM) $(call mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/RALLOC.COM) $(call mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/Z80MODE.COM) $(call mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/NSYSVER.COM) - $(call mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/NEXTORJP.SYS) $(call mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/NEXBOOT.COM) $(call mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/CONCLUS.COM) - $(call mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/NEXTOR.SYS) - $(call mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/NEXTORK.SYS) endef # @@ -75,8 +67,6 @@ define mb_msxhub_get_macro80 $(call mb_msxhub_file,$(1),MACRO80/2.0-1/get/MACRO80/L80.COM) $(call mb_msxhub_file,$(1),MACRO80/2.0-1/get/MACRO80/LIB80.COM) $(call mb_msxhub_file,$(1),MACRO80/2.0-1/get/MACRO80/M80.COM) - $(call mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/HEXTOCOM.COM) - $(call mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/HEXTOBIN.COM) endef define mb_msxhub_get_z80asmuk $(call mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/BINTOASM.COM) @@ -90,7 +80,6 @@ define mb_msxhub_get_z80asmuk $(call mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/HEXTOBIN.COM) endef - # # Compressors # @@ -136,7 +125,7 @@ endef define mb_msxhub_get_dmphex $(call mb_msxhub_file,$(1),DMPHEX/1.00-1/get/DMPHEX/dmphex.com) endef -define mb_msxhub_get_dos2tools +define mb_msxhub_get_msxdos2t $(call mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/ADDAUX.COM) $(call mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/BEEP.COM) $(call mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/BIO.COM) @@ -168,4 +157,3 @@ endef define mb_msxhub_get_gfxage $(call mb_msxhub_file,$(1),GFXAGE/0.99-1/get/GFXAGE/gfxage.com) endef - diff --git a/lib/make/mb_openmsx.mk b/lib/make/mb_openmsx.mk index d6189bb..24c9a00 100644 --- a/lib/make/mb_openmsx.mk +++ b/lib/make/mb_openmsx.mk @@ -64,12 +64,16 @@ define _mb_openmsx_run @echo === openMSX Done for $(notdir $(1)) endef -define mb_openmsx_dosctl - $(call mb_openmsx_setup,$(1),$(if $(2),$(2),$(MB_OPENMSX_MACHINE))) - $(if $(wildcard $(1)/omsxctl.com),,$(call mb_copy,$(MB_OPENMSX_CTLCACHE)/omsxctl.com,$(1))) +define _mb_openmsx_dosctl_bat + $(if $(wildcard $(1)/z80.bat),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/emuctl/z80.bat,$(1))) $(if $(wildcard $(1)/reboot.bat),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/emuctl/reboot.bat,$(1))) $(if $(wildcard $(1)/shutdown.bat),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/emuctl/shutdown.bat,$(1))) - $(if $(wildcard $(1)/z80.bat),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/emuctl/z80.bat,$(1))) - $(if $(wildcard $(1)/command.com),,$(if $(wildcard $(1)/command2.com),,$(call mb_msxhub_getboot_$(MB_OPENMSX_BOOT_OS),$(1)))) +endef + +define mb_openmsx_dosctl + $(call mb_openmsx_setup,$(1),$(if $(2),$(2),$(MB_OPENMSX_MACHINE))) + $(if $(wildcard $(1)/utils),$(call _mb_openmsx_dosctl_bat,$(1)/utils),$(call _mb_openmsx_dosctl_bat,$(1))) + $(if $(wildcard $(1)/omsxctl.com),,$(call mb_copy,$(MB_OPENMSX_CTLCACHE)/omsxctl.com,$(1))) + $(if $(wildcard $(1)/command.com),,$(if $(wildcard $(1)/command2.com),,$(call mb_msxhub_get_$(MB_OPENMSX_BOOT_OS)_boot,$(1)))) $(call _mb_openmsx_run,$(1),$(if $(2),$(2),$(MB_OPENMSX_MACHINE))) endef diff --git a/test/0module.mk b/test/0module.mk index 9c96457..927d73b 100644 --- a/test/0module.mk +++ b/test/0module.mk @@ -5,7 +5,8 @@ test-ahello-m80-assert \ test-ahello-sdcc-assert \ test-dist-qa-dos1-assert \ test-dist-qa-dos2-assert \ -test-dist-qa-msx1-assert +test-dist-qa-msx1-assert \ +test-dist-qa-msxhub-assert .PHONY: assert-all assert-all: | $(BUILD_TEST) diff --git a/test/ahello-m80/0module.mk b/test/ahello-m80/0module.mk index c5cd214..228ff34 100644 --- a/test/ahello-m80/0module.mk +++ b/test/ahello-m80/0module.mk @@ -4,7 +4,9 @@ BUILD_HELP += \\n\\t* $(TEST_AHELLO_M80)/ahello.com\\n\\t* test-ahello-m8 $(TEST_AHELLO_M80): $(call mb_mkdir,$(TEST_AHELLO_M80)) - $(call mb_msxhub_get_macro80,$(TEST_AHELLO_M80)) + $(call mb_mkdir,$(TEST_AHELLO_M80)/utils) + $(call mb_msxhub_get_macro80,$(TEST_AHELLO_M80)/utils) + $(call mb_msxhub_get_z80asmuk,$(TEST_AHELLO_M80)/utils) $(TEST_AHELLO_M80)/%.mac: test/ahello-m80/%.mac | $(TEST_AHELLO_M80) $(call mb_unix2dos,$<,$@) diff --git a/test/dist-qa-dos1/0module.mk b/test/dist-qa-dos1/0module.mk index cd152f2..4e5e222 100644 --- a/test/dist-qa-dos1/0module.mk +++ b/test/dist-qa-dos1/0module.mk @@ -5,7 +5,7 @@ BUILD_HELP += \\n\\t* test-dist-qa-dos1-run\\n\\t* test-dist-qa-dos $(TEST_DIST_QA_DOS1): $(call mb_mkdir,$(TEST_DIST_QA_DOS1)) - $(call mb_msxhub_getboot_dos1,$(TEST_DIST_QA_DOS1)) + $(call mb_msxhub_get_dos1_boot,$(TEST_DIST_QA_DOS1)) $(TEST_DIST_QA_DOS1)/%: bin/test/dist/% | $(TEST_DIST_QA_DOS1) $(TEST_DIST_DEPS) $(call mb_copy,$<,$@) diff --git a/test/dist-qa-dos2/0module.mk b/test/dist-qa-dos2/0module.mk index 2108b50..b4a9fd1 100644 --- a/test/dist-qa-dos2/0module.mk +++ b/test/dist-qa-dos2/0module.mk @@ -5,7 +5,7 @@ BUILD_HELP += \\n\\t* test-dist-qa-dos2-run\\n\\t* test-dist-qa-dos $(TEST_DIST_QA_DOS2): $(call mb_mkdir,$(TEST_DIST_QA_DOS2)) - $(call mb_msxhub_getboot_dos2,$(TEST_DIST_QA_DOS2)) + $(call mb_msxhub_get_dos2_boot,$(TEST_DIST_QA_DOS2)) $(TEST_DIST_QA_DOS2)/%: bin/test/dist/% | $(TEST_DIST_QA_DOS2) $(TEST_DIST_DEPS) $(call mb_copy,$<,$@) diff --git a/test/dist-qa-msxhub/0module.mk b/test/dist-qa-msxhub/0module.mk new file mode 100644 index 0000000..44fade9 --- /dev/null +++ b/test/dist-qa-msxhub/0module.mk @@ -0,0 +1,46 @@ + +TEST_DIST_QA_MSXHUB_MACHINE ?= Boosted_MSX2+_JP +TEST_DIST_QA_MSXHUB := $(PATH_BIN)/test/dist-qa-msxhub +TEST_DIST_QA_MSXHUB_DEPS := $(subst dist,dist-qa-msxhub,$(TEST_DIST_DEPS)) +BUILD_HELP += \\n\\t* test-dist-qa-msxhub-run\\n\\t* test-dist-qa-msxhub-assert + +$(TEST_DIST_QA_MSXHUB): + $(call mb_mkdir,$(TEST_DIST_QA_MSXHUB)) + $(call mb_mkdir,$(TEST_DIST_QA_MSXHUB)/utils) + $(call mb_msxhub_get_dos2_utils,$(TEST_DIST_QA_MSXHUB)/utils) + $(call mb_msxhub_get_nextor_utils,$(TEST_DIST_QA_MSXHUB)/utils) + $(call mb_msxhub_get_macro80,$(TEST_DIST_QA_MSXHUB)/utils) + $(call mb_msxhub_get_z80asmuk,$(TEST_DIST_QA_MSXHUB)/utils) + $(call mb_msxhub_get_pmarc,$(TEST_DIST_QA_MSXHUB)/utils) + $(call mb_msxhub_get_lhpack,$(TEST_DIST_QA_MSXHUB)/utils) + $(call mb_msxhub_get_lhext,$(TEST_DIST_QA_MSXHUB)/utils) + $(call mb_msxhub_get_gunzip,$(TEST_DIST_QA_MSXHUB)/utils) + $(call mb_msxhub_get_tunzip,$(TEST_DIST_QA_MSXHUB)/utils) + $(call mb_msxhub_get_popcom,$(TEST_DIST_QA_MSXHUB)/utils) + $(call mb_msxhub_get_adir,$(TEST_DIST_QA_MSXHUB)/utils) + $(call mb_msxhub_get_turbo,$(TEST_DIST_QA_MSXHUB)/utils) + $(call mb_msxhub_get_baskom,$(TEST_DIST_QA_MSXHUB)/utils) + $(call mb_msxhub_get_binldr,$(TEST_DIST_QA_MSXHUB)/utils) + $(call mb_msxhub_get_dmphex,$(TEST_DIST_QA_MSXHUB)/utils) + $(call mb_msxhub_get_msxdos2t,$(TEST_DIST_QA_MSXHUB)/utils) + $(call mb_msxhub_get_gfxage,$(TEST_DIST_QA_MSXHUB)/utils) + +$(TEST_DIST_QA_MSXHUB)/%: bin/test/dist/% | $(TEST_DIST_QA_MSXHUB) $(TEST_DIST_DEPS) + $(call mb_copy,$<,$@) + +.PHONY: test-dist-qa-msxhub-run +test-dist-qa-msxhub-run: | $(TEST_DIST_QA_MSXHUB_DEPS) + $(call mb_autoexec_open_gui80,$(TEST_DIST_QA_MSXHUB)) + $(call mb_openmsx_dosctl,$(TEST_DIST_QA_MSXHUB),$(TEST_DIST_QA_MSXHUB_MACHINE)) + +.PHONY: test-dist-qa-msxhub-assert +test-dist-qa-msxhub-assert: | $(TEST_DIST_QA_MSXHUB_DEPS) + $(call mb_delete,$(TEST_DIST_QA_MSXHUB)/ahello1.out) + $(call mb_delete,$(TEST_DIST_QA_MSXHUB)/ahello2.out) + $(call mb_autoexec_write_default,$(TEST_DIST_QA_MSXHUB),80) + $(call mb_autoexec_append_safe_test,$(TEST_DIST_QA_MSXHUB),ahello1 > ahello1.out,33) + $(call mb_autoexec_append_safe_test,$(TEST_DIST_QA_MSXHUB),ahello2 > ahello2.out,66,99) + $(call mb_autoexec_append_exit,$(TEST_DIST_QA_MSXHUB)) + $(call mb_openmsx_dosctl,$(TEST_DIST_QA_MSXHUB),$(TEST_DIST_QA_MSXHUB_MACHINE)) + grep "M80: Hello world..." $(TEST_DIST_QA_MSXHUB)/ahello1.out + grep "SDCC: Hello world..." $(TEST_DIST_QA_MSXHUB)/ahello2.out From be6e4c5aa6d60cfe4a4e7769675afddf79b57ba6 Mon Sep 17 00:00:00 2001 From: Willem Date: Sat, 29 Jun 2024 02:59:58 +0200 Subject: [PATCH 050/274] Added default dir/w to msxhub run target. --- test/dist-qa-msxhub/0module.mk | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/test/dist-qa-msxhub/0module.mk b/test/dist-qa-msxhub/0module.mk index 44fade9..a812473 100644 --- a/test/dist-qa-msxhub/0module.mk +++ b/test/dist-qa-msxhub/0module.mk @@ -30,7 +30,7 @@ $(TEST_DIST_QA_MSXHUB)/%: bin/test/dist/% | $(TEST_DIST_QA_MSXHUB) $(TEST_DIST_D .PHONY: test-dist-qa-msxhub-run test-dist-qa-msxhub-run: | $(TEST_DIST_QA_MSXHUB_DEPS) - $(call mb_autoexec_open_gui80,$(TEST_DIST_QA_MSXHUB)) + $(call mb_autoexec_open_gui80_cmd,$(TEST_DIST_QA_MSXHUB),dir/w utils) $(call mb_openmsx_dosctl,$(TEST_DIST_QA_MSXHUB),$(TEST_DIST_QA_MSXHUB_MACHINE)) .PHONY: test-dist-qa-msxhub-assert From 5a97dc89e7da60f25b548914dc0482670318df4f Mon Sep 17 00:00:00 2001 From: Willem Date: Sat, 29 Jun 2024 03:08:21 +0200 Subject: [PATCH 051/274] Using open gui optional command + fixed all echo escaping. --- README.md | 2 -- lib/make/mb_autoexec.mk | 14 ++++---------- test/dist-qa-msxhub/0module.mk | 2 +- 3 files changed, 5 insertions(+), 13 deletions(-) diff --git a/README.md b/README.md index 86d0ee6..322d7f9 100644 --- a/README.md +++ b/README.md @@ -84,9 +84,7 @@ Current set is WIP. * mb_autoexec_append_safe_cmd * mb_autoexec_write_default * mb_autoexec_open_gui40 -* mb_autoexec_open_gui40_cmd * mb_autoexec_open_gui80 -* mb_autoexec_open_gui80_cmd * mb_autoexec_safe_cmd * mb_autoexec_safe_test * mb_msxhub_file diff --git a/lib/make/mb_autoexec.mk b/lib/make/mb_autoexec.mk index 4b671a7..0045238 100644 --- a/lib/make/mb_autoexec.mk +++ b/lib/make/mb_autoexec.mk @@ -11,7 +11,7 @@ define mb_autoexec_append_cmd echo "$(2)\r" >> $(1)/autoexec.bat endef define mb_autoexec_append_echo - $(call mb_autoexec_append_cmd,$(1),echo $(2)) + $(call mb_autoexec_append_cmd,$(1),echo $(subst >,>,$(2))) endef define mb_autoexec_append_rem $(call mb_autoexec_append_cmd,$(1),rem $(2)) @@ -29,12 +29,12 @@ define mb_autoexec_append_exit endef define mb_autoexec_append_safe_test $(call mb_autoexec_append_cmd,$(1),omsxctl fail_after $(if $(3),$(3),$(MB_AUTOEXEC_TEST_TIMEOUT)) seconds failed_test $(if $(4),$(4),$(MB_AUTOEXEC_TEST_EXITCODE))) - $(call mb_autoexec_append_echo,$(1),Running test: $(subst >,>,$(2))) + $(call mb_autoexec_append_echo,$(1),Running test: $(2)) $(call mb_autoexec_append_cmd,$(1),$(2)) endef define mb_autoexec_append_safe_cmd $(call mb_autoexec_append_cmd,$(1),omsxctl fail_after $(if $(3),$(3),$(MB_AUTOEXEC_CMD_TIMEOUT)) seconds failed_cmd $(if $(4),$(4),$(MB_AUTOEXEC_CMD_EXITCODE))) - $(call mb_autoexec_append_echo,$(1),Running command: $(subst >,>,$(2))) + $(call mb_autoexec_append_echo,$(1),Running command: $(2)) $(call mb_autoexec_append_cmd,$(1),$(2)) endef define mb_autoexec_write_default @@ -59,19 +59,13 @@ define _mb_autoexec_open_gui $(call mb_autoexec_append_stop_fail,$(1)) $(call mb_autoexec_append_gui_mode,$(1)) $(call mb_autoexec_append_echo,$(1),Type shutdown to stop emulation.) - $(if $(3),$(call mb_autoexec_append_echo,$(1),Running command '$(3)')) + $(if $(3),$(call mb_autoexec_append_echo,$(1),Running command: $(3))) $(if $(3),$(call mb_autoexec_append_cmd,$(1),$(3))) endef define mb_autoexec_open_gui40 - $(call _mb_autoexec_open_gui,$(1),40) -endef -define mb_autoexec_open_gui40_cmd $(call _mb_autoexec_open_gui,$(1),40,$(2)) endef define mb_autoexec_open_gui80 - $(call _mb_autoexec_open_gui,$(1),80) -endef -define mb_autoexec_open_gui80_cmd $(call _mb_autoexec_open_gui,$(1),80,$(2)) endef diff --git a/test/dist-qa-msxhub/0module.mk b/test/dist-qa-msxhub/0module.mk index a812473..8bba6ec 100644 --- a/test/dist-qa-msxhub/0module.mk +++ b/test/dist-qa-msxhub/0module.mk @@ -30,7 +30,7 @@ $(TEST_DIST_QA_MSXHUB)/%: bin/test/dist/% | $(TEST_DIST_QA_MSXHUB) $(TEST_DIST_D .PHONY: test-dist-qa-msxhub-run test-dist-qa-msxhub-run: | $(TEST_DIST_QA_MSXHUB_DEPS) - $(call mb_autoexec_open_gui80_cmd,$(TEST_DIST_QA_MSXHUB),dir/w utils) + $(call mb_autoexec_open_gui80,$(TEST_DIST_QA_MSXHUB),dir/w utils) $(call mb_openmsx_dosctl,$(TEST_DIST_QA_MSXHUB),$(TEST_DIST_QA_MSXHUB_MACHINE)) .PHONY: test-dist-qa-msxhub-assert From d9a743cbc0e77986eb4119fbd768b039a89b74cb Mon Sep 17 00:00:00 2001 From: Willem Date: Sat, 29 Jun 2024 03:36:55 +0200 Subject: [PATCH 052/274] Added wbass2 package. --- README.md | 3 ++- lib/make/mb_msxhub.mk | 5 +++++ test/dist-qa-msxhub/0module.mk | 1 + 3 files changed, 8 insertions(+), 1 deletion(-) diff --git a/README.md b/README.md index 322d7f9..3790e9f 100644 --- a/README.md +++ b/README.md @@ -95,6 +95,7 @@ Current set is WIP. * mb_msxhub_get_nextor_utils * mb_msxhub_get_macro80 * mb_msxhub_get_z80asmuk +* mb_msxhub_get_wbass2 * mb_msxhub_get_pmarc * mb_msxhub_get_lhpack * mb_msxhub_get_lhext @@ -106,7 +107,7 @@ Current set is WIP. * mb_msxhub_get_baskom * mb_msxhub_get_binldr * mb_msxhub_get_dmphex -* mb_msxhub_get_dos2tools +* mb_msxhub_get_msxdos2t * mb_msxhub_get_gfxage * mb_msxrom_file * mb_msxrom_setup diff --git a/lib/make/mb_msxhub.mk b/lib/make/mb_msxhub.mk index 8c04b09..dde0cf2 100644 --- a/lib/make/mb_msxhub.mk +++ b/lib/make/mb_msxhub.mk @@ -79,6 +79,11 @@ define mb_msxhub_get_z80asmuk $(call mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/ZSMPP.COM) $(call mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/HEXTOBIN.COM) endef +define mb_msxhub_get_wbass2 + $(call mb_msxhub_file,$(1),WBASS2/2.0-1/get/WBASS2/zoekram.bin) + $(call mb_msxhub_file,$(1),WBASS2/2.0-1/get/WBASS2/wbass2.bin) + $(call mb_msxhub_file,$(1),WBASS2/2.0-1/get/WBASS2/wbass2.bas) +endef # # Compressors diff --git a/test/dist-qa-msxhub/0module.mk b/test/dist-qa-msxhub/0module.mk index 8bba6ec..01d3db4 100644 --- a/test/dist-qa-msxhub/0module.mk +++ b/test/dist-qa-msxhub/0module.mk @@ -11,6 +11,7 @@ $(TEST_DIST_QA_MSXHUB): $(call mb_msxhub_get_nextor_utils,$(TEST_DIST_QA_MSXHUB)/utils) $(call mb_msxhub_get_macro80,$(TEST_DIST_QA_MSXHUB)/utils) $(call mb_msxhub_get_z80asmuk,$(TEST_DIST_QA_MSXHUB)/utils) + $(call mb_msxhub_get_wbass2,$(TEST_DIST_QA_MSXHUB)/utils) $(call mb_msxhub_get_pmarc,$(TEST_DIST_QA_MSXHUB)/utils) $(call mb_msxhub_get_lhpack,$(TEST_DIST_QA_MSXHUB)/utils) $(call mb_msxhub_get_lhext,$(TEST_DIST_QA_MSXHUB)/utils) From 30d5387da551f6c2d07b465c1826c0a18223e692 Mon Sep 17 00:00:00 2001 From: Willem Date: Sat, 29 Jun 2024 03:42:46 +0200 Subject: [PATCH 053/274] Added konpass package. --- README.md | 1 + lib/make/mb_msxhub.mk | 4 ++++ test/dist-qa-msxhub/0module.mk | 1 + 3 files changed, 6 insertions(+) diff --git a/README.md b/README.md index 3790e9f..7dbc29c 100644 --- a/README.md +++ b/README.md @@ -96,6 +96,7 @@ Current set is WIP. * mb_msxhub_get_macro80 * mb_msxhub_get_z80asmuk * mb_msxhub_get_wbass2 +* mb_msxhub_get_konpass * mb_msxhub_get_pmarc * mb_msxhub_get_lhpack * mb_msxhub_get_lhext diff --git a/lib/make/mb_msxhub.mk b/lib/make/mb_msxhub.mk index dde0cf2..6b9cacf 100644 --- a/lib/make/mb_msxhub.mk +++ b/lib/make/mb_msxhub.mk @@ -84,6 +84,10 @@ define mb_msxhub_get_wbass2 $(call mb_msxhub_file,$(1),WBASS2/2.0-1/get/WBASS2/wbass2.bin) $(call mb_msxhub_file,$(1),WBASS2/2.0-1/get/WBASS2/wbass2.bas) endef +define mb_msxhub_get_konpass + $(call mb_msxhub_file,$(1),KONPASS/1.3.0-1/get/KONPASS/KONPASS.COM) + $(call mb_msxhub_file,$(1),KONPASS/1.3.0-1/get/KONPASS/KONPASS.DAT) +endef # # Compressors diff --git a/test/dist-qa-msxhub/0module.mk b/test/dist-qa-msxhub/0module.mk index 01d3db4..5a3ec8e 100644 --- a/test/dist-qa-msxhub/0module.mk +++ b/test/dist-qa-msxhub/0module.mk @@ -12,6 +12,7 @@ $(TEST_DIST_QA_MSXHUB): $(call mb_msxhub_get_macro80,$(TEST_DIST_QA_MSXHUB)/utils) $(call mb_msxhub_get_z80asmuk,$(TEST_DIST_QA_MSXHUB)/utils) $(call mb_msxhub_get_wbass2,$(TEST_DIST_QA_MSXHUB)/utils) + $(call mb_msxhub_get_konpass,$(TEST_DIST_QA_MSXHUB)/utils) $(call mb_msxhub_get_pmarc,$(TEST_DIST_QA_MSXHUB)/utils) $(call mb_msxhub_get_lhpack,$(TEST_DIST_QA_MSXHUB)/utils) $(call mb_msxhub_get_lhext,$(TEST_DIST_QA_MSXHUB)/utils) From f0f91a2c86acc28add6ef6ad992eb49229e5c5e3 Mon Sep 17 00:00:00 2001 From: Willem Date: Sat, 29 Jun 2024 03:52:54 +0200 Subject: [PATCH 054/274] Added zd and make packages. --- README.md | 2 ++ lib/make/mb_msxhub.mk | 6 ++++++ test/dist-qa-msxhub/0module.mk | 2 ++ 3 files changed, 10 insertions(+) diff --git a/README.md b/README.md index 7dbc29c..6af9fff 100644 --- a/README.md +++ b/README.md @@ -103,11 +103,13 @@ Current set is WIP. * mb_msxhub_get_gunzip * mb_msxhub_get_tunzip * mb_msxhub_get_popcom +* mb_msxhub_get_make * mb_msxhub_get_adir * mb_msxhub_get_turbo * mb_msxhub_get_baskom * mb_msxhub_get_binldr * mb_msxhub_get_dmphex +* mb_msxhub_get_zd * mb_msxhub_get_msxdos2t * mb_msxhub_get_gfxage * mb_msxrom_file diff --git a/lib/make/mb_msxhub.mk b/lib/make/mb_msxhub.mk index 6b9cacf..1bd6ba8 100644 --- a/lib/make/mb_msxhub.mk +++ b/lib/make/mb_msxhub.mk @@ -119,6 +119,9 @@ endef # # Tools # +define mb_msxhub_get_make + $(call mb_msxhub_file,$(1),MAKE/2.00-1/get/MAKE/MAKE.COM) +endef define mb_msxhub_get_adir $(call mb_msxhub_file,$(1),ADIR/1.3-1/get/ADIR/adir.com) endef @@ -134,6 +137,9 @@ endef define mb_msxhub_get_dmphex $(call mb_msxhub_file,$(1),DMPHEX/1.00-1/get/DMPHEX/dmphex.com) endef +define mb_msxhub_get_zd + $(call mb_msxhub_file,$(1),ZD/3.30-1/get/ZD/zd.com) +endef define mb_msxhub_get_msxdos2t $(call mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/ADDAUX.COM) $(call mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/BEEP.COM) diff --git a/test/dist-qa-msxhub/0module.mk b/test/dist-qa-msxhub/0module.mk index 5a3ec8e..be4bb62 100644 --- a/test/dist-qa-msxhub/0module.mk +++ b/test/dist-qa-msxhub/0module.mk @@ -19,11 +19,13 @@ $(TEST_DIST_QA_MSXHUB): $(call mb_msxhub_get_gunzip,$(TEST_DIST_QA_MSXHUB)/utils) $(call mb_msxhub_get_tunzip,$(TEST_DIST_QA_MSXHUB)/utils) $(call mb_msxhub_get_popcom,$(TEST_DIST_QA_MSXHUB)/utils) + $(call mb_msxhub_get_make,$(TEST_DIST_QA_MSXHUB)/utils) $(call mb_msxhub_get_adir,$(TEST_DIST_QA_MSXHUB)/utils) $(call mb_msxhub_get_turbo,$(TEST_DIST_QA_MSXHUB)/utils) $(call mb_msxhub_get_baskom,$(TEST_DIST_QA_MSXHUB)/utils) $(call mb_msxhub_get_binldr,$(TEST_DIST_QA_MSXHUB)/utils) $(call mb_msxhub_get_dmphex,$(TEST_DIST_QA_MSXHUB)/utils) + $(call mb_msxhub_get_zd,$(TEST_DIST_QA_MSXHUB)/utils) $(call mb_msxhub_get_msxdos2t,$(TEST_DIST_QA_MSXHUB)/utils) $(call mb_msxhub_get_gfxage,$(TEST_DIST_QA_MSXHUB)/utils) From 807b32f92c6e38d2f875ef4dd04fdb810a2db7b5 Mon Sep 17 00:00:00 2001 From: Willem Date: Sat, 29 Jun 2024 04:46:30 +0200 Subject: [PATCH 055/274] Moves joyport control to autoexec.bat to control per test. --- README.md | 3 +++ lib/make/mb_autoexec.mk | 10 ++++++++++ lib/make/mb_openmsx.mk | 4 ---- lib/openmsx/share/scripts/boot_env.tcl | 22 +--------------------- 4 files changed, 14 insertions(+), 25 deletions(-) diff --git a/README.md b/README.md index 6af9fff..b1f57aa 100644 --- a/README.md +++ b/README.md @@ -80,11 +80,14 @@ Current set is WIP. * mb_autoexec_append_gui_mode * mb_autoexec_append_stop_fail * mb_autoexec_append_exit +* mb_autoexec_append_joyporta_mouse * mb_autoexec_append_safe_test * mb_autoexec_append_safe_cmd * mb_autoexec_write_default * mb_autoexec_open_gui40 +* mb_autoexec_open_gui40_mouse * mb_autoexec_open_gui80 +* mb_autoexec_open_gui80_mouse * mb_autoexec_safe_cmd * mb_autoexec_safe_test * mb_msxhub_file diff --git a/lib/make/mb_autoexec.mk b/lib/make/mb_autoexec.mk index 0045238..c5484cd 100644 --- a/lib/make/mb_autoexec.mk +++ b/lib/make/mb_autoexec.mk @@ -27,6 +27,9 @@ endef define mb_autoexec_append_exit $(call mb_autoexec_append_cmd,$(1),omsxctl exit 0) endef +define mb_autoexec_append_joyporta_mouse + $(call mb_autoexec_append_cmd,$(1),omsxctl plug joyporta mouse) +endef define mb_autoexec_append_safe_test $(call mb_autoexec_append_cmd,$(1),omsxctl fail_after $(if $(3),$(3),$(MB_AUTOEXEC_TEST_TIMEOUT)) seconds failed_test $(if $(4),$(4),$(MB_AUTOEXEC_TEST_EXITCODE))) $(call mb_autoexec_append_echo,$(1),Running test: $(2)) @@ -58,6 +61,7 @@ define _mb_autoexec_open_gui $(call mb_autoexec_write_default,$(1),$(2)) $(call mb_autoexec_append_stop_fail,$(1)) $(call mb_autoexec_append_gui_mode,$(1)) + $(if $(filter mouse,$(4)),$(call mb_autoexec_append_joyporta_mouse,$(1))) $(call mb_autoexec_append_echo,$(1),Type shutdown to stop emulation.) $(if $(3),$(call mb_autoexec_append_echo,$(1),Running command: $(3))) $(if $(3),$(call mb_autoexec_append_cmd,$(1),$(3))) @@ -65,9 +69,15 @@ endef define mb_autoexec_open_gui40 $(call _mb_autoexec_open_gui,$(1),40,$(2)) endef +define mb_autoexec_open_gui40_mouse + $(call _mb_autoexec_open_gui,$(1),40,$(2),mouse) +endef define mb_autoexec_open_gui80 $(call _mb_autoexec_open_gui,$(1),80,$(2)) endef +define mb_autoexec_open_gui80_mouse + $(call _mb_autoexec_open_gui,$(1),80,$(2),mouse) +endef define mb_autoexec_safe_cmd $(call mb_autoexec_write_default,$(1),$(3)) diff --git a/lib/make/mb_openmsx.mk b/lib/make/mb_openmsx.mk index 24c9a00..5ed92e1 100644 --- a/lib/make/mb_openmsx.mk +++ b/lib/make/mb_openmsx.mk @@ -9,8 +9,6 @@ MB_OPENMSX_EXTRA_SLOT ?= -ext slotexpander MB_OPENMSX_EXTRA_MEM ?= -ext ram4mb MB_OPENMSX_EXTRA_HDD ?= -ext ide-nextor MB_OPENMSX_HDD_SIZE ?= 4m -MB_OPENMSX_JOYPORTA ?= -MB_OPENMSX_JOYPORTB ?= MB_OPENMSX_SCALE_FACTOR ?= 3 MB_OPENMSX_THROTTLE ?= off MB_OPENMSX_RENDERER ?= off @@ -45,8 +43,6 @@ define _mb_openmsx_run BOOT_HDD_PATH="$(1)" \ BOOT_HDD_SIZE=$(MB_OPENMSX_HDD_SIZE) \ BOOT_HDD_IMAGE="$(1)-omsx/persistent/ide-nextor/untitled1/hd.dsk" \ - JOYPORTA=$(MB_OPENMSX_JOYPORTA) \ - JOYPORTB=$(MB_OPENMSX_JOYPORTB) \ SCALE_FACTOR=$(MB_OPENMSX_SCALE_FACTOR) \ SPEED=$(MB_OPENMSX_SPEED) \ RENDERER=$(MB_OPENMSX_RENDERER) \ diff --git a/lib/openmsx/share/scripts/boot_env.tcl b/lib/openmsx/share/scripts/boot_env.tcl index 2e0ed8c..46394ba 100644 --- a/lib/openmsx/share/scripts/boot_env.tcl +++ b/lib/openmsx/share/scripts/boot_env.tcl @@ -5,7 +5,7 @@ # Adds the following command to openMSX; # # 'boot_gui_mode' -# Enableds the renderer and throttle from the inside. +# Enables the renderer and throttle from the inside. # # Supported environment variables by this script; # @@ -24,12 +24,6 @@ # SPEED=400 # Sets msx speed to 4x of original but only when throttle is on. # -# JOYPORTA=mouse -# Inserts mouse in joyporta. -# -# JOYPORTB=mouse -# Inserts mouse in joyportb. -# # RECORDER=bin/output.avi # Enables the the video recorder. # @@ -83,20 +77,6 @@ if {[info exists ::env(SPEED)] && ([string trim $::env(SPEED)] != "")} { } } -if {[info exists ::env(JOYPORTA)] && ([string trim $::env(JOYPORTA)] != "")} { - if {[catch {plug joyporta [string trim $::env(JOYPORTA)]} err_msg]} { - puts stderr "error: env.JOYPORTA value $err_msg" - exit 1 - } -} - -if {[info exists ::env(JOYPORTB)] && ([string trim $::env(JOYPORTB)] != "")} { - if {[catch {plug joyportb [string trim $::env(JOYPORTB)]} err_msg]} { - puts stderr "error: env.JOYPORTB value $err_msg" - exit 1 - } -} - if {[info exists ::env(RECORDER)] && ([string trim $::env(RECORDER)] != "")} { if {[catch {after time 1 "record start -prefix [string trim $::env(RECORDER)]"} err_msg]} { puts stderr "error: env.RECORDER value $err_msg" From 97088650d4a1239d8d3fa98b9bdb8c6e3bf079b0 Mon Sep 17 00:00:00 2001 From: Willem Date: Sat, 29 Jun 2024 05:07:15 +0200 Subject: [PATCH 056/274] Split headless and renderer vars. --- README.md | 8 ++++---- lib/make/mb_openmsx.mk | 6 +++--- lib/openmsx/share/scripts/boot_env.tcl | 16 ++++++++-------- 3 files changed, 15 insertions(+), 15 deletions(-) diff --git a/README.md b/README.md index b1f57aa..6493fec 100644 --- a/README.md +++ b/README.md @@ -55,15 +55,15 @@ When you want to see whats happening do a debug run; real 0m3.223s debug run at 11 Mhz; - time MB_OPENMSX_RENDERER=on MB_OPENMSX_THROTTLE=on make assert-all - real 0m49.609s + time MB_OPENMSX_HEADLESS=off MB_OPENMSX_THROTTLE=on make assert-all + real 1m4.856s debug run real msx speed; - time MB_OPENMSX_RENDERER=on MB_OPENMSX_THROTTLE=on MB_OPENMSX_SPEED=100 make assert-all + time MB_OPENMSX_HEADLESS=off MB_OPENMSX_THROTTLE=on MB_OPENMSX_SPEED=100 make assert-all real 2m37.379s debug run with video recorder and merge to one video file; - time MB_OPENMSX_RENDERER=on MB_OPENMSX_RECORDER=on make -s assert-all-video + time MB_OPENMSX_HEADLESS=off MB_OPENMSX_RECORDER=on make -s assert-all-video real 0m7.136s build parallel; diff --git a/lib/make/mb_openmsx.mk b/lib/make/mb_openmsx.mk index 5ed92e1..9d858fc 100644 --- a/lib/make/mb_openmsx.mk +++ b/lib/make/mb_openmsx.mk @@ -11,8 +11,8 @@ MB_OPENMSX_EXTRA_HDD ?= -ext ide-nextor MB_OPENMSX_HDD_SIZE ?= 4m MB_OPENMSX_SCALE_FACTOR ?= 3 MB_OPENMSX_THROTTLE ?= off -MB_OPENMSX_RENDERER ?= off -MB_OPENMSX_RENDERER_TYPE ?= SDL +MB_OPENMSX_HEADLESS ?= on +MB_OPENMSX_RENDERER ?= SDL MB_OPENMSX_RECORDER ?= off MB_OPENMSX_CTLCACHE ?= $(MB_CACHE)/msxbuild/omsxctl @@ -45,8 +45,8 @@ define _mb_openmsx_run BOOT_HDD_IMAGE="$(1)-omsx/persistent/ide-nextor/untitled1/hd.dsk" \ SCALE_FACTOR=$(MB_OPENMSX_SCALE_FACTOR) \ SPEED=$(MB_OPENMSX_SPEED) \ + HEADLESS=$(MB_OPENMSX_HEADLESS) \ RENDERER=$(MB_OPENMSX_RENDERER) \ - RENDERER_TYPE=$(MB_OPENMSX_RENDERER_TYPE) \ THROTTLE=$(MB_OPENMSX_THROTTLE) \ RECORDER=$(if $(filter on,$(MB_OPENMSX_RECORDER)),msxbuild-) \ OPENMSX_HOME="$(1)-omsx" \ diff --git a/lib/openmsx/share/scripts/boot_env.tcl b/lib/openmsx/share/scripts/boot_env.tcl index 46394ba..bdcc87c 100644 --- a/lib/openmsx/share/scripts/boot_env.tcl +++ b/lib/openmsx/share/scripts/boot_env.tcl @@ -9,11 +9,11 @@ # # Supported environment variables by this script; # -# RENDERER=on|off +# HEADLESS=on|off # Override video output from command line. # -# RENDERER_TYPE=SDL -# Select video renderer type. +# RENDERER=SDL +# Select video renderer type for gui mode or in none headless mode. # # SCALE_FACTOR=3 # Override video scale factor for SDL renderer. @@ -37,7 +37,7 @@ proc boot_gui_mode {} { set renderer $boot_env_renderer_type set throttle on } else { - puts stderr "error: Requested boot_gui_mode but env.RENDERER_TYPE is missing." + puts stderr "error: Requested boot_gui_mode but env.RENDERER is missing." exit 1 } } @@ -45,13 +45,13 @@ proc boot_gui_mode {} { # Globals set boot_env_renderer_type 0 -if {[info exists ::env(RENDERER_TYPE)] && ([string trim $::env(RENDERER_TYPE)] != "")} { - set boot_env_renderer_type [string trim $::env(RENDERER_TYPE)] +if {[info exists ::env(RENDERER)] && ([string trim $::env(RENDERER)] != "")} { + set boot_env_renderer_type [string trim $::env(RENDERER)] } -if {[info exists ::env(RENDERER)] && ([string trim $::env(RENDERER)] == "on")} { +if {[info exists ::env(HEADLESS)] && ([string trim $::env(HEADLESS)] != "on")} { if {[catch {set renderer $boot_env_renderer_type} err_msg]} { - puts stderr "error: env.RENDERER value $err_msg" + puts stderr "error: env.HEADLESS value $err_msg" exit 1 } } From c1a52773ac20b207a741fec53a11b464328b84e0 Mon Sep 17 00:00:00 2001 From: Willem Date: Sat, 29 Jun 2024 15:47:52 +0200 Subject: [PATCH 057/274] Added flight recorder and more boot messages. --- Makefile | 1 - README.md | 15 +++-- lib/make/mb_autoexec.mk | 50 ++++++++------- lib/make/mb_openmsx.mk | 13 ++-- lib/make/msxbuild.mk | 7 +++ lib/openmsx/share/scripts/boot_exec.tcl | 33 ++++++++++ lib/openmsx/share/scripts/boot_hdd.tcl | 2 - .../scripts/{boot_env.tcl => boot_mode.tcl} | 38 ++++------- lib/openmsx/share/scripts/fail_after.tcl | 36 ++++------- lib/openmsx/share/scripts/save_flight.tcl | 63 +++++++++++++++++++ test/ahello-m80/0module.mk | 2 +- test/ahello-sdcc/0module.mk | 2 +- test/dist-qa-dos1/0module.mk | 2 +- test/dist-qa-dos2/0module.mk | 2 +- test/dist-qa-msx1/0module.mk | 2 +- test/dist-qa-msxhub/0module.mk | 2 +- 16 files changed, 176 insertions(+), 94 deletions(-) create mode 100644 lib/openmsx/share/scripts/boot_exec.tcl rename lib/openmsx/share/scripts/{boot_env.tcl => boot_mode.tcl} (68%) create mode 100644 lib/openmsx/share/scripts/save_flight.tcl diff --git a/Makefile b/Makefile index fb21560..1ea941b 100644 --- a/Makefile +++ b/Makefile @@ -20,6 +20,5 @@ BUILD_HELP += \\n\\t* clean clean: $(call mb_clean,$(PATH_BIN)) -MB_OPENMSX_PATH_BIN ?= $(PATH_BIN) include lib/make/msxbuild.mk include $(INC_TEST) diff --git a/README.md b/README.md index 6493fec..ca40fa4 100644 --- a/README.md +++ b/README.md @@ -63,7 +63,7 @@ When you want to see whats happening do a debug run; real 2m37.379s debug run with video recorder and merge to one video file; - time MB_OPENMSX_HEADLESS=off MB_OPENMSX_RECORDER=on make -s assert-all-video + time MB_OPENMSX_HEADLESS=off MB_FLIGHT_VIDEO=on make -s assert-all-video real 0m7.136s build parallel; @@ -77,17 +77,19 @@ Current set is WIP. * mb_autoexec_append_cmd * mb_autoexec_append_echo * mb_autoexec_append_rem -* mb_autoexec_append_gui_mode +* mb_autoexec_append_show_gui * mb_autoexec_append_stop_fail * mb_autoexec_append_exit * mb_autoexec_append_joyporta_mouse +* mb_autoexec_append_record_screenshot +* mb_autoexec_append_record_video * mb_autoexec_append_safe_test * mb_autoexec_append_safe_cmd * mb_autoexec_write_default -* mb_autoexec_open_gui40 -* mb_autoexec_open_gui40_mouse -* mb_autoexec_open_gui80 -* mb_autoexec_open_gui80_mouse +* mb_autoexec_show_gui40 +* mb_autoexec_show_gui40_mouse +* mb_autoexec_show_gui80 +* mb_autoexec_show_gui80_mouse * mb_autoexec_safe_cmd * mb_autoexec_safe_test * mb_msxhub_file @@ -163,6 +165,7 @@ Current set is WIP. ## Errata * Imported folders in openMSX; `cd utils;cd ..;dir` breaks, use `cd utils:cd a:\;dir` as workaround +* Saving screenshots sometimes lets openMSX segfaults * Doesn't work on windows * Missing C and mixed support * If no SDL than screenshot in fail_after doesn't work diff --git a/lib/make/mb_autoexec.mk b/lib/make/mb_autoexec.mk index c5484cd..4a07bf1 100644 --- a/lib/make/mb_autoexec.mk +++ b/lib/make/mb_autoexec.mk @@ -16,20 +16,25 @@ endef define mb_autoexec_append_rem $(call mb_autoexec_append_cmd,$(1),rem $(2)) endef -define mb_autoexec_append_gui_mode - $(call mb_autoexec_append_echo,$(1),Enabling boot GUI mode.) - $(call mb_autoexec_append_cmd,$(1),omsxctl after time 1 boot_gui_mode) +define mb_autoexec_append_show_gui + $(call mb_autoexec_append_cmd,$(1),omsxctl boot_mode_show_gui) endef define mb_autoexec_append_stop_fail - $(call mb_autoexec_append_echo,$(1),Disabling automatic failure.) $(call mb_autoexec_append_cmd,$(1),omsxctl fail_after 0) endef define mb_autoexec_append_exit - $(call mb_autoexec_append_cmd,$(1),omsxctl exit 0) + $(if $(filter on,$(MB_FLIGHT_SCREEN)),$(call mb_autoexec_append_save_screenshot,$(1))) + $(call mb_autoexec_append_cmd,$(1),omsxctl boot_exec_exit) endef define mb_autoexec_append_joyporta_mouse $(call mb_autoexec_append_cmd,$(1),omsxctl plug joyporta mouse) endef +define mb_autoexec_append_save_screenshot + $(call mb_autoexec_append_cmd,$(1),omsxctl save_flight_screenshot $(2)) +endef +define mb_autoexec_append_save_video + $(call mb_autoexec_append_cmd,$(1),omsxctl save_flight_video $(2)) +endef define mb_autoexec_append_safe_test $(call mb_autoexec_append_cmd,$(1),omsxctl fail_after $(if $(3),$(3),$(MB_AUTOEXEC_TEST_TIMEOUT)) seconds failed_test $(if $(4),$(4),$(MB_AUTOEXEC_TEST_EXITCODE))) $(call mb_autoexec_append_echo,$(1),Running test: $(2)) @@ -43,40 +48,41 @@ endef define mb_autoexec_write_default @echo === Writing autoexec.bat for $(notdir $(1)) @echo -n "" > $(1)/autoexec.bat - $(call mb_autoexec_append_cmd,$(1),omsxctl setcolor 4 000) - $(call mb_autoexec_append_cmd,$(1),omsxctl setcolor 15 777) $(if $(filter 40,$(2)),$(call mb_autoexec_append_cmd,$(1),mode 40),$(call mb_autoexec_append_cmd,$(1),mode 80)) - $(if $(filter 40,$(2)),$(call mb_autoexec_append_echo,$(1),Enabled mode 40.),$(call mb_autoexec_append_echo,$(1),Enabled mode 80.)) + $(call mb_autoexec_append_echo,$(1),==== MSXBUILD ====) + $(if $(filter 40,$(2)),$(call mb_autoexec_append_echo,$(1),Boot mode 40),$(call mb_autoexec_append_echo,$(1),Boot mode 80)) + $(if $(filter on,$(MB_FLIGHT_VIDEO)),$(call mb_autoexec_append_save_video,$(1))) + $(call mb_autoexec_append_cmd,$(1),omsxctl boot_exec_color_dark) $(call mb_autoexec_append_cmd,$(1),omsxctl fail_after $(MB_AUTOEXEC_STARTUP_TIMEOUT) seconds failed_exec $(MB_AUTOEXEC_STARTUP_EXITCODE)) $(if $(filter on,$(MB_AUTOEXEC_VERSION)),$(call mb_autoexec_append_cmd,$(1),ver)) - $(if $(filter on,$(MB_AUTOEXEC_VERSION)),$(call mb_autoexec_append_cmd,$(1),omsxctl machine_info config_name)) - $(if $(filter on,$(MB_AUTOEXEC_VERSION)),$(call mb_autoexec_append_cmd,$(1),omsxctl openmsx_info version)) $(if $(wildcard $(1)/utils),$(call mb_autoexec_append_cmd,$(1),PATH + A:\)) $(if $(wildcard $(1)/utils),$(call mb_autoexec_append_cmd,$(1),PATH + A:\UTILS)) $(if $(wildcard $(1)/utils),$(call mb_autoexec_append_cmd,$(1),PATH)) - $(call mb_autoexec_append_echo,$(1),Run msxbuild: $(notdir $(1))) + $(if $(filter on,$(MB_AUTOEXEC_VERSION)),$(call mb_autoexec_append_cmd,$(1),omsxctl boot_exec_config_info)) + $(call mb_autoexec_append_echo,$(1),Run folder $(1)) + $(call mb_autoexec_append_echo,$(1),Run target $@) endef -define _mb_autoexec_open_gui +define _mb_autoexec_show_gui $(call mb_autoexec_write_default,$(1),$(2)) $(call mb_autoexec_append_stop_fail,$(1)) - $(call mb_autoexec_append_gui_mode,$(1)) + $(call mb_autoexec_append_show_gui,$(1)) $(if $(filter mouse,$(4)),$(call mb_autoexec_append_joyporta_mouse,$(1))) - $(call mb_autoexec_append_echo,$(1),Type shutdown to stop emulation.) + $(call mb_autoexec_append_echo,$(1),Type shutdown to stop emulation) $(if $(3),$(call mb_autoexec_append_echo,$(1),Running command: $(3))) $(if $(3),$(call mb_autoexec_append_cmd,$(1),$(3))) endef -define mb_autoexec_open_gui40 - $(call _mb_autoexec_open_gui,$(1),40,$(2)) +define mb_autoexec_show_gui40 + $(call _mb_autoexec_show_gui,$(1),40,$(2)) endef -define mb_autoexec_open_gui40_mouse - $(call _mb_autoexec_open_gui,$(1),40,$(2),mouse) +define mb_autoexec_show_gui40_mouse + $(call _mb_autoexec_show_gui,$(1),40,$(2),mouse) endef -define mb_autoexec_open_gui80 - $(call _mb_autoexec_open_gui,$(1),80,$(2)) +define mb_autoexec_show_gui80 + $(call _mb_autoexec_show_gui,$(1),80,$(2)) endef -define mb_autoexec_open_gui80_mouse - $(call _mb_autoexec_open_gui,$(1),80,$(2),mouse) +define mb_autoexec_show_gui80_mouse + $(call _mb_autoexec_show_gui,$(1),80,$(2),mouse) endef define mb_autoexec_safe_cmd diff --git a/lib/make/mb_openmsx.mk b/lib/make/mb_openmsx.mk index 9d858fc..ec9b07a 100644 --- a/lib/make/mb_openmsx.mk +++ b/lib/make/mb_openmsx.mk @@ -1,5 +1,4 @@ -MB_OPENMSX_PATH_BIN ?= bin MB_OPENMSX_BOOT_TIMEOUT ?= 25 MB_OPENMSX_BOOT_OS ?= nextor MB_OPENMSX_MACHINE ?= Philips_NMS_8250 @@ -13,7 +12,6 @@ MB_OPENMSX_SCALE_FACTOR ?= 3 MB_OPENMSX_THROTTLE ?= off MB_OPENMSX_HEADLESS ?= on MB_OPENMSX_RENDERER ?= SDL -MB_OPENMSX_RECORDER ?= off MB_OPENMSX_CTLCACHE ?= $(MB_CACHE)/msxbuild/omsxctl define mb_openmsx_setup @@ -25,9 +23,11 @@ define mb_openmsx_setup $(if $(wildcard $(1)-omsx/share),,$(call mb_mkdir,$(1)-omsx/share)) $(if $(wildcard $(1)-omsx/share/settings.xml),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/openmsx/share/settings.xml,$(1)-omsx/share)) $(if $(wildcard $(1)-omsx/share/scripts),,$(call mb_mkdir,$(1)-omsx/share/scripts)) - $(if $(wildcard $(1)-omsx/share/scripts/boot_env.tcl),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/openmsx/share/scripts/boot_env.tcl,$(1)-omsx/share/scripts)) + $(if $(wildcard $(1)-omsx/share/scripts/boot_exec.tcl),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/openmsx/share/scripts/boot_exec.tcl,$(1)-omsx/share/scripts)) $(if $(wildcard $(1)-omsx/share/scripts/boot_hdd.tcl),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/openmsx/share/scripts/boot_hdd.tcl,$(1)-omsx/share/scripts)) + $(if $(wildcard $(1)-omsx/share/scripts/boot_mode.tcl),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/openmsx/share/scripts/boot_mode.tcl,$(1)-omsx/share/scripts)) $(if $(wildcard $(1)-omsx/share/scripts/fail_after.tcl),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/openmsx/share/scripts/fail_after.tcl,$(1)-omsx/share/scripts)) + $(if $(wildcard $(1)-omsx/share/scripts/save_flight.tcl),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/openmsx/share/scripts/save_flight.tcl,$(1)-omsx/share/scripts)) $(if $(wildcard $(1)-omsx/share/scripts/omsxctl.tcl),,$(call mb_copy,$(MB_OPENMSX_CTLCACHE)/omsxctl.tcl,$(1)-omsx/share/scripts)) $(if $(wildcard $(1)-omsx/share/extensions),,$(call mb_mkdir,$(1)-omsx/share/extensions)) $(if $(wildcard $(1)-omsx/share/extensions/ide-nextor.xml),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/openmsx/share/extensions/ide-nextor.xml,$(1)-omsx/share/extensions)) @@ -38,8 +38,7 @@ endef define _mb_openmsx_run @echo === openMSX Start for $(notdir $(1)) - FAIL_AFTER_BOOT=$(MB_OPENMSX_BOOT_TIMEOUT) \ - FAIL_AFTER_PATH=$(MB_OPENMSX_PATH_BIN) \ + BOOT_WATCHDOG=$(MB_OPENMSX_BOOT_TIMEOUT) \ BOOT_HDD_PATH="$(1)" \ BOOT_HDD_SIZE=$(MB_OPENMSX_HDD_SIZE) \ BOOT_HDD_IMAGE="$(1)-omsx/persistent/ide-nextor/untitled1/hd.dsk" \ @@ -48,7 +47,9 @@ define _mb_openmsx_run HEADLESS=$(MB_OPENMSX_HEADLESS) \ RENDERER=$(MB_OPENMSX_RENDERER) \ THROTTLE=$(MB_OPENMSX_THROTTLE) \ - RECORDER=$(if $(filter on,$(MB_OPENMSX_RECORDER)),msxbuild-) \ + SAVE_FLIGHT_PREFIX=$(MB_FLIGHT_PREFIX) \ + SAVE_FLIGHT_SEPERATOR=$(MB_FLIGHT_SEPERATOR) \ + SAVE_FLIGHT_RECORD_FLAG=$(MB_FLIGHT_RECORD_FLAG) \ OPENMSX_HOME="$(1)-omsx" \ $(PATH_OPENMSX)/openmsx \ -machine $(2) \ diff --git a/lib/make/msxbuild.mk b/lib/make/msxbuild.mk index ab93c25..e1c04a0 100644 --- a/lib/make/msxbuild.mk +++ b/lib/make/msxbuild.mk @@ -5,8 +5,15 @@ # Setup default tools paths PATH_SDCC ?= /usr/bin PATH_OPENMSX ?= /usr/bin + +# Fill other defaults PATH_MSXBUILD ?= $(dir $(lastword $(MAKEFILE_LIST)))../.. PATH_MSXBUILD_REAL := $(if $(realpath $(PATH_MSXBUILD)),$(realpath $(PATH_MSXBUILD)),$(PATH_MSXBUILD)) +MB_FLIGHT_SCREEN ?= off +MB_FLIGHT_VIDEO ?= off +MB_FLIGHT_PREFIX ?= msxbuild +MB_FLIGHT_SEPERATOR ?= - +MB_FLIGHT_RECORD_FLAG ?= -doublesize # OS cmds ifeq ($(OS),Windows_NT) diff --git a/lib/openmsx/share/scripts/boot_exec.tcl b/lib/openmsx/share/scripts/boot_exec.tcl new file mode 100644 index 0000000..f75783c --- /dev/null +++ b/lib/openmsx/share/scripts/boot_exec.tcl @@ -0,0 +1,33 @@ +# boot_exec -- Helper command to autoexec.bat boot scripts. +# +# Adds the following command to openMSX; +# +# 'boot_exec_exit' +# Requested delayed shutdown of openMSX. +# (as workaround for segfault when screenshot is not ready yet) +# +# 'boot_exec_color_dark' +# Sets the VDP colors to dark color tones. +# +# 'boot_exec_config_info' +# Displays machine and openMSX version on one line. +# + +proc boot_exec_exit {} { + after time 1 "exit 0" + return "Shutdown openMSX" +} + +proc boot_exec_color_dark {} { + setcolor 4 000 + setcolor 15 777 + return "Boot color dark" +} + +proc boot_exec_config_info {} { + set result "Run machine " + append result [machine_info config_name] + append result " on " + append result [openmsx_info version] + return $result +} diff --git a/lib/openmsx/share/scripts/boot_hdd.tcl b/lib/openmsx/share/scripts/boot_hdd.tcl index 1a8a54c..43dc5e6 100644 --- a/lib/openmsx/share/scripts/boot_hdd.tcl +++ b/lib/openmsx/share/scripts/boot_hdd.tcl @@ -35,7 +35,6 @@ # The number of partitions created in the disk image, defaults to 1. # -# per default create msxdos1 compatible partition size. set boot_hdd_size 15m set boot_hdd_image hdd.dsk set boot_hdd_path_import 0 @@ -44,7 +43,6 @@ set boot_hdd_export_partition 0 set boot_hdd_export_dir \\ set boot_hdd_partitions 1 -# Parse env settings if {[info exists ::env(BOOT_HDD_SIZE)] && ([string trim $::env(BOOT_HDD_SIZE)] != "")} { set boot_hdd_size [string trim $::env(BOOT_HDD_SIZE)] } diff --git a/lib/openmsx/share/scripts/boot_env.tcl b/lib/openmsx/share/scripts/boot_mode.tcl similarity index 68% rename from lib/openmsx/share/scripts/boot_env.tcl rename to lib/openmsx/share/scripts/boot_mode.tcl index bdcc87c..5070ac2 100644 --- a/lib/openmsx/share/scripts/boot_env.tcl +++ b/lib/openmsx/share/scripts/boot_mode.tcl @@ -1,10 +1,10 @@ -# boot_env -- Sets various openMSX settings based from environment variables. +# boot_mode -- Controls the boot mode config of openMSX from environment variables. # # Typically used in automation tools which run openMSX without human interaction. # # Adds the following command to openMSX; # -# 'boot_gui_mode' +# 'boot_mode_show_gui' # Enables the renderer and throttle from the inside. # # Supported environment variables by this script; @@ -13,38 +13,34 @@ # Override video output from command line. # # RENDERER=SDL -# Select video renderer type for gui mode or in none headless mode. +# Select video renderer type for GUI mode or in none headless mode. # # SCALE_FACTOR=3 -# Override video scale factor for SDL renderer. +# Override video scale factor for the renderer. # # THROTTLE=off -# Disables msx speed emulation. +# Disables MSX speed emulation. # -# SPEED=400 -# Sets msx speed to 4x of original but only when throttle is on. -# -# RECORDER=bin/output.avi -# Enables the the video recorder. +# SPEED=333 +# Sets CPU speed to relative from normal but only when throttle is on. # -# Enabled openMSX gui from inside -proc boot_gui_mode {} { +set boot_env_renderer_type 0 + +proc boot_mode_show_gui {} { global renderer global throttle global boot_env_renderer_type if {$boot_env_renderer_type != 0} { - set renderer $boot_env_renderer_type + after time 1 "set renderer $boot_env_renderer_type" set throttle on } else { puts stderr "error: Requested boot_gui_mode but env.RENDERER is missing." exit 1 } + return "Requested GUI with $boot_env_renderer_type" } -# Globals -set boot_env_renderer_type 0 - if {[info exists ::env(RENDERER)] && ([string trim $::env(RENDERER)] != "")} { set boot_env_renderer_type [string trim $::env(RENDERER)] } @@ -76,13 +72,3 @@ if {[info exists ::env(SPEED)] && ([string trim $::env(SPEED)] != "")} { exit 1 } } - -if {[info exists ::env(RECORDER)] && ([string trim $::env(RECORDER)] != "")} { - if {[catch {after time 1 "record start -prefix [string trim $::env(RECORDER)]"} err_msg]} { - puts stderr "error: env.RECORDER value $err_msg" - exit 1 - } - after quit { - record stop - } -} diff --git a/lib/openmsx/share/scripts/fail_after.tcl b/lib/openmsx/share/scripts/fail_after.tcl index 7a8ed57..6d25e83 100644 --- a/lib/openmsx/share/scripts/fail_after.tcl +++ b/lib/openmsx/share/scripts/fail_after.tcl @@ -17,21 +17,19 @@ # # Supported environment variables by this script; # -# FAIL_AFTER_PATH=. -# Enabled automatic screenshots saving in case of failures in the supplied path. -# -# FAIL_AFTER_BOOT=30 +# BOOT_WATCHDOG=30 # Enables the boot watchdog timer which will exit openMSX after the timeout(in seconds). # To cancel this timer give an `fail_after 0` or any new fail_after command. +# (exits with status 124 see `man timeout`) # +set fail_after_prev_timer 0 +set fail_after_prev_id 0 +set fail_after_boot_timeout 0 + proc fail_after_exit {{fail_id "fail_after_exit"} {fail_code 2}} { - global fail_after_path - if {$fail_after_path != 0} { - if {[catch {screenshot $fail_after_path/$fail_id.png} err_msg]} { - puts stderr "warning: $err_msg" - } - # maybe later add; if {is_text_mode} { [get_screen] ?> $fail_after_path/$fail_id.scr } + if {[catch {screenshot -prefix $fail_id} err_msg]} { + puts stderr "warning: $err_msg" } puts stderr "error: Failure request from $fail_id" exit $fail_code @@ -43,7 +41,7 @@ proc fail_after { timeout {time_unit "time"} {fail_id "fail_after"} {fail_code 2 set msg "" if {$fail_after_prev_timer != 0} { after cancel $fail_after_prev_timer - set msg "$fail_after_prev_id: Stopped attempt." + set msg "$fail_after_prev_id: Stopped attempt" } set fail_after_prev_id $fail_id if {$time_unit != "time"} { @@ -69,19 +67,7 @@ proc fail_after_reboot_watchdog {} { } } -# Globals -set fail_after_prev_timer 0 -set fail_after_prev_id 0 -set fail_after_path 0 -set fail_after_boot_timeout 0 - -# Parse screenshot path env setting -if {[info exists ::env(FAIL_AFTER_PATH)] && ([string trim $::env(FAIL_AFTER_PATH)] != "")} { - set fail_after_path [string trim $::env(FAIL_AFTER_PATH)] -} - -# Enables boot watch dog timer when FAIL_AFTER_BOOT env has a value. (124 see `man timeout`) -if {[info exists ::env(FAIL_AFTER_BOOT)] && ([string trim $::env(FAIL_AFTER_BOOT)] != "")} { - set fail_after_boot_timeout [string trim $::env(FAIL_AFTER_BOOT)] +if {[info exists ::env(BOOT_WATCHDOG)] && ([string trim $::env(BOOT_WATCHDOG)] != "")} { + set fail_after_boot_timeout [string trim $::env(BOOT_WATCHDOG)] fail_after_reboot_watchdog } diff --git a/lib/openmsx/share/scripts/save_flight.tcl b/lib/openmsx/share/scripts/save_flight.tcl new file mode 100644 index 0000000..af559cc --- /dev/null +++ b/lib/openmsx/share/scripts/save_flight.tcl @@ -0,0 +1,63 @@ +# safe_flight -- Setup the black box flight recorder for build pipelines. +# +# Adds the following command to openMSX; +# +# 'save_flight_screenshot' +# Takes an screenshot with optional prefix parameter. +# +# 'save_flight_video' +# Starts video recording with optional prefix parameter. +# +# Supported environment variables by this script; +# +# SAVE_FLIGHT_PREFIX=msxbuild +# Gives screenshots and videos an prefix; msxbuild0001.avi +# +# SAVE_FLIGHT_SEPERATOR=- +# Gives screenshots and videos an seperator; openmsx-0001.png +# +# SAVE_FLIGHT_RECORD_FLAG=-doublesize +# Flag given to the video record command. +# + +set save_flight_prefix flight +set save_flight_seperator - +set save_flight_record_flag "" + +proc save_flight_screenshot {{prefix_id 0}} { + global save_flight_prefix + global save_flight_seperator + if {$prefix_id != 0} { + set file_prefix "$prefix_id$save_flight_seperator" + } else { + set file_prefix "$save_flight_prefix$save_flight_seperator" + } + after time 1 "screenshot -prefix $file_prefix" + return "Flight screenshot saved" +} + +proc save_flight_video {{prefix_id 0}} { + global save_flight_prefix + global save_flight_seperator + global save_flight_record_flag + if {$prefix_id != 0} { + set file_prefix "$prefix_id$save_flight_seperator" + } else { + set file_prefix "$save_flight_prefix$save_flight_seperator" + } + after quit "record stop" + after time 1 "record start $save_flight_record_flag -prefix $file_prefix" + return "Flight video started" +} + +if {[info exists ::env(SAVE_FLIGHT_PREFIX)] && ([string trim $::env(SAVE_FLIGHT_PREFIX)] != "")} { + set save_flight_prefix [string trim $::env(SAVE_FLIGHT_PREFIX)] +} + +if {[info exists ::env(SAVE_FLIGHT_SEPERATOR)] && ([string trim $::env(SAVE_FLIGHT_SEPERATOR)] != "")} { + set save_flight_seperator [string trim $::env(SAVE_FLIGHT_SEPERATOR)] +} + +if {[info exists ::env(SAVE_FLIGHT_RECORD_FLAG)] && ([string trim $::env(SAVE_FLIGHT_RECORD_FLAG)] != "")} { + set save_flight_record_flag [string trim $::env(SAVE_FLIGHT_RECORD_FLAG)] +} diff --git a/test/ahello-m80/0module.mk b/test/ahello-m80/0module.mk index 228ff34..3a5a4c6 100644 --- a/test/ahello-m80/0module.mk +++ b/test/ahello-m80/0module.mk @@ -25,7 +25,7 @@ $(TEST_AHELLO_M80)/ahello.com: $(TEST_AHELLO_M80)/ahello.hex .PHONY: test-ahello-m80-run test-ahello-m80-run: $(TEST_AHELLO_M80)/ahello.com - $(call mb_autoexec_open_gui80,$(TEST_AHELLO_M80)) + $(call mb_autoexec_show_gui80,$(TEST_AHELLO_M80)) $(call mb_openmsx_dosctl,$(TEST_AHELLO_M80)) .PHONY: test-ahello-m80-assert diff --git a/test/ahello-sdcc/0module.mk b/test/ahello-sdcc/0module.mk index eb63d46..df90a13 100644 --- a/test/ahello-sdcc/0module.mk +++ b/test/ahello-sdcc/0module.mk @@ -16,7 +16,7 @@ $(TEST_AHELLO_SDCC)/ahello.com: $(TEST_AHELLO_SDCC)/ahello.hex .PHONY: test-ahello-sdcc-run test-ahello-sdcc-run: $(TEST_AHELLO_SDCC)/ahello.com - $(call mb_autoexec_open_gui80,$(TEST_AHELLO_SDCC)) + $(call mb_autoexec_show_gui80,$(TEST_AHELLO_SDCC)) $(call mb_openmsx_dosctl,$(TEST_AHELLO_SDCC)) .PHONY: test-ahello-sdcc-assert diff --git a/test/dist-qa-dos1/0module.mk b/test/dist-qa-dos1/0module.mk index 4e5e222..3f81712 100644 --- a/test/dist-qa-dos1/0module.mk +++ b/test/dist-qa-dos1/0module.mk @@ -12,7 +12,7 @@ $(TEST_DIST_QA_DOS1)/%: bin/test/dist/% | $(TEST_DIST_QA_DOS1) $(TEST_DIST_DEPS) .PHONY: test-dist-qa-dos1-run test-dist-qa-dos1-run: | $(TEST_DIST_QA_DOS1_DEPS) - $(call mb_autoexec_open_gui80,$(TEST_DIST_QA_DOS1)) + $(call mb_autoexec_show_gui80,$(TEST_DIST_QA_DOS1)) $(call mb_openmsx_dosctl,$(TEST_DIST_QA_DOS1)) .PHONY: test-dist-qa-dos1-assert diff --git a/test/dist-qa-dos2/0module.mk b/test/dist-qa-dos2/0module.mk index b4a9fd1..b339bff 100644 --- a/test/dist-qa-dos2/0module.mk +++ b/test/dist-qa-dos2/0module.mk @@ -12,7 +12,7 @@ $(TEST_DIST_QA_DOS2)/%: bin/test/dist/% | $(TEST_DIST_QA_DOS2) $(TEST_DIST_DEPS) .PHONY: test-dist-qa-dos2-run test-dist-qa-dos2-run: | $(TEST_DIST_QA_DOS2_DEPS) - $(call mb_autoexec_open_gui80,$(TEST_DIST_QA_DOS2)) + $(call mb_autoexec_show_gui80,$(TEST_DIST_QA_DOS2)) $(call mb_openmsx_dosctl,$(TEST_DIST_QA_DOS2)) .PHONY: test-dist-qa-dos2-assert diff --git a/test/dist-qa-msx1/0module.mk b/test/dist-qa-msx1/0module.mk index 6efa573..4ae203b 100644 --- a/test/dist-qa-msx1/0module.mk +++ b/test/dist-qa-msx1/0module.mk @@ -12,7 +12,7 @@ $(TEST_DIST_QA_MSX1)/%: bin/test/dist/% | $(TEST_DIST_QA_MSX1) $(TEST_DIST_DEPS) .PHONY: test-dist-qa-msx1-run test-dist-qa-msx1-run: | $(TEST_DIST_QA_MSX1_DEPS) - $(call mb_autoexec_open_gui40,$(TEST_DIST_QA_MSX1)) + $(call mb_autoexec_show_gui40,$(TEST_DIST_QA_MSX1)) $(call mb_openmsx_dosctl,$(TEST_DIST_QA_MSX1),$(TEST_DIST_QA_MSX1_MACHINE)) .PHONY: test-dist-qa-msx1-assert diff --git a/test/dist-qa-msxhub/0module.mk b/test/dist-qa-msxhub/0module.mk index be4bb62..4a36e14 100644 --- a/test/dist-qa-msxhub/0module.mk +++ b/test/dist-qa-msxhub/0module.mk @@ -34,7 +34,7 @@ $(TEST_DIST_QA_MSXHUB)/%: bin/test/dist/% | $(TEST_DIST_QA_MSXHUB) $(TEST_DIST_D .PHONY: test-dist-qa-msxhub-run test-dist-qa-msxhub-run: | $(TEST_DIST_QA_MSXHUB_DEPS) - $(call mb_autoexec_open_gui80,$(TEST_DIST_QA_MSXHUB),dir/w utils) + $(call mb_autoexec_show_gui80,$(TEST_DIST_QA_MSXHUB),dir/w utils) $(call mb_openmsx_dosctl,$(TEST_DIST_QA_MSXHUB),$(TEST_DIST_QA_MSXHUB_MACHINE)) .PHONY: test-dist-qa-msxhub-assert From 8b89c4782410bace0c84a6b8ca18ba2f3cf8e73e Mon Sep 17 00:00:00 2001 From: Willem Date: Sat, 29 Jun 2024 16:04:44 +0200 Subject: [PATCH 058/274] Added dir/w output. --- lib/make/mb_autoexec.mk | 2 +- test/dist-qa-msxhub/0module.mk | 6 ++++-- 2 files changed, 5 insertions(+), 3 deletions(-) diff --git a/lib/make/mb_autoexec.mk b/lib/make/mb_autoexec.mk index 4a07bf1..dcd1e32 100644 --- a/lib/make/mb_autoexec.mk +++ b/lib/make/mb_autoexec.mk @@ -51,8 +51,8 @@ define mb_autoexec_write_default $(if $(filter 40,$(2)),$(call mb_autoexec_append_cmd,$(1),mode 40),$(call mb_autoexec_append_cmd,$(1),mode 80)) $(call mb_autoexec_append_echo,$(1),==== MSXBUILD ====) $(if $(filter 40,$(2)),$(call mb_autoexec_append_echo,$(1),Boot mode 40),$(call mb_autoexec_append_echo,$(1),Boot mode 80)) - $(if $(filter on,$(MB_FLIGHT_VIDEO)),$(call mb_autoexec_append_save_video,$(1))) $(call mb_autoexec_append_cmd,$(1),omsxctl boot_exec_color_dark) + $(if $(filter on,$(MB_FLIGHT_VIDEO)),$(call mb_autoexec_append_save_video,$(1))) $(call mb_autoexec_append_cmd,$(1),omsxctl fail_after $(MB_AUTOEXEC_STARTUP_TIMEOUT) seconds failed_exec $(MB_AUTOEXEC_STARTUP_EXITCODE)) $(if $(filter on,$(MB_AUTOEXEC_VERSION)),$(call mb_autoexec_append_cmd,$(1),ver)) $(if $(wildcard $(1)/utils),$(call mb_autoexec_append_cmd,$(1),PATH + A:\)) diff --git a/test/dist-qa-msxhub/0module.mk b/test/dist-qa-msxhub/0module.mk index 4a36e14..62d1f3e 100644 --- a/test/dist-qa-msxhub/0module.mk +++ b/test/dist-qa-msxhub/0module.mk @@ -42,8 +42,10 @@ test-dist-qa-msxhub-assert: | $(TEST_DIST_QA_MSXHUB_DEPS) $(call mb_delete,$(TEST_DIST_QA_MSXHUB)/ahello1.out) $(call mb_delete,$(TEST_DIST_QA_MSXHUB)/ahello2.out) $(call mb_autoexec_write_default,$(TEST_DIST_QA_MSXHUB),80) - $(call mb_autoexec_append_safe_test,$(TEST_DIST_QA_MSXHUB),ahello1 > ahello1.out,33) - $(call mb_autoexec_append_safe_test,$(TEST_DIST_QA_MSXHUB),ahello2 > ahello2.out,66,99) + $(call mb_autoexec_append_safe_test,$(TEST_DIST_QA_MSXHUB),ahello1 > ahello1.out) + $(call mb_autoexec_append_safe_test,$(TEST_DIST_QA_MSXHUB),ahello2 > ahello2.out) + $(call mb_autoexec_append_cmd,$(TEST_DIST_QA_MSXHUB),dir) + $(call mb_autoexec_append_cmd,$(TEST_DIST_QA_MSXHUB),dir/w utils) $(call mb_autoexec_append_exit,$(TEST_DIST_QA_MSXHUB)) $(call mb_openmsx_dosctl,$(TEST_DIST_QA_MSXHUB),$(TEST_DIST_QA_MSXHUB_MACHINE)) grep "M80: Hello world..." $(TEST_DIST_QA_MSXHUB)/ahello1.out From 8190980751e8c38e6682b459daf8bad1ac63e8ff Mon Sep 17 00:00:00 2001 From: Willem Date: Sat, 29 Jun 2024 16:08:40 +0200 Subject: [PATCH 059/274] Updated readme --- README.md | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/README.md b/README.md index ca40fa4..3ea5edb 100644 --- a/README.md +++ b/README.md @@ -81,8 +81,8 @@ Current set is WIP. * mb_autoexec_append_stop_fail * mb_autoexec_append_exit * mb_autoexec_append_joyporta_mouse -* mb_autoexec_append_record_screenshot -* mb_autoexec_append_record_video +* mb_autoexec_append_save_screenshot +* mb_autoexec_append_save_video * mb_autoexec_append_safe_test * mb_autoexec_append_safe_cmd * mb_autoexec_write_default From 6bc54cb6288ad56826133be1ff7045a0b7c2790a Mon Sep 17 00:00:00 2001 From: Willem Date: Sat, 29 Jun 2024 23:42:02 +0200 Subject: [PATCH 060/274] Added test for file listing in utils. --- test/0module.mk | 2 +- test/dist-qa-msxhub/0module.mk | 9 ++++++--- 2 files changed, 7 insertions(+), 4 deletions(-) diff --git a/test/0module.mk b/test/0module.mk index 927d73b..69b6334 100644 --- a/test/0module.mk +++ b/test/0module.mk @@ -10,7 +10,7 @@ test-dist-qa-msxhub-assert .PHONY: assert-all assert-all: | $(BUILD_TEST) - @echo All assertions completed. + @echo === All assertions completed. .PHONY: assert-all-video assert-all-video: | assert-all diff --git a/test/dist-qa-msxhub/0module.mk b/test/dist-qa-msxhub/0module.mk index 62d1f3e..5504e28 100644 --- a/test/dist-qa-msxhub/0module.mk +++ b/test/dist-qa-msxhub/0module.mk @@ -34,19 +34,22 @@ $(TEST_DIST_QA_MSXHUB)/%: bin/test/dist/% | $(TEST_DIST_QA_MSXHUB) $(TEST_DIST_D .PHONY: test-dist-qa-msxhub-run test-dist-qa-msxhub-run: | $(TEST_DIST_QA_MSXHUB_DEPS) - $(call mb_autoexec_show_gui80,$(TEST_DIST_QA_MSXHUB),dir/w utils) + $(call mb_autoexec_show_gui80,$(TEST_DIST_QA_MSXHUB),dir/w) $(call mb_openmsx_dosctl,$(TEST_DIST_QA_MSXHUB),$(TEST_DIST_QA_MSXHUB_MACHINE)) .PHONY: test-dist-qa-msxhub-assert test-dist-qa-msxhub-assert: | $(TEST_DIST_QA_MSXHUB_DEPS) $(call mb_delete,$(TEST_DIST_QA_MSXHUB)/ahello1.out) $(call mb_delete,$(TEST_DIST_QA_MSXHUB)/ahello2.out) + $(call mb_delete,$(TEST_DIST_QA_MSXHUB)/utils.out) $(call mb_autoexec_write_default,$(TEST_DIST_QA_MSXHUB),80) $(call mb_autoexec_append_safe_test,$(TEST_DIST_QA_MSXHUB),ahello1 > ahello1.out) $(call mb_autoexec_append_safe_test,$(TEST_DIST_QA_MSXHUB),ahello2 > ahello2.out) - $(call mb_autoexec_append_cmd,$(TEST_DIST_QA_MSXHUB),dir) - $(call mb_autoexec_append_cmd,$(TEST_DIST_QA_MSXHUB),dir/w utils) + $(call mb_autoexec_append_safe_test,$(TEST_DIST_QA_MSXHUB),dir utils > utils.out) + $(call mb_autoexec_append_cmd,$(TEST_DIST_QA_MSXHUB),dir/w) $(call mb_autoexec_append_exit,$(TEST_DIST_QA_MSXHUB)) $(call mb_openmsx_dosctl,$(TEST_DIST_QA_MSXHUB),$(TEST_DIST_QA_MSXHUB_MACHINE)) grep "M80: Hello world..." $(TEST_DIST_QA_MSXHUB)/ahello1.out grep "SDCC: Hello world..." $(TEST_DIST_QA_MSXHUB)/ahello2.out + grep "KONPASS COM" $(TEST_DIST_QA_MSXHUB)/utils.out + grep "MAKE COM" $(TEST_DIST_QA_MSXHUB)/utils.out From f786d2b9d75c68dc77208ce3de82ece9a7fe2d3b Mon Sep 17 00:00:00 2001 From: Willem Date: Sun, 30 Jun 2024 00:12:21 +0200 Subject: [PATCH 061/274] Fixed SDCC function argument order. --- lib/make/mb_autoexec.mk | 2 +- lib/make/mb_openmsx.mk | 4 ++-- lib/make/mb_sdcc.mk | 11 ++++++----- test/0module.mk | 4 ++-- test/ahello-sdcc/0module.mk | 6 +++--- 5 files changed, 14 insertions(+), 13 deletions(-) diff --git a/lib/make/mb_autoexec.mk b/lib/make/mb_autoexec.mk index dcd1e32..f7a146e 100644 --- a/lib/make/mb_autoexec.mk +++ b/lib/make/mb_autoexec.mk @@ -46,7 +46,7 @@ define mb_autoexec_append_safe_cmd $(call mb_autoexec_append_cmd,$(1),$(2)) endef define mb_autoexec_write_default - @echo === Writing autoexec.bat for $(notdir $(1)) + @echo === Writing autoexec.bat for $@ @echo -n "" > $(1)/autoexec.bat $(if $(filter 40,$(2)),$(call mb_autoexec_append_cmd,$(1),mode 40),$(call mb_autoexec_append_cmd,$(1),mode 80)) $(call mb_autoexec_append_echo,$(1),==== MSXBUILD ====) diff --git a/lib/make/mb_openmsx.mk b/lib/make/mb_openmsx.mk index ec9b07a..358feab 100644 --- a/lib/make/mb_openmsx.mk +++ b/lib/make/mb_openmsx.mk @@ -37,7 +37,7 @@ define mb_openmsx_setup endef define _mb_openmsx_run - @echo === openMSX Start for $(notdir $(1)) + @echo === openMSX Start for $@ BOOT_WATCHDOG=$(MB_OPENMSX_BOOT_TIMEOUT) \ BOOT_HDD_PATH="$(1)" \ BOOT_HDD_SIZE=$(MB_OPENMSX_HDD_SIZE) \ @@ -58,7 +58,7 @@ define _mb_openmsx_run $(MB_OPENMSX_EXTRA_MEM) \ $(MB_OPENMSX_EXTS) \ -control stdio < $(1)-omsx/stdio.xml - @echo === openMSX Done for $(notdir $(1)) + @echo === openMSX Done for $@ endef define _mb_openmsx_dosctl_bat diff --git a/lib/make/mb_sdcc.mk b/lib/make/mb_sdcc.mk index d22e035..5e9965a 100644 --- a/lib/make/mb_sdcc.mk +++ b/lib/make/mb_sdcc.mk @@ -14,18 +14,18 @@ MB_SDCC_OBJCOPY_CMD ?= $(PATH_SDCC)/sdobjcopy #-l$(LIBASM_LINK) define mb_sdcc_compile_asm - @echo === Compile module asm. - $(MB_SDCC_ASZ80_CMD) $(MB_SDCC_ASZ80_FLAGS) $(1) $(2) + @echo === SDCC Compile module asm for $(2) + $(MB_SDCC_ASZ80_CMD) $(MB_SDCC_ASZ80_FLAGS) $(2) $(1) endef define mb_sdcc_link_asm_lib - @echo === Link module asm lib. + @echo === SDCC Link module asm lib for $(2) $(MB_SDCC_AR_CMD) $(MB_SDCC_AR_FLAGS) $(1) $(2) endef define mb_sdcc_link_asm - @echo === Link asm module at $(3) - $(MB_SDCC_CC_CMD) $(MB_SDCC_FLAG_CPU) $(MB_SDCC_CC_FLAGS) --no-std-crt0 --code-loc $(3) -o $(1) $(2) + @echo === SDCC Link asm module at $(3) for $(2) + $(MB_SDCC_CC_CMD) $(MB_SDCC_FLAG_CPU) $(MB_SDCC_CC_FLAGS) --no-std-crt0 --code-loc $(3) -o $(2) $(1) endef define mb_sdcc_link_asm_0000 $(call mb_sdcc_link_asm,$(1),$(2),0x0000) @@ -50,5 +50,6 @@ define mb_sdcc_link_asm_dos endef define mb_sdcc_hex2bin + @echo === SDCC hex2bin for $(2) $(MB_SDCC_OBJCOPY_CMD) -I ihex --output-target=binary $(1) $(2) endef diff --git a/test/0module.mk b/test/0module.mk index 69b6334..dee67ba 100644 --- a/test/0module.mk +++ b/test/0module.mk @@ -10,11 +10,11 @@ test-dist-qa-msxhub-assert .PHONY: assert-all assert-all: | $(BUILD_TEST) - @echo === All assertions completed. + @echo === All assertions completed .PHONY: assert-all-video assert-all-video: | assert-all @echo === Build session video listing ls -1 bin/test/*-omsx/videos/msxbuild-*.avi | awk -F "bin/test/" -v s="file '" -v e="'" '{print s$$2e}' > bin/test/video-merge.lst ffmpeg -v quiet -y -f concat -i bin/test/video-merge.lst -c copy bin/test/video-session.avi - @echo === Concatted video completed. + @echo === Concatted video completed diff --git a/test/ahello-sdcc/0module.mk b/test/ahello-sdcc/0module.mk index df90a13..8be1893 100644 --- a/test/ahello-sdcc/0module.mk +++ b/test/ahello-sdcc/0module.mk @@ -6,13 +6,13 @@ $(TEST_AHELLO_SDCC): $(call mb_mkdir,$(TEST_AHELLO_SDCC)) $(TEST_AHELLO_SDCC)/%.rel: test/ahello-sdcc/%.asm | $(TEST_AHELLO_SDCC) - $(call mb_sdcc_compile_asm,$@,$<) + $(call mb_sdcc_compile_asm,$<,$@) $(TEST_AHELLO_SDCC)/ahello.hex: $(TEST_AHELLO_SDCC)/ahello.rel - $(call mb_sdcc_link_asm_dos,$(TEST_AHELLO_SDCC)/ahello.hex,$(TEST_AHELLO_SDCC)/ahello.rel) + $(call mb_sdcc_link_asm_dos,$<,$@) $(TEST_AHELLO_SDCC)/ahello.com: $(TEST_AHELLO_SDCC)/ahello.hex - $(call mb_sdcc_hex2bin,$(TEST_AHELLO_SDCC)/ahello.hex,$(TEST_AHELLO_SDCC)/ahello.com) + $(call mb_sdcc_hex2bin,$<,$@) .PHONY: test-ahello-sdcc-run test-ahello-sdcc-run: $(TEST_AHELLO_SDCC)/ahello.com From 8f26443640edef2335802c02d4d27ec6068975f3 Mon Sep 17 00:00:00 2001 From: Willem Date: Sun, 30 Jun 2024 00:33:08 +0200 Subject: [PATCH 062/274] Fixed video order. --- test/0module.mk | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/test/0module.mk b/test/0module.mk index dee67ba..3ba78f9 100644 --- a/test/0module.mk +++ b/test/0module.mk @@ -15,6 +15,6 @@ assert-all: | $(BUILD_TEST) .PHONY: assert-all-video assert-all-video: | assert-all @echo === Build session video listing - ls -1 bin/test/*-omsx/videos/msxbuild-*.avi | awk -F "bin/test/" -v s="file '" -v e="'" '{print s$$2e}' > bin/test/video-merge.lst + ls -1tr bin/test/*-omsx/videos/msxbuild-*.avi | awk -F "bin/test/" -v s="file '" -v e="'" '{print s$$2e}' > bin/test/video-merge.lst ffmpeg -v quiet -y -f concat -i bin/test/video-merge.lst -c copy bin/test/video-session.avi @echo === Concatted video completed From d666e3e80eac2c7022f967f1cc96028068c965fc Mon Sep 17 00:00:00 2001 From: Willem Date: Sun, 30 Jun 2024 00:49:23 +0200 Subject: [PATCH 063/274] Move path to path. --- lib/make/msxbuild.mk | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/lib/make/msxbuild.mk b/lib/make/msxbuild.mk index e1c04a0..6a63e54 100644 --- a/lib/make/msxbuild.mk +++ b/lib/make/msxbuild.mk @@ -5,10 +5,10 @@ # Setup default tools paths PATH_SDCC ?= /usr/bin PATH_OPENMSX ?= /usr/bin - -# Fill other defaults PATH_MSXBUILD ?= $(dir $(lastword $(MAKEFILE_LIST)))../.. PATH_MSXBUILD_REAL := $(if $(realpath $(PATH_MSXBUILD)),$(realpath $(PATH_MSXBUILD)),$(PATH_MSXBUILD)) + +# Fill other defaults MB_FLIGHT_SCREEN ?= off MB_FLIGHT_VIDEO ?= off MB_FLIGHT_PREFIX ?= msxbuild From f5554b818fc885b8993234cdcb71e86486970dd2 Mon Sep 17 00:00:00 2001 From: Willem Date: Sun, 30 Jun 2024 01:20:22 +0200 Subject: [PATCH 064/274] Set default to GL to fix screenshot issues. --- README.md | 4 ++++ lib/make/mb_openmsx.mk | 2 +- lib/openmsx/share/scripts/save_flight.tcl | 2 +- 3 files changed, 6 insertions(+), 2 deletions(-) diff --git a/README.md b/README.md index 3ea5edb..288627e 100644 --- a/README.md +++ b/README.md @@ -62,6 +62,10 @@ When you want to see whats happening do a debug run; time MB_OPENMSX_HEADLESS=off MB_OPENMSX_THROTTLE=on MB_OPENMSX_SPEED=100 make assert-all real 2m37.379s + debug run with screenshot on exit; (Segfaults if using (old) SDL, or/and black screens without throttle) + time MB_OPENMSX_HEADLESS=off MB_OPENMSX_THROTTLE=on MB_FLIGHT_SCREEN=on make -s assert-all + real 1m14.043s + debug run with video recorder and merge to one video file; time MB_OPENMSX_HEADLESS=off MB_FLIGHT_VIDEO=on make -s assert-all-video real 0m7.136s diff --git a/lib/make/mb_openmsx.mk b/lib/make/mb_openmsx.mk index 358feab..da131df 100644 --- a/lib/make/mb_openmsx.mk +++ b/lib/make/mb_openmsx.mk @@ -11,7 +11,7 @@ MB_OPENMSX_HDD_SIZE ?= 4m MB_OPENMSX_SCALE_FACTOR ?= 3 MB_OPENMSX_THROTTLE ?= off MB_OPENMSX_HEADLESS ?= on -MB_OPENMSX_RENDERER ?= SDL +MB_OPENMSX_RENDERER ?= SDLGL-PP MB_OPENMSX_CTLCACHE ?= $(MB_CACHE)/msxbuild/omsxctl define mb_openmsx_setup diff --git a/lib/openmsx/share/scripts/save_flight.tcl b/lib/openmsx/share/scripts/save_flight.tcl index af559cc..ff411b2 100644 --- a/lib/openmsx/share/scripts/save_flight.tcl +++ b/lib/openmsx/share/scripts/save_flight.tcl @@ -32,7 +32,7 @@ proc save_flight_screenshot {{prefix_id 0}} { } else { set file_prefix "$save_flight_prefix$save_flight_seperator" } - after time 1 "screenshot -prefix $file_prefix" + screenshot -prefix $file_prefix return "Flight screenshot saved" } From fd67884bee08a28dc2b77ef310ef5eb99f4a1d32 Mon Sep 17 00:00:00 2001 From: Willem Date: Sun, 30 Jun 2024 01:48:05 +0200 Subject: [PATCH 065/274] Small doc update. --- README.md | 4 ++-- lib/openmsx/share/scripts/boot_exec.tcl | 1 - lib/openmsx/share/scripts/boot_mode.tcl | 2 +- 3 files changed, 3 insertions(+), 4 deletions(-) diff --git a/README.md b/README.md index 288627e..cd67325 100644 --- a/README.md +++ b/README.md @@ -169,8 +169,8 @@ Current set is WIP. ## Errata * Imported folders in openMSX; `cd utils;cd ..;dir` breaks, use `cd utils:cd a:\;dir` as workaround -* Saving screenshots sometimes lets openMSX segfaults +* Saving screenshots sometimes lets openMSX segfaults if using SDL * Doesn't work on windows * Missing C and mixed support -* If no SDL than screenshot in fail_after doesn't work +* If no SDL or SDLGL-PP than screenshots or videos don't work diff --git a/lib/openmsx/share/scripts/boot_exec.tcl b/lib/openmsx/share/scripts/boot_exec.tcl index f75783c..7529c19 100644 --- a/lib/openmsx/share/scripts/boot_exec.tcl +++ b/lib/openmsx/share/scripts/boot_exec.tcl @@ -4,7 +4,6 @@ # # 'boot_exec_exit' # Requested delayed shutdown of openMSX. -# (as workaround for segfault when screenshot is not ready yet) # # 'boot_exec_color_dark' # Sets the VDP colors to dark color tones. diff --git a/lib/openmsx/share/scripts/boot_mode.tcl b/lib/openmsx/share/scripts/boot_mode.tcl index 5070ac2..fa56fef 100644 --- a/lib/openmsx/share/scripts/boot_mode.tcl +++ b/lib/openmsx/share/scripts/boot_mode.tcl @@ -35,7 +35,7 @@ proc boot_mode_show_gui {} { after time 1 "set renderer $boot_env_renderer_type" set throttle on } else { - puts stderr "error: Requested boot_gui_mode but env.RENDERER is missing." + puts stderr "error: Requested show gui but env.RENDERER is missing." exit 1 } return "Requested GUI with $boot_env_renderer_type" From 56e74ee085c91f9338cd3f2c30c085732a02e3cd Mon Sep 17 00:00:00 2001 From: Willem Date: Sun, 30 Jun 2024 02:42:42 +0200 Subject: [PATCH 066/274] Converted local project to demo structure. --- Makefile | 10 +++-- README.md | 4 +- src/ahello-m80/0module.mk | 38 +++++++++++++++++++ {test => src}/ahello-m80/ahello.mac | 0 src/ahello-sdcc/0module.mk | 29 +++++++++++++++ {test => src}/ahello-sdcc/ahello.asm | 0 src/assert-all/0module.mk | 20 ++++++++++ src/dist-qa-dos1/0module.mk | 25 +++++++++++++ src/dist-qa-dos2/0module.mk | 28 ++++++++++++++ src/dist-qa-msx1/0module.mk | 28 ++++++++++++++ src/dist-qa-msxhub/0module.mk | 55 ++++++++++++++++++++++++++++ src/dist/0module.mk | 25 +++++++++++++ {test => src}/dist/readme.txt | 0 test/0module.mk | 20 ---------- test/ahello-m80/0module.mk | 36 ------------------ test/ahello-sdcc/0module.mk | 27 -------------- test/dist-qa-dos1/0module.mk | 25 ------------- test/dist-qa-dos2/0module.mk | 28 -------------- test/dist-qa-msx1/0module.mk | 28 -------------- test/dist-qa-msxhub/0module.mk | 55 ---------------------------- test/dist/0module.mk | 25 ------------- 21 files changed, 257 insertions(+), 249 deletions(-) create mode 100644 src/ahello-m80/0module.mk rename {test => src}/ahello-m80/ahello.mac (100%) create mode 100644 src/ahello-sdcc/0module.mk rename {test => src}/ahello-sdcc/ahello.asm (100%) create mode 100644 src/assert-all/0module.mk create mode 100644 src/dist-qa-dos1/0module.mk create mode 100644 src/dist-qa-dos2/0module.mk create mode 100644 src/dist-qa-msx1/0module.mk create mode 100644 src/dist-qa-msxhub/0module.mk create mode 100644 src/dist/0module.mk rename {test => src}/dist/readme.txt (100%) delete mode 100644 test/0module.mk delete mode 100644 test/ahello-m80/0module.mk delete mode 100644 test/ahello-sdcc/0module.mk delete mode 100644 test/dist-qa-dos1/0module.mk delete mode 100644 test/dist-qa-dos2/0module.mk delete mode 100644 test/dist-qa-msx1/0module.mk delete mode 100644 test/dist-qa-msxhub/0module.mk delete mode 100644 test/dist/0module.mk diff --git a/Makefile b/Makefile index 1ea941b..77cd3b7 100644 --- a/Makefile +++ b/Makefile @@ -1,7 +1,11 @@ +# +# Example project makefile for msxbuild. +# -rwildcard = $(foreach d,$(wildcard $1*),$(call rwildcard,$d/,$2) $(filter $(subst *,%,$2),$d)) -INC_TEST := $(call rwildcard, test, */0module.mk) PATH_BIN := bin +PATH_SRC := src +rwildcard = $(foreach d,$(wildcard $1*),$(call rwildcard,$d/,$2) $(filter $(subst *,%,$2),$d)) +MK_MODULES := $(call rwildcard, src, */0module.mk) BUILD_ALL := "Use 'make help' for possible targets." BUILD_HELP := "Use one of the following build targets;" @@ -21,4 +25,4 @@ clean: $(call mb_clean,$(PATH_BIN)) include lib/make/msxbuild.mk -include $(INC_TEST) +include $(MK_MODULES) diff --git a/README.md b/README.md index cd67325..d2e8806 100644 --- a/README.md +++ b/README.md @@ -33,7 +33,7 @@ The files in this repro try to handle these steps for you. * make + wget + tar + dos2unix * sdcc * openmsx -* ffmpeg (optional, see `test/0module.mk` howto merge videos) +* ffmpeg (optional, see `srv/assert-all/0module.mk` howto merge videos) For debian use; @@ -46,7 +46,7 @@ And override the paths if needed; * PATH_SDCC=/usr/bin * PATH_OPENMSX=/usr/bin -Then build result based compile rules see an example in; `test/ahello-m80/0module.mk` +Then build result based compile rules see an example in; `src/ahello-m80/0module.mk` When you want to see whats happening do a debug run; diff --git a/src/ahello-m80/0module.mk b/src/ahello-m80/0module.mk new file mode 100644 index 0000000..5eee4a4 --- /dev/null +++ b/src/ahello-m80/0module.mk @@ -0,0 +1,38 @@ + +AHELLO_M80_MOD := ahello-m80 +AHELLO_M80_SRC := $(PATH_SRC)/$(AHELLO_M80_MOD) +AHELLO_M80_BIN := $(PATH_BIN)/$(AHELLO_M80_MOD) +BUILD_HELP += \\n\\t* $(AHELLO_M80_BIN)/ahello.com\\n\\t* ahello-m80-run\\n\\t* ahello-m80-assert + +$(AHELLO_M80_BIN): + $(call mb_mkdir,$(AHELLO_M80_BIN)) + $(call mb_mkdir,$(AHELLO_M80_BIN)/utils) + $(call mb_msxhub_get_macro80,$(AHELLO_M80_BIN)/utils) + $(call mb_msxhub_get_z80asmuk,$(AHELLO_M80_BIN)/utils) + +$(AHELLO_M80_BIN)/%.mac: $(AHELLO_M80_SRC)/%.mac | $(AHELLO_M80_BIN) + $(call mb_unix2dos,$<,$@) + +$(AHELLO_M80_BIN)/ahello.rel: $(AHELLO_M80_BIN)/ahello.mac + $(call mb_autoexec_safe_cmd,$(AHELLO_M80_BIN),m80 =ahello/Z) + $(call mb_openmsx_dosctl,$(AHELLO_M80_BIN)) + +$(AHELLO_M80_BIN)/ahello.hex: $(AHELLO_M80_BIN)/ahello.rel + $(call mb_autoexec_safe_cmd,$(AHELLO_M80_BIN),l80 ahello$(MB_COMMA)ahello/N/X/Y/E) + $(call mb_openmsx_dosctl,$(AHELLO_M80_BIN)) + +$(AHELLO_M80_BIN)/ahello.com: $(AHELLO_M80_BIN)/ahello.hex + $(call mb_autoexec_safe_cmd,$(AHELLO_M80_BIN),hextocom ahello) + $(call mb_openmsx_dosctl,$(AHELLO_M80_BIN)) + +.PHONY: ahello-m80-run +ahello-m80-run: $(AHELLO_M80_BIN)/ahello.com + $(call mb_autoexec_show_gui80,$(AHELLO_M80_BIN)) + $(call mb_openmsx_dosctl,$(AHELLO_M80_BIN)) + +.PHONY: ahello-m80-assert +ahello-m80-assert: $(AHELLO_M80_BIN)/ahello.com + $(call mb_delete,$(AHELLO_M80_BIN)/ahello.out) + $(call mb_autoexec_safe_test,$(AHELLO_M80_BIN),ahello > ahello.out) + $(call mb_openmsx_dosctl,$(AHELLO_M80_BIN)) + grep "M80: Hello world..." $(AHELLO_M80_BIN)/ahello.out diff --git a/test/ahello-m80/ahello.mac b/src/ahello-m80/ahello.mac similarity index 100% rename from test/ahello-m80/ahello.mac rename to src/ahello-m80/ahello.mac diff --git a/src/ahello-sdcc/0module.mk b/src/ahello-sdcc/0module.mk new file mode 100644 index 0000000..a7012c1 --- /dev/null +++ b/src/ahello-sdcc/0module.mk @@ -0,0 +1,29 @@ + +AHELLO_SDCC_MOD := ahello-sdcc +AHELLO_SDCC_SRC := $(PATH_SRC)/$(AHELLO_SDCC_MOD) +AHELLO_SDCC_BIN := $(PATH_BIN)/$(AHELLO_SDCC_MOD) +BUILD_HELP += \\n\\t* $(AHELLO_SDCC_BIN)/ahello.com\\n\\t* ahello-sdcc-run\\n\\t* ahello-sdcc-assert + +$(AHELLO_SDCC_BIN): + $(call mb_mkdir,$(AHELLO_SDCC_BIN)) + +$(AHELLO_SDCC_BIN)/%.rel: $(AHELLO_SDCC_SRC)/%.asm | $(AHELLO_SDCC_BIN) + $(call mb_sdcc_compile_asm,$<,$@) + +$(AHELLO_SDCC_BIN)/ahello.hex: $(AHELLO_SDCC_BIN)/ahello.rel + $(call mb_sdcc_link_asm_dos,$<,$@) + +$(AHELLO_SDCC_BIN)/ahello.com: $(AHELLO_SDCC_BIN)/ahello.hex + $(call mb_sdcc_hex2bin,$<,$@) + +.PHONY: ahello-sdcc-run +ahello-sdcc-run: $(AHELLO_SDCC_BIN)/ahello.com + $(call mb_autoexec_show_gui80,$(AHELLO_SDCC_BIN)) + $(call mb_openmsx_dosctl,$(AHELLO_SDCC_BIN)) + +.PHONY: ahello-sdcc-assert +ahello-sdcc-assert: $(AHELLO_SDCC_BIN)/ahello.com + $(call mb_delete,$(AHELLO_SDCC_BIN)/ahello.out) + $(call mb_autoexec_safe_test,$(AHELLO_SDCC_BIN),ahello > ahello.out) + $(call mb_openmsx_dosctl,$(AHELLO_SDCC_BIN)) + grep "SDCC: Hello world..." $(AHELLO_SDCC_BIN)/ahello.out diff --git a/test/ahello-sdcc/ahello.asm b/src/ahello-sdcc/ahello.asm similarity index 100% rename from test/ahello-sdcc/ahello.asm rename to src/ahello-sdcc/ahello.asm diff --git a/src/assert-all/0module.mk b/src/assert-all/0module.mk new file mode 100644 index 0000000..2dcceab --- /dev/null +++ b/src/assert-all/0module.mk @@ -0,0 +1,20 @@ + +BUILD_HELP += \\n\\t* assert-all \(Run all asertion tests\)\\n\\t* assert-all-video \(Merges all videos\) +ASSERT_ALL_DEPS := \ +ahello-m80-assert \ +ahello-sdcc-assert \ +dist-qa-dos1-assert \ +dist-qa-dos2-assert \ +dist-qa-msx1-assert \ +dist-qa-msxhub-assert + +.PHONY: assert-all +assert-all: | $(ASSERT_ALL_DEPS) + @echo === All assertions completed + +.PHONY: assert-all-video +assert-all-video: | assert-all + @echo === Build session video listing + ls -1tr bin/*-omsx/videos/msxbuild-*.avi | awk -F "bin/" -v s="file '" -v e="'" '{print s$$2e}' > bin/video-merge.lst + ffmpeg -v quiet -y -f concat -i bin/video-merge.lst -c copy bin/video-session.avi + @echo === Concatted video completed diff --git a/src/dist-qa-dos1/0module.mk b/src/dist-qa-dos1/0module.mk new file mode 100644 index 0000000..413e8f2 --- /dev/null +++ b/src/dist-qa-dos1/0module.mk @@ -0,0 +1,25 @@ + +DIST_QA_DOS1 := $(PATH_BIN)/dist-qa-dos1 +DIST_QA_DOS1_DEPS := $(subst dist,dist-qa-dos1,$(DIST_DEPS)) +BUILD_HELP += \\n\\t* dist-qa-dos1-run\\n\\t* dist-qa-dos1-assert + +$(DIST_QA_DOS1): + $(call mb_mkdir,$(DIST_QA_DOS1)) + $(call mb_msxhub_get_dos1_boot,$(DIST_QA_DOS1)) + +$(DIST_QA_DOS1)/%: bin/dist/% | $(DIST_QA_DOS1) $(DIST_DEPS) + $(call mb_copy,$<,$@) + +.PHONY: dist-qa-dos1-run +dist-qa-dos1-run: | $(DIST_QA_DOS1_DEPS) + $(call mb_autoexec_show_gui80,$(DIST_QA_DOS1)) + $(call mb_openmsx_dosctl,$(DIST_QA_DOS1)) + +.PHONY: dist-qa-dos1-assert +dist-qa-dos1-assert: | $(DIST_QA_DOS1_DEPS) + $(call mb_autoexec_write_default,$(DIST_QA_DOS1)) + $(call mb_autoexec_append_safe_test,$(DIST_QA_DOS1),ahello1) + $(call mb_autoexec_append_safe_test,$(DIST_QA_DOS1),ahello2) + $(call mb_autoexec_append_exit,$(DIST_QA_DOS1)) + $(call mb_openmsx_dosctl,$(DIST_QA_DOS1)) + diff --git a/src/dist-qa-dos2/0module.mk b/src/dist-qa-dos2/0module.mk new file mode 100644 index 0000000..225ba21 --- /dev/null +++ b/src/dist-qa-dos2/0module.mk @@ -0,0 +1,28 @@ + +DIST_QA_DOS2 := $(PATH_BIN)/dist-qa-dos2 +DIST_QA_DOS2_DEPS := $(subst dist,dist-qa-dos2,$(DIST_DEPS)) +BUILD_HELP += \\n\\t* dist-qa-dos2-run\\n\\t* dist-qa-dos2-assert + +$(DIST_QA_DOS2): + $(call mb_mkdir,$(DIST_QA_DOS2)) + $(call mb_msxhub_get_dos2_boot,$(DIST_QA_DOS2)) + +$(DIST_QA_DOS2)/%: bin/dist/% | $(DIST_QA_DOS2) $(DIST_DEPS) + $(call mb_copy,$<,$@) + +.PHONY: dist-qa-dos2-run +dist-qa-dos2-run: | $(DIST_QA_DOS2_DEPS) + $(call mb_autoexec_show_gui80,$(DIST_QA_DOS2)) + $(call mb_openmsx_dosctl,$(DIST_QA_DOS2)) + +.PHONY: dist-qa-dos2-assert +dist-qa-dos2-assert: | $(DIST_QA_DOS2_DEPS) + $(call mb_delete,$(DIST_QA_DOS2)/ahello1.out) + $(call mb_delete,$(DIST_QA_DOS2)/ahello2.out) + $(call mb_autoexec_write_default,$(DIST_QA_DOS2)) + $(call mb_autoexec_append_safe_test,$(DIST_QA_DOS2),ahello1 > ahello1.out) + $(call mb_autoexec_append_safe_test,$(DIST_QA_DOS2),ahello2 > ahello2.out) + $(call mb_autoexec_append_exit,$(DIST_QA_DOS2)) + $(call mb_openmsx_dosctl,$(DIST_QA_DOS2)) + grep "M80: Hello world..." $(DIST_QA_DOS2)/ahello1.out + grep "SDCC: Hello world..." $(DIST_QA_DOS2)/ahello2.out diff --git a/src/dist-qa-msx1/0module.mk b/src/dist-qa-msx1/0module.mk new file mode 100644 index 0000000..990255b --- /dev/null +++ b/src/dist-qa-msx1/0module.mk @@ -0,0 +1,28 @@ + +DIST_QA_MSX1_MACHINE ?= Canon_V-20 +DIST_QA_MSX1 := $(PATH_BIN)/dist-qa-msx1 +DIST_QA_MSX1_DEPS := $(subst dist,dist-qa-msx1,$(DIST_DEPS)) +BUILD_HELP += \\n\\t* dist-qa-msx1-run\\n\\t* dist-qa-msx1-assert + +$(DIST_QA_MSX1): + $(call mb_mkdir,$(DIST_QA_MSX1)) + +$(DIST_QA_MSX1)/%: bin/dist/% | $(DIST_QA_MSX1) $(DIST_DEPS) + $(call mb_copy,$<,$@) + +.PHONY: dist-qa-msx1-run +dist-qa-msx1-run: | $(DIST_QA_MSX1_DEPS) + $(call mb_autoexec_show_gui40,$(DIST_QA_MSX1)) + $(call mb_openmsx_dosctl,$(DIST_QA_MSX1),$(DIST_QA_MSX1_MACHINE)) + +.PHONY: dist-qa-msx1-assert +dist-qa-msx1-assert: | $(DIST_QA_MSX1_DEPS) + $(call mb_delete,$(DIST_QA_MSX1)/ahello1.out) + $(call mb_delete,$(DIST_QA_MSX1)/ahello2.out) + $(call mb_autoexec_write_default,$(DIST_QA_MSX1),40) + $(call mb_autoexec_append_safe_test,$(DIST_QA_MSX1),ahello1 > ahello1.out,33) + $(call mb_autoexec_append_safe_test,$(DIST_QA_MSX1),ahello2 > ahello2.out,66,99) + $(call mb_autoexec_append_exit,$(DIST_QA_MSX1)) + $(call mb_openmsx_dosctl,$(DIST_QA_MSX1),$(DIST_QA_MSX1_MACHINE)) + grep "M80: Hello world..." $(DIST_QA_MSX1)/ahello1.out + grep "SDCC: Hello world..." $(DIST_QA_MSX1)/ahello2.out diff --git a/src/dist-qa-msxhub/0module.mk b/src/dist-qa-msxhub/0module.mk new file mode 100644 index 0000000..086316e --- /dev/null +++ b/src/dist-qa-msxhub/0module.mk @@ -0,0 +1,55 @@ + +DIST_QA_MSXHUB_MACHINE ?= Boosted_MSX2+_JP +DIST_QA_MSXHUB := $(PATH_BIN)/dist-qa-msxhub +DIST_QA_MSXHUB_DEPS := $(subst dist,dist-qa-msxhub,$(DIST_DEPS)) +BUILD_HELP += \\n\\t* dist-qa-msxhub-run\\n\\t* dist-qa-msxhub-assert + +$(DIST_QA_MSXHUB): + $(call mb_mkdir,$(DIST_QA_MSXHUB)) + $(call mb_mkdir,$(DIST_QA_MSXHUB)/utils) + $(call mb_msxhub_get_dos2_utils,$(DIST_QA_MSXHUB)/utils) + $(call mb_msxhub_get_nextor_utils,$(DIST_QA_MSXHUB)/utils) + $(call mb_msxhub_get_macro80,$(DIST_QA_MSXHUB)/utils) + $(call mb_msxhub_get_z80asmuk,$(DIST_QA_MSXHUB)/utils) + $(call mb_msxhub_get_wbass2,$(DIST_QA_MSXHUB)/utils) + $(call mb_msxhub_get_konpass,$(DIST_QA_MSXHUB)/utils) + $(call mb_msxhub_get_pmarc,$(DIST_QA_MSXHUB)/utils) + $(call mb_msxhub_get_lhpack,$(DIST_QA_MSXHUB)/utils) + $(call mb_msxhub_get_lhext,$(DIST_QA_MSXHUB)/utils) + $(call mb_msxhub_get_gunzip,$(DIST_QA_MSXHUB)/utils) + $(call mb_msxhub_get_tunzip,$(DIST_QA_MSXHUB)/utils) + $(call mb_msxhub_get_popcom,$(DIST_QA_MSXHUB)/utils) + $(call mb_msxhub_get_make,$(DIST_QA_MSXHUB)/utils) + $(call mb_msxhub_get_adir,$(DIST_QA_MSXHUB)/utils) + $(call mb_msxhub_get_turbo,$(DIST_QA_MSXHUB)/utils) + $(call mb_msxhub_get_baskom,$(DIST_QA_MSXHUB)/utils) + $(call mb_msxhub_get_binldr,$(DIST_QA_MSXHUB)/utils) + $(call mb_msxhub_get_dmphex,$(DIST_QA_MSXHUB)/utils) + $(call mb_msxhub_get_zd,$(DIST_QA_MSXHUB)/utils) + $(call mb_msxhub_get_msxdos2t,$(DIST_QA_MSXHUB)/utils) + $(call mb_msxhub_get_gfxage,$(DIST_QA_MSXHUB)/utils) + +$(DIST_QA_MSXHUB)/%: bin/dist/% | $(DIST_QA_MSXHUB) $(DIST_DEPS) + $(call mb_copy,$<,$@) + +.PHONY: dist-qa-msxhub-run +dist-qa-msxhub-run: | $(DIST_QA_MSXHUB_DEPS) + $(call mb_autoexec_show_gui80,$(DIST_QA_MSXHUB),dir/w) + $(call mb_openmsx_dosctl,$(DIST_QA_MSXHUB),$(DIST_QA_MSXHUB_MACHINE)) + +.PHONY: dist-qa-msxhub-assert +dist-qa-msxhub-assert: | $(DIST_QA_MSXHUB_DEPS) + $(call mb_delete,$(DIST_QA_MSXHUB)/ahello1.out) + $(call mb_delete,$(DIST_QA_MSXHUB)/ahello2.out) + $(call mb_delete,$(DIST_QA_MSXHUB)/utils.out) + $(call mb_autoexec_write_default,$(DIST_QA_MSXHUB),80) + $(call mb_autoexec_append_safe_test,$(DIST_QA_MSXHUB),ahello1 > ahello1.out) + $(call mb_autoexec_append_safe_test,$(DIST_QA_MSXHUB),ahello2 > ahello2.out) + $(call mb_autoexec_append_safe_test,$(DIST_QA_MSXHUB),dir utils > utils.out) + $(call mb_autoexec_append_cmd,$(DIST_QA_MSXHUB),dir/w) + $(call mb_autoexec_append_exit,$(DIST_QA_MSXHUB)) + $(call mb_openmsx_dosctl,$(DIST_QA_MSXHUB),$(DIST_QA_MSXHUB_MACHINE)) + grep "M80: Hello world..." $(DIST_QA_MSXHUB)/ahello1.out + grep "SDCC: Hello world..." $(DIST_QA_MSXHUB)/ahello2.out + grep "KONPASS COM" $(DIST_QA_MSXHUB)/utils.out + grep "MAKE COM" $(DIST_QA_MSXHUB)/utils.out diff --git a/src/dist/0module.mk b/src/dist/0module.mk new file mode 100644 index 0000000..e32232f --- /dev/null +++ b/src/dist/0module.mk @@ -0,0 +1,25 @@ + +DIST_MOD := dist +DIST_SRC := src/$(DIST_MOD) +DIST_BIN := $(PATH_BIN)/$(DIST_MOD) +DIST_OUT := $(PATH_BIN)/$(DIST_MOD).tar.gz +DIST_DEPS := $(DIST_BIN)/readme.txt $(DIST_BIN)/ahello1.com $(DIST_BIN)/ahello2.com +BUILD_HELP += \\n\\t* $(DIST_OUT)\\n\\t* dist-prepare + +$(DIST_BIN): + $(call mb_mkdir,$(DIST_BIN)) + +$(DIST_BIN)/readme.txt: $(DIST_SRC)/readme.txt | $(DIST_BIN) + $(call mb_unix2dos,$<,$@) + +$(DIST_BIN)/ahello1.com: bin/ahello-m80/ahello.com | $(DIST_BIN) + $(call mb_copy,$<,$@) + +$(DIST_BIN)/ahello2.com: bin/ahello-sdcc/ahello.com | $(DIST_BIN) + $(call mb_copy,$<,$@) + +.PHONY: dist-prepare +dist-prepare: | $(DIST_DEPS) + +$(DIST_OUT): | dist-prepare + $(call mb_create_dist,$(DIST_OUT),$(DIST_BIN)) diff --git a/test/dist/readme.txt b/src/dist/readme.txt similarity index 100% rename from test/dist/readme.txt rename to src/dist/readme.txt diff --git a/test/0module.mk b/test/0module.mk deleted file mode 100644 index 3ba78f9..0000000 --- a/test/0module.mk +++ /dev/null @@ -1,20 +0,0 @@ - -BUILD_HELP += \\n\\t* assert-all \(Run all asertion tests\)\\n\\t* assert-all-video \(Merges all videos to one\) -BUILD_TEST := \ -test-ahello-m80-assert \ -test-ahello-sdcc-assert \ -test-dist-qa-dos1-assert \ -test-dist-qa-dos2-assert \ -test-dist-qa-msx1-assert \ -test-dist-qa-msxhub-assert - -.PHONY: assert-all -assert-all: | $(BUILD_TEST) - @echo === All assertions completed - -.PHONY: assert-all-video -assert-all-video: | assert-all - @echo === Build session video listing - ls -1tr bin/test/*-omsx/videos/msxbuild-*.avi | awk -F "bin/test/" -v s="file '" -v e="'" '{print s$$2e}' > bin/test/video-merge.lst - ffmpeg -v quiet -y -f concat -i bin/test/video-merge.lst -c copy bin/test/video-session.avi - @echo === Concatted video completed diff --git a/test/ahello-m80/0module.mk b/test/ahello-m80/0module.mk deleted file mode 100644 index 3a5a4c6..0000000 --- a/test/ahello-m80/0module.mk +++ /dev/null @@ -1,36 +0,0 @@ - -TEST_AHELLO_M80 := $(PATH_BIN)/test/ahello-m80 -BUILD_HELP += \\n\\t* $(TEST_AHELLO_M80)/ahello.com\\n\\t* test-ahello-m80-run\\n\\t* test-ahello-m80-assert - -$(TEST_AHELLO_M80): - $(call mb_mkdir,$(TEST_AHELLO_M80)) - $(call mb_mkdir,$(TEST_AHELLO_M80)/utils) - $(call mb_msxhub_get_macro80,$(TEST_AHELLO_M80)/utils) - $(call mb_msxhub_get_z80asmuk,$(TEST_AHELLO_M80)/utils) - -$(TEST_AHELLO_M80)/%.mac: test/ahello-m80/%.mac | $(TEST_AHELLO_M80) - $(call mb_unix2dos,$<,$@) - -$(TEST_AHELLO_M80)/ahello.rel: $(TEST_AHELLO_M80)/ahello.mac - $(call mb_autoexec_safe_cmd,$(TEST_AHELLO_M80),m80 =ahello/Z) - $(call mb_openmsx_dosctl,$(TEST_AHELLO_M80)) - -$(TEST_AHELLO_M80)/ahello.hex: $(TEST_AHELLO_M80)/ahello.rel - $(call mb_autoexec_safe_cmd,$(TEST_AHELLO_M80),l80 ahello$(MB_COMMA)ahello/N/X/Y/E) - $(call mb_openmsx_dosctl,$(TEST_AHELLO_M80)) - -$(TEST_AHELLO_M80)/ahello.com: $(TEST_AHELLO_M80)/ahello.hex - $(call mb_autoexec_safe_cmd,$(TEST_AHELLO_M80),hextocom ahello) - $(call mb_openmsx_dosctl,$(TEST_AHELLO_M80)) - -.PHONY: test-ahello-m80-run -test-ahello-m80-run: $(TEST_AHELLO_M80)/ahello.com - $(call mb_autoexec_show_gui80,$(TEST_AHELLO_M80)) - $(call mb_openmsx_dosctl,$(TEST_AHELLO_M80)) - -.PHONY: test-ahello-m80-assert -test-ahello-m80-assert: $(TEST_AHELLO_M80)/ahello.com - $(call mb_delete,$(TEST_AHELLO_M80)/ahello.out) - $(call mb_autoexec_safe_test,$(TEST_AHELLO_M80),ahello > ahello.out) - $(call mb_openmsx_dosctl,$(TEST_AHELLO_M80)) - grep "M80: Hello world..." $(TEST_AHELLO_M80)/ahello.out diff --git a/test/ahello-sdcc/0module.mk b/test/ahello-sdcc/0module.mk deleted file mode 100644 index 8be1893..0000000 --- a/test/ahello-sdcc/0module.mk +++ /dev/null @@ -1,27 +0,0 @@ - -TEST_AHELLO_SDCC := $(PATH_BIN)/test/ahello-sdcc -BUILD_HELP += \\n\\t* $(TEST_AHELLO_SDCC)/ahello.com\\n\\t* test-ahello-sdcc-run\\n\\t* test-ahello-sdcc-assert - -$(TEST_AHELLO_SDCC): - $(call mb_mkdir,$(TEST_AHELLO_SDCC)) - -$(TEST_AHELLO_SDCC)/%.rel: test/ahello-sdcc/%.asm | $(TEST_AHELLO_SDCC) - $(call mb_sdcc_compile_asm,$<,$@) - -$(TEST_AHELLO_SDCC)/ahello.hex: $(TEST_AHELLO_SDCC)/ahello.rel - $(call mb_sdcc_link_asm_dos,$<,$@) - -$(TEST_AHELLO_SDCC)/ahello.com: $(TEST_AHELLO_SDCC)/ahello.hex - $(call mb_sdcc_hex2bin,$<,$@) - -.PHONY: test-ahello-sdcc-run -test-ahello-sdcc-run: $(TEST_AHELLO_SDCC)/ahello.com - $(call mb_autoexec_show_gui80,$(TEST_AHELLO_SDCC)) - $(call mb_openmsx_dosctl,$(TEST_AHELLO_SDCC)) - -.PHONY: test-ahello-sdcc-assert -test-ahello-sdcc-assert: $(TEST_AHELLO_SDCC)/ahello.com - $(call mb_delete,$(TEST_AHELLO_SDCC)/ahello.out) - $(call mb_autoexec_safe_test,$(TEST_AHELLO_SDCC),ahello > ahello.out) - $(call mb_openmsx_dosctl,$(TEST_AHELLO_SDCC)) - grep "SDCC: Hello world..." $(TEST_AHELLO_SDCC)/ahello.out diff --git a/test/dist-qa-dos1/0module.mk b/test/dist-qa-dos1/0module.mk deleted file mode 100644 index 3f81712..0000000 --- a/test/dist-qa-dos1/0module.mk +++ /dev/null @@ -1,25 +0,0 @@ - -TEST_DIST_QA_DOS1 := $(PATH_BIN)/test/dist-qa-dos1 -TEST_DIST_QA_DOS1_DEPS := $(subst dist,dist-qa-dos1,$(TEST_DIST_DEPS)) -BUILD_HELP += \\n\\t* test-dist-qa-dos1-run\\n\\t* test-dist-qa-dos1-assert - -$(TEST_DIST_QA_DOS1): - $(call mb_mkdir,$(TEST_DIST_QA_DOS1)) - $(call mb_msxhub_get_dos1_boot,$(TEST_DIST_QA_DOS1)) - -$(TEST_DIST_QA_DOS1)/%: bin/test/dist/% | $(TEST_DIST_QA_DOS1) $(TEST_DIST_DEPS) - $(call mb_copy,$<,$@) - -.PHONY: test-dist-qa-dos1-run -test-dist-qa-dos1-run: | $(TEST_DIST_QA_DOS1_DEPS) - $(call mb_autoexec_show_gui80,$(TEST_DIST_QA_DOS1)) - $(call mb_openmsx_dosctl,$(TEST_DIST_QA_DOS1)) - -.PHONY: test-dist-qa-dos1-assert -test-dist-qa-dos1-assert: | $(TEST_DIST_QA_DOS1_DEPS) - $(call mb_autoexec_write_default,$(TEST_DIST_QA_DOS1)) - $(call mb_autoexec_append_safe_test,$(TEST_DIST_QA_DOS1),ahello1) - $(call mb_autoexec_append_safe_test,$(TEST_DIST_QA_DOS1),ahello2) - $(call mb_autoexec_append_exit,$(TEST_DIST_QA_DOS1)) - $(call mb_openmsx_dosctl,$(TEST_DIST_QA_DOS1)) - diff --git a/test/dist-qa-dos2/0module.mk b/test/dist-qa-dos2/0module.mk deleted file mode 100644 index b339bff..0000000 --- a/test/dist-qa-dos2/0module.mk +++ /dev/null @@ -1,28 +0,0 @@ - -TEST_DIST_QA_DOS2 := $(PATH_BIN)/test/dist-qa-dos2 -TEST_DIST_QA_DOS2_DEPS := $(subst dist,dist-qa-dos2,$(TEST_DIST_DEPS)) -BUILD_HELP += \\n\\t* test-dist-qa-dos2-run\\n\\t* test-dist-qa-dos2-assert - -$(TEST_DIST_QA_DOS2): - $(call mb_mkdir,$(TEST_DIST_QA_DOS2)) - $(call mb_msxhub_get_dos2_boot,$(TEST_DIST_QA_DOS2)) - -$(TEST_DIST_QA_DOS2)/%: bin/test/dist/% | $(TEST_DIST_QA_DOS2) $(TEST_DIST_DEPS) - $(call mb_copy,$<,$@) - -.PHONY: test-dist-qa-dos2-run -test-dist-qa-dos2-run: | $(TEST_DIST_QA_DOS2_DEPS) - $(call mb_autoexec_show_gui80,$(TEST_DIST_QA_DOS2)) - $(call mb_openmsx_dosctl,$(TEST_DIST_QA_DOS2)) - -.PHONY: test-dist-qa-dos2-assert -test-dist-qa-dos2-assert: | $(TEST_DIST_QA_DOS2_DEPS) - $(call mb_delete,$(TEST_DIST_QA_DOS2)/ahello1.out) - $(call mb_delete,$(TEST_DIST_QA_DOS2)/ahello2.out) - $(call mb_autoexec_write_default,$(TEST_DIST_QA_DOS2)) - $(call mb_autoexec_append_safe_test,$(TEST_DIST_QA_DOS2),ahello1 > ahello1.out) - $(call mb_autoexec_append_safe_test,$(TEST_DIST_QA_DOS2),ahello2 > ahello2.out) - $(call mb_autoexec_append_exit,$(TEST_DIST_QA_DOS2)) - $(call mb_openmsx_dosctl,$(TEST_DIST_QA_DOS2)) - grep "M80: Hello world..." $(TEST_DIST_QA_DOS2)/ahello1.out - grep "SDCC: Hello world..." $(TEST_DIST_QA_DOS2)/ahello2.out diff --git a/test/dist-qa-msx1/0module.mk b/test/dist-qa-msx1/0module.mk deleted file mode 100644 index 4ae203b..0000000 --- a/test/dist-qa-msx1/0module.mk +++ /dev/null @@ -1,28 +0,0 @@ - -TEST_DIST_QA_MSX1_MACHINE ?= Canon_V-20 -TEST_DIST_QA_MSX1 := $(PATH_BIN)/test/dist-qa-msx1 -TEST_DIST_QA_MSX1_DEPS := $(subst dist,dist-qa-msx1,$(TEST_DIST_DEPS)) -BUILD_HELP += \\n\\t* test-dist-qa-msx1-run\\n\\t* test-dist-qa-msx1-assert - -$(TEST_DIST_QA_MSX1): - $(call mb_mkdir,$(TEST_DIST_QA_MSX1)) - -$(TEST_DIST_QA_MSX1)/%: bin/test/dist/% | $(TEST_DIST_QA_MSX1) $(TEST_DIST_DEPS) - $(call mb_copy,$<,$@) - -.PHONY: test-dist-qa-msx1-run -test-dist-qa-msx1-run: | $(TEST_DIST_QA_MSX1_DEPS) - $(call mb_autoexec_show_gui40,$(TEST_DIST_QA_MSX1)) - $(call mb_openmsx_dosctl,$(TEST_DIST_QA_MSX1),$(TEST_DIST_QA_MSX1_MACHINE)) - -.PHONY: test-dist-qa-msx1-assert -test-dist-qa-msx1-assert: | $(TEST_DIST_QA_MSX1_DEPS) - $(call mb_delete,$(TEST_DIST_QA_MSX1)/ahello1.out) - $(call mb_delete,$(TEST_DIST_QA_MSX1)/ahello2.out) - $(call mb_autoexec_write_default,$(TEST_DIST_QA_MSX1),40) - $(call mb_autoexec_append_safe_test,$(TEST_DIST_QA_MSX1),ahello1 > ahello1.out,33) - $(call mb_autoexec_append_safe_test,$(TEST_DIST_QA_MSX1),ahello2 > ahello2.out,66,99) - $(call mb_autoexec_append_exit,$(TEST_DIST_QA_MSX1)) - $(call mb_openmsx_dosctl,$(TEST_DIST_QA_MSX1),$(TEST_DIST_QA_MSX1_MACHINE)) - grep "M80: Hello world..." $(TEST_DIST_QA_MSX1)/ahello1.out - grep "SDCC: Hello world..." $(TEST_DIST_QA_MSX1)/ahello2.out diff --git a/test/dist-qa-msxhub/0module.mk b/test/dist-qa-msxhub/0module.mk deleted file mode 100644 index 5504e28..0000000 --- a/test/dist-qa-msxhub/0module.mk +++ /dev/null @@ -1,55 +0,0 @@ - -TEST_DIST_QA_MSXHUB_MACHINE ?= Boosted_MSX2+_JP -TEST_DIST_QA_MSXHUB := $(PATH_BIN)/test/dist-qa-msxhub -TEST_DIST_QA_MSXHUB_DEPS := $(subst dist,dist-qa-msxhub,$(TEST_DIST_DEPS)) -BUILD_HELP += \\n\\t* test-dist-qa-msxhub-run\\n\\t* test-dist-qa-msxhub-assert - -$(TEST_DIST_QA_MSXHUB): - $(call mb_mkdir,$(TEST_DIST_QA_MSXHUB)) - $(call mb_mkdir,$(TEST_DIST_QA_MSXHUB)/utils) - $(call mb_msxhub_get_dos2_utils,$(TEST_DIST_QA_MSXHUB)/utils) - $(call mb_msxhub_get_nextor_utils,$(TEST_DIST_QA_MSXHUB)/utils) - $(call mb_msxhub_get_macro80,$(TEST_DIST_QA_MSXHUB)/utils) - $(call mb_msxhub_get_z80asmuk,$(TEST_DIST_QA_MSXHUB)/utils) - $(call mb_msxhub_get_wbass2,$(TEST_DIST_QA_MSXHUB)/utils) - $(call mb_msxhub_get_konpass,$(TEST_DIST_QA_MSXHUB)/utils) - $(call mb_msxhub_get_pmarc,$(TEST_DIST_QA_MSXHUB)/utils) - $(call mb_msxhub_get_lhpack,$(TEST_DIST_QA_MSXHUB)/utils) - $(call mb_msxhub_get_lhext,$(TEST_DIST_QA_MSXHUB)/utils) - $(call mb_msxhub_get_gunzip,$(TEST_DIST_QA_MSXHUB)/utils) - $(call mb_msxhub_get_tunzip,$(TEST_DIST_QA_MSXHUB)/utils) - $(call mb_msxhub_get_popcom,$(TEST_DIST_QA_MSXHUB)/utils) - $(call mb_msxhub_get_make,$(TEST_DIST_QA_MSXHUB)/utils) - $(call mb_msxhub_get_adir,$(TEST_DIST_QA_MSXHUB)/utils) - $(call mb_msxhub_get_turbo,$(TEST_DIST_QA_MSXHUB)/utils) - $(call mb_msxhub_get_baskom,$(TEST_DIST_QA_MSXHUB)/utils) - $(call mb_msxhub_get_binldr,$(TEST_DIST_QA_MSXHUB)/utils) - $(call mb_msxhub_get_dmphex,$(TEST_DIST_QA_MSXHUB)/utils) - $(call mb_msxhub_get_zd,$(TEST_DIST_QA_MSXHUB)/utils) - $(call mb_msxhub_get_msxdos2t,$(TEST_DIST_QA_MSXHUB)/utils) - $(call mb_msxhub_get_gfxage,$(TEST_DIST_QA_MSXHUB)/utils) - -$(TEST_DIST_QA_MSXHUB)/%: bin/test/dist/% | $(TEST_DIST_QA_MSXHUB) $(TEST_DIST_DEPS) - $(call mb_copy,$<,$@) - -.PHONY: test-dist-qa-msxhub-run -test-dist-qa-msxhub-run: | $(TEST_DIST_QA_MSXHUB_DEPS) - $(call mb_autoexec_show_gui80,$(TEST_DIST_QA_MSXHUB),dir/w) - $(call mb_openmsx_dosctl,$(TEST_DIST_QA_MSXHUB),$(TEST_DIST_QA_MSXHUB_MACHINE)) - -.PHONY: test-dist-qa-msxhub-assert -test-dist-qa-msxhub-assert: | $(TEST_DIST_QA_MSXHUB_DEPS) - $(call mb_delete,$(TEST_DIST_QA_MSXHUB)/ahello1.out) - $(call mb_delete,$(TEST_DIST_QA_MSXHUB)/ahello2.out) - $(call mb_delete,$(TEST_DIST_QA_MSXHUB)/utils.out) - $(call mb_autoexec_write_default,$(TEST_DIST_QA_MSXHUB),80) - $(call mb_autoexec_append_safe_test,$(TEST_DIST_QA_MSXHUB),ahello1 > ahello1.out) - $(call mb_autoexec_append_safe_test,$(TEST_DIST_QA_MSXHUB),ahello2 > ahello2.out) - $(call mb_autoexec_append_safe_test,$(TEST_DIST_QA_MSXHUB),dir utils > utils.out) - $(call mb_autoexec_append_cmd,$(TEST_DIST_QA_MSXHUB),dir/w) - $(call mb_autoexec_append_exit,$(TEST_DIST_QA_MSXHUB)) - $(call mb_openmsx_dosctl,$(TEST_DIST_QA_MSXHUB),$(TEST_DIST_QA_MSXHUB_MACHINE)) - grep "M80: Hello world..." $(TEST_DIST_QA_MSXHUB)/ahello1.out - grep "SDCC: Hello world..." $(TEST_DIST_QA_MSXHUB)/ahello2.out - grep "KONPASS COM" $(TEST_DIST_QA_MSXHUB)/utils.out - grep "MAKE COM" $(TEST_DIST_QA_MSXHUB)/utils.out diff --git a/test/dist/0module.mk b/test/dist/0module.mk deleted file mode 100644 index 6ca2a1b..0000000 --- a/test/dist/0module.mk +++ /dev/null @@ -1,25 +0,0 @@ - -TEST_DIST_NAME := dist -TEST_DIST_SRC := test/$(TEST_DIST_NAME) -TEST_DIST_BIN := $(PATH_BIN)/test/$(TEST_DIST_NAME) -TEST_DIST_OUT := $(PATH_BIN)/test/$(TEST_DIST_NAME).tar.gz -TEST_DIST_DEPS := $(TEST_DIST_BIN)/readme.txt $(TEST_DIST_BIN)/ahello1.com $(TEST_DIST_BIN)/ahello2.com -BUILD_HELP += \\n\\t* $(TEST_DIST_OUT)\\n\\t* test-dist-prepare - -$(TEST_DIST_BIN): - $(call mb_mkdir,$(TEST_DIST_BIN)) - -$(TEST_DIST_BIN)/readme.txt: $(TEST_DIST_SRC)/readme.txt | $(TEST_DIST_BIN) - $(call mb_unix2dos,$<,$@) - -$(TEST_DIST_BIN)/ahello1.com: bin/test/ahello-m80/ahello.com | $(TEST_DIST_BIN) - $(call mb_copy,$<,$@) - -$(TEST_DIST_BIN)/ahello2.com: bin/test/ahello-sdcc/ahello.com | $(TEST_DIST_BIN) - $(call mb_copy,$<,$@) - -.PHONY: test-dist-prepare -test-dist-prepare: | $(TEST_DIST_DEPS) - -$(TEST_DIST_OUT): | test-dist-prepare - $(call mb_create_dist,$(TEST_DIST_OUT),$(TEST_DIST_BIN)) From 6b9e627f2bd894850c3c868fd44bf2b2f48b5866 Mon Sep 17 00:00:00 2001 From: Willem Date: Sun, 30 Jun 2024 02:44:32 +0200 Subject: [PATCH 067/274] Search includes from variable. --- Makefile | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Makefile b/Makefile index 77cd3b7..083d675 100644 --- a/Makefile +++ b/Makefile @@ -5,7 +5,7 @@ PATH_BIN := bin PATH_SRC := src rwildcard = $(foreach d,$(wildcard $1*),$(call rwildcard,$d/,$2) $(filter $(subst *,%,$2),$d)) -MK_MODULES := $(call rwildcard, src, */0module.mk) +MK_MODULES := $(call rwildcard, $(PATH_SRC), */0module.mk) BUILD_ALL := "Use 'make help' for possible targets." BUILD_HELP := "Use one of the following build targets;" From b175f499d4313f23a644fe0a07a60fba5283912c Mon Sep 17 00:00:00 2001 From: Willem Date: Sun, 30 Jun 2024 04:06:21 +0200 Subject: [PATCH 068/274] Removed omsxctl cache. --- lib/make/mb_openmsx.mk | 8 ++------ 1 file changed, 2 insertions(+), 6 deletions(-) diff --git a/lib/make/mb_openmsx.mk b/lib/make/mb_openmsx.mk index da131df..4cb3a75 100644 --- a/lib/make/mb_openmsx.mk +++ b/lib/make/mb_openmsx.mk @@ -12,12 +12,8 @@ MB_OPENMSX_SCALE_FACTOR ?= 3 MB_OPENMSX_THROTTLE ?= off MB_OPENMSX_HEADLESS ?= on MB_OPENMSX_RENDERER ?= SDLGL-PP -MB_OPENMSX_CTLCACHE ?= $(MB_CACHE)/msxbuild/omsxctl define mb_openmsx_setup - $(if $(wildcard $(MB_OPENMSX_CTLCACHE)),,$(call mb_mkdir,$(MB_OPENMSX_CTLCACHE))) - $(call mb_msxhub_file,$(MB_OPENMSX_CTLCACHE),OMSXCTL/1.0-1/get/OMSXCTL/omsxctl.tcl) - $(call mb_msxhub_file,$(MB_OPENMSX_CTLCACHE),OMSXCTL/1.0-1/get/OMSXCTL/omsxctl.com) $(if $(wildcard $(1)-omsx),,$(call mb_mkdir,$(1)-omsx)) $(if $(wildcard $(1)-omsx/stdio.xml),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/openmsx/stdio.xml,$(1)-omsx)) $(if $(wildcard $(1)-omsx/share),,$(call mb_mkdir,$(1)-omsx/share)) @@ -28,7 +24,7 @@ define mb_openmsx_setup $(if $(wildcard $(1)-omsx/share/scripts/boot_mode.tcl),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/openmsx/share/scripts/boot_mode.tcl,$(1)-omsx/share/scripts)) $(if $(wildcard $(1)-omsx/share/scripts/fail_after.tcl),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/openmsx/share/scripts/fail_after.tcl,$(1)-omsx/share/scripts)) $(if $(wildcard $(1)-omsx/share/scripts/save_flight.tcl),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/openmsx/share/scripts/save_flight.tcl,$(1)-omsx/share/scripts)) - $(if $(wildcard $(1)-omsx/share/scripts/omsxctl.tcl),,$(call mb_copy,$(MB_OPENMSX_CTLCACHE)/omsxctl.tcl,$(1)-omsx/share/scripts)) + $(if $(wildcard $(1)-omsx/share/scripts/omsxctl.tcl),,$(call mb_msxhub_file,$(1)-omsx/share/scripts,OMSXCTL/1.0-1/get/OMSXCTL/omsxctl.tcl)) $(if $(wildcard $(1)-omsx/share/extensions),,$(call mb_mkdir,$(1)-omsx/share/extensions)) $(if $(wildcard $(1)-omsx/share/extensions/ide-nextor.xml),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/openmsx/share/extensions/ide-nextor.xml,$(1)-omsx/share/extensions)) $(call mb_msxrom_setup,$(1)) @@ -70,7 +66,7 @@ endef define mb_openmsx_dosctl $(call mb_openmsx_setup,$(1),$(if $(2),$(2),$(MB_OPENMSX_MACHINE))) $(if $(wildcard $(1)/utils),$(call _mb_openmsx_dosctl_bat,$(1)/utils),$(call _mb_openmsx_dosctl_bat,$(1))) - $(if $(wildcard $(1)/omsxctl.com),,$(call mb_copy,$(MB_OPENMSX_CTLCACHE)/omsxctl.com,$(1))) + $(if $(wildcard $(1)/omsxctl.com),,$(call mb_msxhub_file,$(1),OMSXCTL/1.0-1/get/OMSXCTL/omsxctl.com)) $(if $(wildcard $(1)/command.com),,$(if $(wildcard $(1)/command2.com),,$(call mb_msxhub_get_$(MB_OPENMSX_BOOT_OS)_boot,$(1)))) $(call _mb_openmsx_run,$(1),$(if $(2),$(2),$(MB_OPENMSX_MACHINE))) endef From 6f677b6c43b77363f0937422ed14bde81e521b53 Mon Sep 17 00:00:00 2001 From: Willem Date: Sun, 30 Jun 2024 04:07:10 +0200 Subject: [PATCH 069/274] Moved asserts to remove copy of mofified files after assert. --- src/ahello-m80-test/0module.mk | 23 ++++++++ src/ahello-m80/0module.mk | 13 +---- src/ahello-sdcc-test/0module.mk | 23 ++++++++ src/ahello-sdcc/0module.mk | 13 +---- src/assert-all/0module.mk | 14 ++--- src/dist-qa-dos1/0module.mk | 38 +++++++------ src/dist-qa-dos2/0module.mk | 46 ++++++++-------- src/dist-qa-msx1/0module.mk | 44 ++++++++------- src/dist-qa-msxhub/0module.mk | 98 +++++++++++++++++---------------- src/dist/0module.mk | 10 ++-- 10 files changed, 181 insertions(+), 141 deletions(-) create mode 100644 src/ahello-m80-test/0module.mk create mode 100644 src/ahello-sdcc-test/0module.mk diff --git a/src/ahello-m80-test/0module.mk b/src/ahello-m80-test/0module.mk new file mode 100644 index 0000000..635f3e5 --- /dev/null +++ b/src/ahello-m80-test/0module.mk @@ -0,0 +1,23 @@ + +AHELLO_M80_TEST_MOD := ahello-m80-test +AHELLO_M80_TEST_SRC := $(PATH_SRC)/$(AHELLO_M80_TEST_MOD) +AHELLO_M80_TEST_BIN := $(PATH_BIN)/$(AHELLO_M80_TEST_MOD) +BUILD_HELP += \\n\\t* $(AHELLO_M80_TEST_BIN)/@run\\n\\t* $(AHELLO_M80_TEST_BIN)/@assert + +$(AHELLO_M80_TEST_BIN): + $(call mb_mkdir,$(AHELLO_M80_TEST_BIN)) + +$(AHELLO_M80_TEST_BIN)/ahello.com: $(AHELLO_M80_BIN)/ahello.com | $(AHELLO_M80_TEST_BIN) + $(call mb_copy,$<,$@) + +.PHONY: $(AHELLO_M80_TEST_BIN)/@run +$(AHELLO_M80_TEST_BIN)/@run: | $(AHELLO_M80_TEST_BIN)/ahello.com + $(call mb_autoexec_show_gui80,$(AHELLO_M80_TEST_BIN)) + $(call mb_openmsx_dosctl,$(AHELLO_M80_TEST_BIN)) + +.PHONY: $(AHELLO_M80_TEST_BIN)/@assert +$(AHELLO_M80_TEST_BIN)/@assert: | $(AHELLO_M80_TEST_BIN)/ahello.com + $(call mb_delete,$(AHELLO_M80_TEST_BIN)/ahello.out) + $(call mb_autoexec_safe_test,$(AHELLO_M80_TEST_BIN),ahello > ahello.out) + $(call mb_openmsx_dosctl,$(AHELLO_M80_TEST_BIN)) + grep "M80: Hello world..." $(AHELLO_M80_TEST_BIN)/ahello.out diff --git a/src/ahello-m80/0module.mk b/src/ahello-m80/0module.mk index 5eee4a4..838b83f 100644 --- a/src/ahello-m80/0module.mk +++ b/src/ahello-m80/0module.mk @@ -2,7 +2,7 @@ AHELLO_M80_MOD := ahello-m80 AHELLO_M80_SRC := $(PATH_SRC)/$(AHELLO_M80_MOD) AHELLO_M80_BIN := $(PATH_BIN)/$(AHELLO_M80_MOD) -BUILD_HELP += \\n\\t* $(AHELLO_M80_BIN)/ahello.com\\n\\t* ahello-m80-run\\n\\t* ahello-m80-assert +BUILD_HELP += \\n\\t* $(AHELLO_M80_BIN)/ahello.com\\n\\t* $(AHELLO_M80_BIN)/@run $(AHELLO_M80_BIN): $(call mb_mkdir,$(AHELLO_M80_BIN)) @@ -25,14 +25,7 @@ $(AHELLO_M80_BIN)/ahello.com: $(AHELLO_M80_BIN)/ahello.hex $(call mb_autoexec_safe_cmd,$(AHELLO_M80_BIN),hextocom ahello) $(call mb_openmsx_dosctl,$(AHELLO_M80_BIN)) -.PHONY: ahello-m80-run -ahello-m80-run: $(AHELLO_M80_BIN)/ahello.com +.PHONY: $(AHELLO_M80_BIN)/@run +$(AHELLO_M80_BIN)/@run: | $(AHELLO_M80_BIN)/ahello.com $(call mb_autoexec_show_gui80,$(AHELLO_M80_BIN)) $(call mb_openmsx_dosctl,$(AHELLO_M80_BIN)) - -.PHONY: ahello-m80-assert -ahello-m80-assert: $(AHELLO_M80_BIN)/ahello.com - $(call mb_delete,$(AHELLO_M80_BIN)/ahello.out) - $(call mb_autoexec_safe_test,$(AHELLO_M80_BIN),ahello > ahello.out) - $(call mb_openmsx_dosctl,$(AHELLO_M80_BIN)) - grep "M80: Hello world..." $(AHELLO_M80_BIN)/ahello.out diff --git a/src/ahello-sdcc-test/0module.mk b/src/ahello-sdcc-test/0module.mk new file mode 100644 index 0000000..4d41a95 --- /dev/null +++ b/src/ahello-sdcc-test/0module.mk @@ -0,0 +1,23 @@ + +AHELLO_SDCC_TEST_MOD := ahello-sdcc-test +AHELLO_SDCC_TEST_SRC := $(PATH_SRC)/$(AHELLO_SDCC_TEST_MOD) +AHELLO_SDCC_TEST_BIN := $(PATH_BIN)/$(AHELLO_SDCC_TEST_MOD) +BUILD_HELP += \\n\\t* $(AHELLO_SDCC_TEST_BIN)/@run\\n\\t* $(AHELLO_SDCC_TEST_BIN)/@assert + +$(AHELLO_SDCC_TEST_BIN): + $(call mb_mkdir,$(AHELLO_SDCC_TEST_BIN)) + +$(AHELLO_SDCC_TEST_BIN)/ahello.com: $(AHELLO_SDCC_BIN)/ahello.com | $(AHELLO_SDCC_TEST_BIN) + $(call mb_copy,$<,$@) + +.PHONY: $(AHELLO_SDCC_TEST_BIN)/@run +$(AHELLO_SDCC_TEST_BIN)/@run: | $(AHELLO_SDCC_TEST_BIN)/ahello.com + $(call mb_autoexec_show_gui80,$(AHELLO_SDCC_TEST_BIN)) + $(call mb_openmsx_dosctl,$(AHELLO_SDCC_TEST_BIN)) + +.PHONY: $(AHELLO_M80_TEST_BIN)/@assert +$(AHELLO_SDCC_TEST_BIN)/@assert: | $(AHELLO_SDCC_TEST_BIN)/ahello.com + $(call mb_delete,$(AHELLO_SDCC_TEST_BIN)/ahello.out) + $(call mb_autoexec_safe_test,$(AHELLO_SDCC_TEST_BIN),ahello > ahello.out) + $(call mb_openmsx_dosctl,$(AHELLO_SDCC_TEST_BIN)) + grep "SDCC: Hello world..." $(AHELLO_SDCC_TEST_BIN)/ahello.out diff --git a/src/ahello-sdcc/0module.mk b/src/ahello-sdcc/0module.mk index a7012c1..9b9ae2d 100644 --- a/src/ahello-sdcc/0module.mk +++ b/src/ahello-sdcc/0module.mk @@ -2,7 +2,7 @@ AHELLO_SDCC_MOD := ahello-sdcc AHELLO_SDCC_SRC := $(PATH_SRC)/$(AHELLO_SDCC_MOD) AHELLO_SDCC_BIN := $(PATH_BIN)/$(AHELLO_SDCC_MOD) -BUILD_HELP += \\n\\t* $(AHELLO_SDCC_BIN)/ahello.com\\n\\t* ahello-sdcc-run\\n\\t* ahello-sdcc-assert +BUILD_HELP += \\n\\t* $(AHELLO_SDCC_BIN)/ahello.com\\n\\t* $(AHELLO_SDCC_BIN)/@run $(AHELLO_SDCC_BIN): $(call mb_mkdir,$(AHELLO_SDCC_BIN)) @@ -16,14 +16,7 @@ $(AHELLO_SDCC_BIN)/ahello.hex: $(AHELLO_SDCC_BIN)/ahello.rel $(AHELLO_SDCC_BIN)/ahello.com: $(AHELLO_SDCC_BIN)/ahello.hex $(call mb_sdcc_hex2bin,$<,$@) -.PHONY: ahello-sdcc-run -ahello-sdcc-run: $(AHELLO_SDCC_BIN)/ahello.com +.PHONY: $(AHELLO_SDCC_BIN)/@run +$(AHELLO_SDCC_BIN)/@run: | $(AHELLO_SDCC_BIN)/ahello.com $(call mb_autoexec_show_gui80,$(AHELLO_SDCC_BIN)) $(call mb_openmsx_dosctl,$(AHELLO_SDCC_BIN)) - -.PHONY: ahello-sdcc-assert -ahello-sdcc-assert: $(AHELLO_SDCC_BIN)/ahello.com - $(call mb_delete,$(AHELLO_SDCC_BIN)/ahello.out) - $(call mb_autoexec_safe_test,$(AHELLO_SDCC_BIN),ahello > ahello.out) - $(call mb_openmsx_dosctl,$(AHELLO_SDCC_BIN)) - grep "SDCC: Hello world..." $(AHELLO_SDCC_BIN)/ahello.out diff --git a/src/assert-all/0module.mk b/src/assert-all/0module.mk index 2dcceab..330696f 100644 --- a/src/assert-all/0module.mk +++ b/src/assert-all/0module.mk @@ -1,12 +1,12 @@ -BUILD_HELP += \\n\\t* assert-all \(Run all asertion tests\)\\n\\t* assert-all-video \(Merges all videos\) +BUILD_HELP += \\n\\t* assert-all \(Run all assertion tests\)\\n\\t* assert-all-video \(Merges all videos\) ASSERT_ALL_DEPS := \ -ahello-m80-assert \ -ahello-sdcc-assert \ -dist-qa-dos1-assert \ -dist-qa-dos2-assert \ -dist-qa-msx1-assert \ -dist-qa-msxhub-assert +bin/ahello-m80-test/@assert \ +bin/ahello-sdcc-test/@assert \ +bin/dist-qa-dos1/@assert \ +bin/dist-qa-dos2/@assert \ +bin/dist-qa-msx1/@assert \ +bin/dist-qa-msxhub/@assert .PHONY: assert-all assert-all: | $(ASSERT_ALL_DEPS) diff --git a/src/dist-qa-dos1/0module.mk b/src/dist-qa-dos1/0module.mk index 413e8f2..54b8c91 100644 --- a/src/dist-qa-dos1/0module.mk +++ b/src/dist-qa-dos1/0module.mk @@ -1,25 +1,27 @@ -DIST_QA_DOS1 := $(PATH_BIN)/dist-qa-dos1 -DIST_QA_DOS1_DEPS := $(subst dist,dist-qa-dos1,$(DIST_DEPS)) -BUILD_HELP += \\n\\t* dist-qa-dos1-run\\n\\t* dist-qa-dos1-assert +DIST_QA_DOS1_MOD := dist-qa-dos1 +DIST_QA_DOS1_SRC := $(PATH_SRC)/$(DIST_QA_DOS1_MOD) +DIST_QA_DOS1_BIN := $(PATH_BIN)/$(DIST_QA_DOS1_MOD) +DIST_QA_DOS1_DEPS := $(subst dist,$(DIST_QA_DOS1_MOD),$(DIST_DEPS)) +BUILD_HELP += \\n\\t* $(DIST_QA_DOS1_BIN)/@run\\n\\t* $(DIST_QA_DOS1_BIN)/@assert -$(DIST_QA_DOS1): - $(call mb_mkdir,$(DIST_QA_DOS1)) - $(call mb_msxhub_get_dos1_boot,$(DIST_QA_DOS1)) +$(DIST_QA_DOS1_BIN): + $(call mb_mkdir,$(DIST_QA_DOS1_BIN)) + $(call mb_msxhub_get_dos1_boot,$(DIST_QA_DOS1_BIN)) -$(DIST_QA_DOS1)/%: bin/dist/% | $(DIST_QA_DOS1) $(DIST_DEPS) +$(DIST_QA_DOS1_BIN)/%: $(DIST_BIN)/% | $(DIST_QA_DOS1_BIN) $(DIST_DEPS) $(call mb_copy,$<,$@) -.PHONY: dist-qa-dos1-run -dist-qa-dos1-run: | $(DIST_QA_DOS1_DEPS) - $(call mb_autoexec_show_gui80,$(DIST_QA_DOS1)) - $(call mb_openmsx_dosctl,$(DIST_QA_DOS1)) +.PHONY: $(DIST_QA_DOS1_BIN)/@run +$(DIST_QA_DOS1_BIN)/@run: | $(DIST_QA_DOS1_DEPS) + $(call mb_autoexec_show_gui80,$(DIST_QA_DOS1_BIN)) + $(call mb_openmsx_dosctl,$(DIST_QA_DOS1_BIN)) -.PHONY: dist-qa-dos1-assert -dist-qa-dos1-assert: | $(DIST_QA_DOS1_DEPS) - $(call mb_autoexec_write_default,$(DIST_QA_DOS1)) - $(call mb_autoexec_append_safe_test,$(DIST_QA_DOS1),ahello1) - $(call mb_autoexec_append_safe_test,$(DIST_QA_DOS1),ahello2) - $(call mb_autoexec_append_exit,$(DIST_QA_DOS1)) - $(call mb_openmsx_dosctl,$(DIST_QA_DOS1)) +.PHONY: $(DIST_QA_DOS1_BIN)/@assert +$(DIST_QA_DOS1_BIN)/@assert: | $(DIST_QA_DOS1_DEPS) + $(call mb_autoexec_write_default,$(DIST_QA_DOS1_BIN)) + $(call mb_autoexec_append_safe_test,$(DIST_QA_DOS1_BIN),ahello1) + $(call mb_autoexec_append_safe_test,$(DIST_QA_DOS1_BIN),ahello2) + $(call mb_autoexec_append_exit,$(DIST_QA_DOS1_BIN)) + $(call mb_openmsx_dosctl,$(DIST_QA_DOS1_BIN)) diff --git a/src/dist-qa-dos2/0module.mk b/src/dist-qa-dos2/0module.mk index 225ba21..5622cf6 100644 --- a/src/dist-qa-dos2/0module.mk +++ b/src/dist-qa-dos2/0module.mk @@ -1,28 +1,30 @@ -DIST_QA_DOS2 := $(PATH_BIN)/dist-qa-dos2 -DIST_QA_DOS2_DEPS := $(subst dist,dist-qa-dos2,$(DIST_DEPS)) -BUILD_HELP += \\n\\t* dist-qa-dos2-run\\n\\t* dist-qa-dos2-assert +DIST_QA_DOS2_MOD := dist-qa-dos2 +DIST_QA_DOS2_SRC := $(PATH_SRC)/$(DIST_QA_DOS2_MOD) +DIST_QA_DOS2_BIN := $(PATH_BIN)/$(DIST_QA_DOS2_MOD) +DIST_QA_DOS2_DEPS := $(subst dist,$(DIST_QA_DOS2_MOD),$(DIST_DEPS)) +BUILD_HELP += \\n\\t* $(DIST_QA_DOS2_BIN)/@run\\n\\t* $(DIST_QA_DOS2_BIN)/@assert -$(DIST_QA_DOS2): - $(call mb_mkdir,$(DIST_QA_DOS2)) - $(call mb_msxhub_get_dos2_boot,$(DIST_QA_DOS2)) +$(DIST_QA_DOS2_BIN): + $(call mb_mkdir,$(DIST_QA_DOS2_BIN)) + $(call mb_msxhub_get_dos2_boot,$(DIST_QA_DOS2_BIN)) -$(DIST_QA_DOS2)/%: bin/dist/% | $(DIST_QA_DOS2) $(DIST_DEPS) +$(DIST_QA_DOS2_BIN)/%: $(DIST_BIN)/% | $(DIST_QA_DOS2_BIN) $(DIST_DEPS) $(call mb_copy,$<,$@) -.PHONY: dist-qa-dos2-run -dist-qa-dos2-run: | $(DIST_QA_DOS2_DEPS) - $(call mb_autoexec_show_gui80,$(DIST_QA_DOS2)) - $(call mb_openmsx_dosctl,$(DIST_QA_DOS2)) +.PHONY: $(DIST_QA_DOS2_BIN)/@run +$(DIST_QA_DOS2_BIN)/@run: | $(DIST_QA_DOS2_DEPS) + $(call mb_autoexec_show_gui80,$(DIST_QA_DOS2_BIN)) + $(call mb_openmsx_dosctl,$(DIST_QA_DOS2_BIN)) -.PHONY: dist-qa-dos2-assert -dist-qa-dos2-assert: | $(DIST_QA_DOS2_DEPS) - $(call mb_delete,$(DIST_QA_DOS2)/ahello1.out) - $(call mb_delete,$(DIST_QA_DOS2)/ahello2.out) - $(call mb_autoexec_write_default,$(DIST_QA_DOS2)) - $(call mb_autoexec_append_safe_test,$(DIST_QA_DOS2),ahello1 > ahello1.out) - $(call mb_autoexec_append_safe_test,$(DIST_QA_DOS2),ahello2 > ahello2.out) - $(call mb_autoexec_append_exit,$(DIST_QA_DOS2)) - $(call mb_openmsx_dosctl,$(DIST_QA_DOS2)) - grep "M80: Hello world..." $(DIST_QA_DOS2)/ahello1.out - grep "SDCC: Hello world..." $(DIST_QA_DOS2)/ahello2.out +.PHONY: $(DIST_QA_DOS2_BIN)/@assert +$(DIST_QA_DOS2_BIN)/@assert: | $(DIST_QA_DOS2_DEPS) + $(call mb_delete,$(DIST_QA_DOS2_BIN)/ahello1.out) + $(call mb_delete,$(DIST_QA_DOS2_BIN)/ahello2.out) + $(call mb_autoexec_write_default,$(DIST_QA_DOS2_BIN)) + $(call mb_autoexec_append_safe_test,$(DIST_QA_DOS2_BIN),ahello1 > ahello1.out) + $(call mb_autoexec_append_safe_test,$(DIST_QA_DOS2_BIN),ahello2 > ahello2.out) + $(call mb_autoexec_append_exit,$(DIST_QA_DOS2_BIN)) + $(call mb_openmsx_dosctl,$(DIST_QA_DOS2_BIN)) + grep "M80: Hello world..." $(DIST_QA_DOS2_BIN)/ahello1.out + grep "SDCC: Hello world..." $(DIST_QA_DOS2_BIN)/ahello2.out diff --git a/src/dist-qa-msx1/0module.mk b/src/dist-qa-msx1/0module.mk index 990255b..e1677e9 100644 --- a/src/dist-qa-msx1/0module.mk +++ b/src/dist-qa-msx1/0module.mk @@ -1,28 +1,30 @@ DIST_QA_MSX1_MACHINE ?= Canon_V-20 -DIST_QA_MSX1 := $(PATH_BIN)/dist-qa-msx1 -DIST_QA_MSX1_DEPS := $(subst dist,dist-qa-msx1,$(DIST_DEPS)) -BUILD_HELP += \\n\\t* dist-qa-msx1-run\\n\\t* dist-qa-msx1-assert +DIST_QA_MSX1_MOD := dist-qa-msx1 +DIST_QA_MSX1_SRC := $(PATH_SRC)/$(DIST_QA_MSX1_MOD) +DIST_QA_MSX1_BIN := $(PATH_BIN)/$(DIST_QA_MSX1_MOD) +DIST_QA_MSX1_DEPS := $(subst dist,$(DIST_QA_MSX1_MOD),$(DIST_DEPS)) +BUILD_HELP += \\n\\t* $(DIST_QA_MSX1_BIN)/@run\\n\\t* $(DIST_QA_MSX1_BIN)/@assert -$(DIST_QA_MSX1): - $(call mb_mkdir,$(DIST_QA_MSX1)) +$(DIST_QA_MSX1_BIN): + $(call mb_mkdir,$(DIST_QA_MSX1_BIN)) -$(DIST_QA_MSX1)/%: bin/dist/% | $(DIST_QA_MSX1) $(DIST_DEPS) +$(DIST_QA_MSX1_BIN)/%: $(DIST_BIN)/% | $(DIST_QA_MSX1_BIN) $(DIST_DEPS) $(call mb_copy,$<,$@) -.PHONY: dist-qa-msx1-run -dist-qa-msx1-run: | $(DIST_QA_MSX1_DEPS) - $(call mb_autoexec_show_gui40,$(DIST_QA_MSX1)) - $(call mb_openmsx_dosctl,$(DIST_QA_MSX1),$(DIST_QA_MSX1_MACHINE)) +.PHONY: $(DIST_QA_MSX1_BIN)/@run +$(DIST_QA_MSX1_BIN)/@run: | $(DIST_QA_MSX1_DEPS) + $(call mb_autoexec_show_gui40,$(DIST_QA_MSX1_BIN)) + $(call mb_openmsx_dosctl,$(DIST_QA_MSX1_BIN),$(DIST_QA_MSX1_MACHINE)) -.PHONY: dist-qa-msx1-assert -dist-qa-msx1-assert: | $(DIST_QA_MSX1_DEPS) - $(call mb_delete,$(DIST_QA_MSX1)/ahello1.out) - $(call mb_delete,$(DIST_QA_MSX1)/ahello2.out) - $(call mb_autoexec_write_default,$(DIST_QA_MSX1),40) - $(call mb_autoexec_append_safe_test,$(DIST_QA_MSX1),ahello1 > ahello1.out,33) - $(call mb_autoexec_append_safe_test,$(DIST_QA_MSX1),ahello2 > ahello2.out,66,99) - $(call mb_autoexec_append_exit,$(DIST_QA_MSX1)) - $(call mb_openmsx_dosctl,$(DIST_QA_MSX1),$(DIST_QA_MSX1_MACHINE)) - grep "M80: Hello world..." $(DIST_QA_MSX1)/ahello1.out - grep "SDCC: Hello world..." $(DIST_QA_MSX1)/ahello2.out +.PHONY: $(DIST_QA_MSX1_BIN)/@assert +$(DIST_QA_MSX1_BIN)/@assert: | $(DIST_QA_MSX1_DEPS) + $(call mb_delete,$(DIST_QA_MSX1_BIN)/ahello1.out) + $(call mb_delete,$(DIST_QA_MSX1_BIN)/ahello2.out) + $(call mb_autoexec_write_default,$(DIST_QA_MSX1_BIN),40) + $(call mb_autoexec_append_safe_test,$(DIST_QA_MSX1_BIN),ahello1 > ahello1.out,33) + $(call mb_autoexec_append_safe_test,$(DIST_QA_MSX1_BIN),ahello2 > ahello2.out,66,99) + $(call mb_autoexec_append_exit,$(DIST_QA_MSX1_BIN)) + $(call mb_openmsx_dosctl,$(DIST_QA_MSX1_BIN),$(DIST_QA_MSX1_MACHINE)) + grep "M80: Hello world..." $(DIST_QA_MSX1_BIN)/ahello1.out + grep "SDCC: Hello world..." $(DIST_QA_MSX1_BIN)/ahello2.out diff --git a/src/dist-qa-msxhub/0module.mk b/src/dist-qa-msxhub/0module.mk index 086316e..5610dfb 100644 --- a/src/dist-qa-msxhub/0module.mk +++ b/src/dist-qa-msxhub/0module.mk @@ -1,55 +1,57 @@ DIST_QA_MSXHUB_MACHINE ?= Boosted_MSX2+_JP -DIST_QA_MSXHUB := $(PATH_BIN)/dist-qa-msxhub -DIST_QA_MSXHUB_DEPS := $(subst dist,dist-qa-msxhub,$(DIST_DEPS)) -BUILD_HELP += \\n\\t* dist-qa-msxhub-run\\n\\t* dist-qa-msxhub-assert +DIST_QA_MSXHUB_MOD := dist-qa-msxhub +DIST_QA_MSXHUB_SRC := $(PATH_SRC)/$(DIST_QA_MSXHUB_MOD) +DIST_QA_MSXHUB_BIN := $(PATH_BIN)/$(DIST_QA_MSXHUB_MOD) +DIST_QA_MSXHUB_DEPS := $(subst dist,$(DIST_QA_MSXHUB_MOD),$(DIST_DEPS)) +BUILD_HELP += \\n\\t* $(DIST_QA_MSXHUB_BIN)/@run\\n\\t* $(DIST_QA_MSXHUB_BIN)/@assert -$(DIST_QA_MSXHUB): - $(call mb_mkdir,$(DIST_QA_MSXHUB)) - $(call mb_mkdir,$(DIST_QA_MSXHUB)/utils) - $(call mb_msxhub_get_dos2_utils,$(DIST_QA_MSXHUB)/utils) - $(call mb_msxhub_get_nextor_utils,$(DIST_QA_MSXHUB)/utils) - $(call mb_msxhub_get_macro80,$(DIST_QA_MSXHUB)/utils) - $(call mb_msxhub_get_z80asmuk,$(DIST_QA_MSXHUB)/utils) - $(call mb_msxhub_get_wbass2,$(DIST_QA_MSXHUB)/utils) - $(call mb_msxhub_get_konpass,$(DIST_QA_MSXHUB)/utils) - $(call mb_msxhub_get_pmarc,$(DIST_QA_MSXHUB)/utils) - $(call mb_msxhub_get_lhpack,$(DIST_QA_MSXHUB)/utils) - $(call mb_msxhub_get_lhext,$(DIST_QA_MSXHUB)/utils) - $(call mb_msxhub_get_gunzip,$(DIST_QA_MSXHUB)/utils) - $(call mb_msxhub_get_tunzip,$(DIST_QA_MSXHUB)/utils) - $(call mb_msxhub_get_popcom,$(DIST_QA_MSXHUB)/utils) - $(call mb_msxhub_get_make,$(DIST_QA_MSXHUB)/utils) - $(call mb_msxhub_get_adir,$(DIST_QA_MSXHUB)/utils) - $(call mb_msxhub_get_turbo,$(DIST_QA_MSXHUB)/utils) - $(call mb_msxhub_get_baskom,$(DIST_QA_MSXHUB)/utils) - $(call mb_msxhub_get_binldr,$(DIST_QA_MSXHUB)/utils) - $(call mb_msxhub_get_dmphex,$(DIST_QA_MSXHUB)/utils) - $(call mb_msxhub_get_zd,$(DIST_QA_MSXHUB)/utils) - $(call mb_msxhub_get_msxdos2t,$(DIST_QA_MSXHUB)/utils) - $(call mb_msxhub_get_gfxage,$(DIST_QA_MSXHUB)/utils) +$(DIST_QA_MSXHUB_BIN): + $(call mb_mkdir,$(DIST_QA_MSXHUB_BIN)) + $(call mb_mkdir,$(DIST_QA_MSXHUB_BIN)/utils) + $(call mb_msxhub_get_dos2_utils,$(DIST_QA_MSXHUB_BIN)/utils) + $(call mb_msxhub_get_nextor_utils,$(DIST_QA_MSXHUB_BIN)/utils) + $(call mb_msxhub_get_macro80,$(DIST_QA_MSXHUB_BIN)/utils) + $(call mb_msxhub_get_z80asmuk,$(DIST_QA_MSXHUB_BIN)/utils) + $(call mb_msxhub_get_wbass2,$(DIST_QA_MSXHUB_BIN)/utils) + $(call mb_msxhub_get_konpass,$(DIST_QA_MSXHUB_BIN)/utils) + $(call mb_msxhub_get_pmarc,$(DIST_QA_MSXHUB_BIN)/utils) + $(call mb_msxhub_get_lhpack,$(DIST_QA_MSXHUB_BIN)/utils) + $(call mb_msxhub_get_lhext,$(DIST_QA_MSXHUB_BIN)/utils) + $(call mb_msxhub_get_gunzip,$(DIST_QA_MSXHUB_BIN)/utils) + $(call mb_msxhub_get_tunzip,$(DIST_QA_MSXHUB_BIN)/utils) + $(call mb_msxhub_get_popcom,$(DIST_QA_MSXHUB_BIN)/utils) + $(call mb_msxhub_get_make,$(DIST_QA_MSXHUB_BIN)/utils) + $(call mb_msxhub_get_adir,$(DIST_QA_MSXHUB_BIN)/utils) + $(call mb_msxhub_get_turbo,$(DIST_QA_MSXHUB_BIN)/utils) + $(call mb_msxhub_get_baskom,$(DIST_QA_MSXHUB_BIN)/utils) + $(call mb_msxhub_get_binldr,$(DIST_QA_MSXHUB_BIN)/utils) + $(call mb_msxhub_get_dmphex,$(DIST_QA_MSXHUB_BIN)/utils) + $(call mb_msxhub_get_zd,$(DIST_QA_MSXHUB_BIN)/utils) + $(call mb_msxhub_get_msxdos2t,$(DIST_QA_MSXHUB_BIN)/utils) + $(call mb_msxhub_get_gfxage,$(DIST_QA_MSXHUB_BIN)/utils) -$(DIST_QA_MSXHUB)/%: bin/dist/% | $(DIST_QA_MSXHUB) $(DIST_DEPS) +$(DIST_QA_MSXHUB_BIN)/%: $(DIST_BIN)/% | $(DIST_QA_MSXHUB_BIN) $(DIST_DEPS) $(call mb_copy,$<,$@) -.PHONY: dist-qa-msxhub-run -dist-qa-msxhub-run: | $(DIST_QA_MSXHUB_DEPS) - $(call mb_autoexec_show_gui80,$(DIST_QA_MSXHUB),dir/w) - $(call mb_openmsx_dosctl,$(DIST_QA_MSXHUB),$(DIST_QA_MSXHUB_MACHINE)) +.PHONY: $(DIST_QA_MSXHUB_BIN)/@run +$(DIST_QA_MSXHUB_BIN)/@run: | $(DIST_QA_MSXHUB_DEPS) + $(call mb_autoexec_show_gui80,$(DIST_QA_MSXHUB_BIN),dir/w) + $(call mb_openmsx_dosctl,$(DIST_QA_MSXHUB_BIN),$(DIST_QA_MSXHUB_BIN_MACHINE)) -.PHONY: dist-qa-msxhub-assert -dist-qa-msxhub-assert: | $(DIST_QA_MSXHUB_DEPS) - $(call mb_delete,$(DIST_QA_MSXHUB)/ahello1.out) - $(call mb_delete,$(DIST_QA_MSXHUB)/ahello2.out) - $(call mb_delete,$(DIST_QA_MSXHUB)/utils.out) - $(call mb_autoexec_write_default,$(DIST_QA_MSXHUB),80) - $(call mb_autoexec_append_safe_test,$(DIST_QA_MSXHUB),ahello1 > ahello1.out) - $(call mb_autoexec_append_safe_test,$(DIST_QA_MSXHUB),ahello2 > ahello2.out) - $(call mb_autoexec_append_safe_test,$(DIST_QA_MSXHUB),dir utils > utils.out) - $(call mb_autoexec_append_cmd,$(DIST_QA_MSXHUB),dir/w) - $(call mb_autoexec_append_exit,$(DIST_QA_MSXHUB)) - $(call mb_openmsx_dosctl,$(DIST_QA_MSXHUB),$(DIST_QA_MSXHUB_MACHINE)) - grep "M80: Hello world..." $(DIST_QA_MSXHUB)/ahello1.out - grep "SDCC: Hello world..." $(DIST_QA_MSXHUB)/ahello2.out - grep "KONPASS COM" $(DIST_QA_MSXHUB)/utils.out - grep "MAKE COM" $(DIST_QA_MSXHUB)/utils.out +.PHONY: $(DIST_QA_MSXHUB_BIN)/@assert +$(DIST_QA_MSXHUB_BIN)/@assert: | $(DIST_QA_MSXHUB_DEPS) + $(call mb_delete,$(DIST_QA_MSXHUB_BIN)/ahello1.out) + $(call mb_delete,$(DIST_QA_MSXHUB_BIN)/ahello2.out) + $(call mb_delete,$(DIST_QA_MSXHUB_BIN)/utils.out) + $(call mb_autoexec_write_default,$(DIST_QA_MSXHUB_BIN),80) + $(call mb_autoexec_append_safe_test,$(DIST_QA_MSXHUB_BIN),ahello1 > ahello1.out) + $(call mb_autoexec_append_safe_test,$(DIST_QA_MSXHUB_BIN),ahello2 > ahello2.out) + $(call mb_autoexec_append_safe_test,$(DIST_QA_MSXHUB_BIN),dir utils > utils.out) + $(call mb_autoexec_append_cmd,$(DIST_QA_MSXHUB_BIN),dir/w) + $(call mb_autoexec_append_exit,$(DIST_QA_MSXHUB_BIN)) + $(call mb_openmsx_dosctl,$(DIST_QA_MSXHUB_BIN),$(DIST_QA_MSXHUB_BIN_MACHINE)) + grep "M80: Hello world..." $(DIST_QA_MSXHUB_BIN)/ahello1.out + grep "SDCC: Hello world..." $(DIST_QA_MSXHUB_BIN)/ahello2.out + grep "KONPASS COM" $(DIST_QA_MSXHUB_BIN)/utils.out + grep "MAKE COM" $(DIST_QA_MSXHUB_BIN)/utils.out diff --git a/src/dist/0module.mk b/src/dist/0module.mk index e32232f..7e532a0 100644 --- a/src/dist/0module.mk +++ b/src/dist/0module.mk @@ -1,10 +1,10 @@ DIST_MOD := dist -DIST_SRC := src/$(DIST_MOD) +DIST_SRC := $(PATH_SRC)/$(DIST_MOD) DIST_BIN := $(PATH_BIN)/$(DIST_MOD) DIST_OUT := $(PATH_BIN)/$(DIST_MOD).tar.gz DIST_DEPS := $(DIST_BIN)/readme.txt $(DIST_BIN)/ahello1.com $(DIST_BIN)/ahello2.com -BUILD_HELP += \\n\\t* $(DIST_OUT)\\n\\t* dist-prepare +BUILD_HELP += \\n\\t* $(DIST_BIN)/@prepare\\n\\t* $(DIST_OUT) $(DIST_BIN): $(call mb_mkdir,$(DIST_BIN)) @@ -18,8 +18,8 @@ $(DIST_BIN)/ahello1.com: bin/ahello-m80/ahello.com | $(DIST_BIN) $(DIST_BIN)/ahello2.com: bin/ahello-sdcc/ahello.com | $(DIST_BIN) $(call mb_copy,$<,$@) -.PHONY: dist-prepare -dist-prepare: | $(DIST_DEPS) +.PHONY: $(DIST_BIN)/@prepare +$(DIST_BIN)/@prepare: | $(DIST_DEPS) -$(DIST_OUT): | dist-prepare +$(DIST_OUT): | $(DIST_BIN)/@prepare $(call mb_create_dist,$(DIST_OUT),$(DIST_BIN)) From 97655c2f1c85fb612a73f19ce2f93fd15d658430 Mon Sep 17 00:00:00 2001 From: Willem Date: Sun, 30 Jun 2024 04:14:46 +0200 Subject: [PATCH 070/274] Removed one empty line. --- Makefile | 1 - 1 file changed, 1 deletion(-) diff --git a/Makefile b/Makefile index 083d675..0e82e2b 100644 --- a/Makefile +++ b/Makefile @@ -1,7 +1,6 @@ # # Example project makefile for msxbuild. # - PATH_BIN := bin PATH_SRC := src rwildcard = $(foreach d,$(wildcard $1*),$(call rwildcard,$d/,$2) $(filter $(subst *,%,$2),$d)) From f6cb4a1f9b5cac1311fb547ea2d57e2d96b60dc6 Mon Sep 17 00:00:00 2001 From: Willem Date: Sun, 30 Jun 2024 04:34:07 +0200 Subject: [PATCH 071/274] Moved video concat to function. --- README.md | 3 ++- lib/make/msxbuild.mk | 7 +++++++ src/assert-all/0module.mk | 10 ++-------- 3 files changed, 11 insertions(+), 9 deletions(-) diff --git a/README.md b/README.md index d2e8806..4c69de4 100644 --- a/README.md +++ b/README.md @@ -67,7 +67,7 @@ When you want to see whats happening do a debug run; real 1m14.043s debug run with video recorder and merge to one video file; - time MB_OPENMSX_HEADLESS=off MB_FLIGHT_VIDEO=on make -s assert-all-video + time MB_OPENMSX_HEADLESS=off MB_FLIGHT_VIDEO=on make -s assert-all real 0m7.136s build parallel; @@ -165,6 +165,7 @@ Current set is WIP. * mb_unix2dos * mb_dos2unix * mb_create_dist +* mb_create_flight_video ## Errata diff --git a/lib/make/msxbuild.mk b/lib/make/msxbuild.mk index 6a63e54..27082d5 100644 --- a/lib/make/msxbuild.mk +++ b/lib/make/msxbuild.mk @@ -14,6 +14,7 @@ MB_FLIGHT_VIDEO ?= off MB_FLIGHT_PREFIX ?= msxbuild MB_FLIGHT_SEPERATOR ?= - MB_FLIGHT_RECORD_FLAG ?= -doublesize +MB_FLIGHT_VIDEO_NAME ?= flight-video # OS cmds ifeq ($(OS),Windows_NT) @@ -68,6 +69,12 @@ define mb_create_dist @echo === Creating distribution archive. tar -czf $(1) -C $(2) `ls $(2)` endef +define mb_create_flight_video + @echo === Indexing flight videos + ls -1tr $(1)/*-omsx/videos/*.avi | awk -F "$(1)/" -v s="file '" -v e="'" '{print s$$2e}' > $(1)/$(MB_FLIGHT_VIDEO_NAME).lst + ffmpeg -v quiet -y -f concat -i $(1)/$(MB_FLIGHT_VIDEO_NAME)lst -c copy $(1)/$(MB_FLIGHT_VIDEO_NAME).avi + @echo === Flight video completed +endef # Include extra features include $(PATH_MSXBUILD)/lib/make/mb_sdcc.mk diff --git a/src/assert-all/0module.mk b/src/assert-all/0module.mk index 330696f..5a68811 100644 --- a/src/assert-all/0module.mk +++ b/src/assert-all/0module.mk @@ -1,5 +1,5 @@ -BUILD_HELP += \\n\\t* assert-all \(Run all assertion tests\)\\n\\t* assert-all-video \(Merges all videos\) +BUILD_HELP += \\n\\t* assert-all \(Run all assertion tests\) ASSERT_ALL_DEPS := \ bin/ahello-m80-test/@assert \ bin/ahello-sdcc-test/@assert \ @@ -11,10 +11,4 @@ bin/dist-qa-msxhub/@assert .PHONY: assert-all assert-all: | $(ASSERT_ALL_DEPS) @echo === All assertions completed - -.PHONY: assert-all-video -assert-all-video: | assert-all - @echo === Build session video listing - ls -1tr bin/*-omsx/videos/msxbuild-*.avi | awk -F "bin/" -v s="file '" -v e="'" '{print s$$2e}' > bin/video-merge.lst - ffmpeg -v quiet -y -f concat -i bin/video-merge.lst -c copy bin/video-session.avi - @echo === Concatted video completed + $(if $(filter on,$(MB_FLIGHT_VIDEO)),$(call mb_create_flight_video,$(PATH_BIN))) From b99ad11836fbf0f5225d30445c43bd9a2ca85528 Mon Sep 17 00:00:00 2001 From: Willem Date: Sun, 30 Jun 2024 04:37:21 +0200 Subject: [PATCH 072/274] Reversed dist archive arguments. --- lib/make/msxbuild.mk | 4 ++-- src/dist/0module.mk | 2 +- 2 files changed, 3 insertions(+), 3 deletions(-) diff --git a/lib/make/msxbuild.mk b/lib/make/msxbuild.mk index 27082d5..fca5cec 100644 --- a/lib/make/msxbuild.mk +++ b/lib/make/msxbuild.mk @@ -66,8 +66,8 @@ define mb_dos2unix dos2unix -q -n $(1) $(2) endef define mb_create_dist - @echo === Creating distribution archive. - tar -czf $(1) -C $(2) `ls $(2)` + @echo === Creating distribution archive + tar -czf $(2) -C $(1) `ls $(1)` endef define mb_create_flight_video @echo === Indexing flight videos diff --git a/src/dist/0module.mk b/src/dist/0module.mk index 7e532a0..166fc57 100644 --- a/src/dist/0module.mk +++ b/src/dist/0module.mk @@ -22,4 +22,4 @@ $(DIST_BIN)/ahello2.com: bin/ahello-sdcc/ahello.com | $(DIST_BIN) $(DIST_BIN)/@prepare: | $(DIST_DEPS) $(DIST_OUT): | $(DIST_BIN)/@prepare - $(call mb_create_dist,$(DIST_OUT),$(DIST_BIN)) + $(call mb_create_dist,$(DIST_BIN),$@) From 07b5a6235ee8ab44a0c0724b487bbf07ed0e402c Mon Sep 17 00:00:00 2001 From: Willem Date: Sun, 30 Jun 2024 04:47:57 +0200 Subject: [PATCH 073/274] Fixed listing. --- lib/make/msxbuild.mk | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/lib/make/msxbuild.mk b/lib/make/msxbuild.mk index fca5cec..b42cb1f 100644 --- a/lib/make/msxbuild.mk +++ b/lib/make/msxbuild.mk @@ -72,7 +72,7 @@ endef define mb_create_flight_video @echo === Indexing flight videos ls -1tr $(1)/*-omsx/videos/*.avi | awk -F "$(1)/" -v s="file '" -v e="'" '{print s$$2e}' > $(1)/$(MB_FLIGHT_VIDEO_NAME).lst - ffmpeg -v quiet -y -f concat -i $(1)/$(MB_FLIGHT_VIDEO_NAME)lst -c copy $(1)/$(MB_FLIGHT_VIDEO_NAME).avi + ffmpeg -v quiet -y -f concat -i $(1)/$(MB_FLIGHT_VIDEO_NAME).lst -c copy $(1)/$(MB_FLIGHT_VIDEO_NAME).avi @echo === Flight video completed endef From 0aecfa1ba38535526947411b504efab3962dc155 Mon Sep 17 00:00:00 2001 From: Willem Date: Sun, 30 Jun 2024 05:05:20 +0200 Subject: [PATCH 074/274] Moved help to targets section. --- src/ahello-m80-test/0module.mk | 3 ++- src/ahello-m80/0module.mk | 3 ++- src/ahello-sdcc-test/0module.mk | 3 ++- src/ahello-sdcc/0module.mk | 3 ++- src/assert-all/0module.mk | 2 +- src/dist-qa-dos1/0module.mk | 3 ++- src/dist-qa-dos2/0module.mk | 3 ++- src/dist-qa-msx1/0module.mk | 3 ++- src/dist-qa-msxhub/0module.mk | 5 +++-- src/dist/0module.mk | 3 ++- 10 files changed, 20 insertions(+), 11 deletions(-) diff --git a/src/ahello-m80-test/0module.mk b/src/ahello-m80-test/0module.mk index 635f3e5..5b0e106 100644 --- a/src/ahello-m80-test/0module.mk +++ b/src/ahello-m80-test/0module.mk @@ -2,7 +2,6 @@ AHELLO_M80_TEST_MOD := ahello-m80-test AHELLO_M80_TEST_SRC := $(PATH_SRC)/$(AHELLO_M80_TEST_MOD) AHELLO_M80_TEST_BIN := $(PATH_BIN)/$(AHELLO_M80_TEST_MOD) -BUILD_HELP += \\n\\t* $(AHELLO_M80_TEST_BIN)/@run\\n\\t* $(AHELLO_M80_TEST_BIN)/@assert $(AHELLO_M80_TEST_BIN): $(call mb_mkdir,$(AHELLO_M80_TEST_BIN)) @@ -10,11 +9,13 @@ $(AHELLO_M80_TEST_BIN): $(AHELLO_M80_TEST_BIN)/ahello.com: $(AHELLO_M80_BIN)/ahello.com | $(AHELLO_M80_TEST_BIN) $(call mb_copy,$<,$@) +BUILD_HELP += \\n\\t* $(AHELLO_M80_TEST_BIN)/@run .PHONY: $(AHELLO_M80_TEST_BIN)/@run $(AHELLO_M80_TEST_BIN)/@run: | $(AHELLO_M80_TEST_BIN)/ahello.com $(call mb_autoexec_show_gui80,$(AHELLO_M80_TEST_BIN)) $(call mb_openmsx_dosctl,$(AHELLO_M80_TEST_BIN)) +BUILD_HELP += \\n\\t* $(AHELLO_M80_TEST_BIN)/@assert .PHONY: $(AHELLO_M80_TEST_BIN)/@assert $(AHELLO_M80_TEST_BIN)/@assert: | $(AHELLO_M80_TEST_BIN)/ahello.com $(call mb_delete,$(AHELLO_M80_TEST_BIN)/ahello.out) diff --git a/src/ahello-m80/0module.mk b/src/ahello-m80/0module.mk index 838b83f..18c4384 100644 --- a/src/ahello-m80/0module.mk +++ b/src/ahello-m80/0module.mk @@ -2,7 +2,6 @@ AHELLO_M80_MOD := ahello-m80 AHELLO_M80_SRC := $(PATH_SRC)/$(AHELLO_M80_MOD) AHELLO_M80_BIN := $(PATH_BIN)/$(AHELLO_M80_MOD) -BUILD_HELP += \\n\\t* $(AHELLO_M80_BIN)/ahello.com\\n\\t* $(AHELLO_M80_BIN)/@run $(AHELLO_M80_BIN): $(call mb_mkdir,$(AHELLO_M80_BIN)) @@ -21,10 +20,12 @@ $(AHELLO_M80_BIN)/ahello.hex: $(AHELLO_M80_BIN)/ahello.rel $(call mb_autoexec_safe_cmd,$(AHELLO_M80_BIN),l80 ahello$(MB_COMMA)ahello/N/X/Y/E) $(call mb_openmsx_dosctl,$(AHELLO_M80_BIN)) +BUILD_HELP += \\n\\t* $(AHELLO_M80_BIN)/ahello.com $(AHELLO_M80_BIN)/ahello.com: $(AHELLO_M80_BIN)/ahello.hex $(call mb_autoexec_safe_cmd,$(AHELLO_M80_BIN),hextocom ahello) $(call mb_openmsx_dosctl,$(AHELLO_M80_BIN)) +BUILD_HELP += \\n\\t* $(AHELLO_M80_BIN)/@run .PHONY: $(AHELLO_M80_BIN)/@run $(AHELLO_M80_BIN)/@run: | $(AHELLO_M80_BIN)/ahello.com $(call mb_autoexec_show_gui80,$(AHELLO_M80_BIN)) diff --git a/src/ahello-sdcc-test/0module.mk b/src/ahello-sdcc-test/0module.mk index 4d41a95..238379e 100644 --- a/src/ahello-sdcc-test/0module.mk +++ b/src/ahello-sdcc-test/0module.mk @@ -2,7 +2,6 @@ AHELLO_SDCC_TEST_MOD := ahello-sdcc-test AHELLO_SDCC_TEST_SRC := $(PATH_SRC)/$(AHELLO_SDCC_TEST_MOD) AHELLO_SDCC_TEST_BIN := $(PATH_BIN)/$(AHELLO_SDCC_TEST_MOD) -BUILD_HELP += \\n\\t* $(AHELLO_SDCC_TEST_BIN)/@run\\n\\t* $(AHELLO_SDCC_TEST_BIN)/@assert $(AHELLO_SDCC_TEST_BIN): $(call mb_mkdir,$(AHELLO_SDCC_TEST_BIN)) @@ -10,11 +9,13 @@ $(AHELLO_SDCC_TEST_BIN): $(AHELLO_SDCC_TEST_BIN)/ahello.com: $(AHELLO_SDCC_BIN)/ahello.com | $(AHELLO_SDCC_TEST_BIN) $(call mb_copy,$<,$@) +BUILD_HELP += \\n\\t* $(AHELLO_SDCC_TEST_BIN)/@run .PHONY: $(AHELLO_SDCC_TEST_BIN)/@run $(AHELLO_SDCC_TEST_BIN)/@run: | $(AHELLO_SDCC_TEST_BIN)/ahello.com $(call mb_autoexec_show_gui80,$(AHELLO_SDCC_TEST_BIN)) $(call mb_openmsx_dosctl,$(AHELLO_SDCC_TEST_BIN)) +BUILD_HELP += \\n\\t* $(AHELLO_SDCC_TEST_BIN)/@assert .PHONY: $(AHELLO_M80_TEST_BIN)/@assert $(AHELLO_SDCC_TEST_BIN)/@assert: | $(AHELLO_SDCC_TEST_BIN)/ahello.com $(call mb_delete,$(AHELLO_SDCC_TEST_BIN)/ahello.out) diff --git a/src/ahello-sdcc/0module.mk b/src/ahello-sdcc/0module.mk index 9b9ae2d..20f14ce 100644 --- a/src/ahello-sdcc/0module.mk +++ b/src/ahello-sdcc/0module.mk @@ -2,7 +2,6 @@ AHELLO_SDCC_MOD := ahello-sdcc AHELLO_SDCC_SRC := $(PATH_SRC)/$(AHELLO_SDCC_MOD) AHELLO_SDCC_BIN := $(PATH_BIN)/$(AHELLO_SDCC_MOD) -BUILD_HELP += \\n\\t* $(AHELLO_SDCC_BIN)/ahello.com\\n\\t* $(AHELLO_SDCC_BIN)/@run $(AHELLO_SDCC_BIN): $(call mb_mkdir,$(AHELLO_SDCC_BIN)) @@ -13,9 +12,11 @@ $(AHELLO_SDCC_BIN)/%.rel: $(AHELLO_SDCC_SRC)/%.asm | $(AHELLO_SDCC_BIN) $(AHELLO_SDCC_BIN)/ahello.hex: $(AHELLO_SDCC_BIN)/ahello.rel $(call mb_sdcc_link_asm_dos,$<,$@) +BUILD_HELP += \\n\\t* $(AHELLO_SDCC_BIN)/ahello.com $(AHELLO_SDCC_BIN)/ahello.com: $(AHELLO_SDCC_BIN)/ahello.hex $(call mb_sdcc_hex2bin,$<,$@) +BUILD_HELP += \\n\\t* $(AHELLO_SDCC_BIN)/@run .PHONY: $(AHELLO_SDCC_BIN)/@run $(AHELLO_SDCC_BIN)/@run: | $(AHELLO_SDCC_BIN)/ahello.com $(call mb_autoexec_show_gui80,$(AHELLO_SDCC_BIN)) diff --git a/src/assert-all/0module.mk b/src/assert-all/0module.mk index 5a68811..7c5b921 100644 --- a/src/assert-all/0module.mk +++ b/src/assert-all/0module.mk @@ -1,5 +1,4 @@ -BUILD_HELP += \\n\\t* assert-all \(Run all assertion tests\) ASSERT_ALL_DEPS := \ bin/ahello-m80-test/@assert \ bin/ahello-sdcc-test/@assert \ @@ -8,6 +7,7 @@ bin/dist-qa-dos2/@assert \ bin/dist-qa-msx1/@assert \ bin/dist-qa-msxhub/@assert +BUILD_HELP += \\n\\t* assert-all \(Run all assertion tests\) .PHONY: assert-all assert-all: | $(ASSERT_ALL_DEPS) @echo === All assertions completed diff --git a/src/dist-qa-dos1/0module.mk b/src/dist-qa-dos1/0module.mk index 54b8c91..7c83049 100644 --- a/src/dist-qa-dos1/0module.mk +++ b/src/dist-qa-dos1/0module.mk @@ -3,7 +3,6 @@ DIST_QA_DOS1_MOD := dist-qa-dos1 DIST_QA_DOS1_SRC := $(PATH_SRC)/$(DIST_QA_DOS1_MOD) DIST_QA_DOS1_BIN := $(PATH_BIN)/$(DIST_QA_DOS1_MOD) DIST_QA_DOS1_DEPS := $(subst dist,$(DIST_QA_DOS1_MOD),$(DIST_DEPS)) -BUILD_HELP += \\n\\t* $(DIST_QA_DOS1_BIN)/@run\\n\\t* $(DIST_QA_DOS1_BIN)/@assert $(DIST_QA_DOS1_BIN): $(call mb_mkdir,$(DIST_QA_DOS1_BIN)) @@ -12,11 +11,13 @@ $(DIST_QA_DOS1_BIN): $(DIST_QA_DOS1_BIN)/%: $(DIST_BIN)/% | $(DIST_QA_DOS1_BIN) $(DIST_DEPS) $(call mb_copy,$<,$@) +BUILD_HELP += \\n\\t* $(DIST_QA_DOS1_BIN)/@run .PHONY: $(DIST_QA_DOS1_BIN)/@run $(DIST_QA_DOS1_BIN)/@run: | $(DIST_QA_DOS1_DEPS) $(call mb_autoexec_show_gui80,$(DIST_QA_DOS1_BIN)) $(call mb_openmsx_dosctl,$(DIST_QA_DOS1_BIN)) +BUILD_HELP += \\n\\t* $(DIST_QA_DOS1_BIN)/@assert .PHONY: $(DIST_QA_DOS1_BIN)/@assert $(DIST_QA_DOS1_BIN)/@assert: | $(DIST_QA_DOS1_DEPS) $(call mb_autoexec_write_default,$(DIST_QA_DOS1_BIN)) diff --git a/src/dist-qa-dos2/0module.mk b/src/dist-qa-dos2/0module.mk index 5622cf6..c198109 100644 --- a/src/dist-qa-dos2/0module.mk +++ b/src/dist-qa-dos2/0module.mk @@ -3,7 +3,6 @@ DIST_QA_DOS2_MOD := dist-qa-dos2 DIST_QA_DOS2_SRC := $(PATH_SRC)/$(DIST_QA_DOS2_MOD) DIST_QA_DOS2_BIN := $(PATH_BIN)/$(DIST_QA_DOS2_MOD) DIST_QA_DOS2_DEPS := $(subst dist,$(DIST_QA_DOS2_MOD),$(DIST_DEPS)) -BUILD_HELP += \\n\\t* $(DIST_QA_DOS2_BIN)/@run\\n\\t* $(DIST_QA_DOS2_BIN)/@assert $(DIST_QA_DOS2_BIN): $(call mb_mkdir,$(DIST_QA_DOS2_BIN)) @@ -12,11 +11,13 @@ $(DIST_QA_DOS2_BIN): $(DIST_QA_DOS2_BIN)/%: $(DIST_BIN)/% | $(DIST_QA_DOS2_BIN) $(DIST_DEPS) $(call mb_copy,$<,$@) +BUILD_HELP += \\n\\t* $(DIST_QA_DOS2_BIN)/@run .PHONY: $(DIST_QA_DOS2_BIN)/@run $(DIST_QA_DOS2_BIN)/@run: | $(DIST_QA_DOS2_DEPS) $(call mb_autoexec_show_gui80,$(DIST_QA_DOS2_BIN)) $(call mb_openmsx_dosctl,$(DIST_QA_DOS2_BIN)) +BUILD_HELP += \\n\\t* $(DIST_QA_DOS2_BIN)/@assert .PHONY: $(DIST_QA_DOS2_BIN)/@assert $(DIST_QA_DOS2_BIN)/@assert: | $(DIST_QA_DOS2_DEPS) $(call mb_delete,$(DIST_QA_DOS2_BIN)/ahello1.out) diff --git a/src/dist-qa-msx1/0module.mk b/src/dist-qa-msx1/0module.mk index e1677e9..d3186f6 100644 --- a/src/dist-qa-msx1/0module.mk +++ b/src/dist-qa-msx1/0module.mk @@ -4,7 +4,6 @@ DIST_QA_MSX1_MOD := dist-qa-msx1 DIST_QA_MSX1_SRC := $(PATH_SRC)/$(DIST_QA_MSX1_MOD) DIST_QA_MSX1_BIN := $(PATH_BIN)/$(DIST_QA_MSX1_MOD) DIST_QA_MSX1_DEPS := $(subst dist,$(DIST_QA_MSX1_MOD),$(DIST_DEPS)) -BUILD_HELP += \\n\\t* $(DIST_QA_MSX1_BIN)/@run\\n\\t* $(DIST_QA_MSX1_BIN)/@assert $(DIST_QA_MSX1_BIN): $(call mb_mkdir,$(DIST_QA_MSX1_BIN)) @@ -12,11 +11,13 @@ $(DIST_QA_MSX1_BIN): $(DIST_QA_MSX1_BIN)/%: $(DIST_BIN)/% | $(DIST_QA_MSX1_BIN) $(DIST_DEPS) $(call mb_copy,$<,$@) +BUILD_HELP += \\n\\t* $(DIST_QA_MSX1_BIN)/@run .PHONY: $(DIST_QA_MSX1_BIN)/@run $(DIST_QA_MSX1_BIN)/@run: | $(DIST_QA_MSX1_DEPS) $(call mb_autoexec_show_gui40,$(DIST_QA_MSX1_BIN)) $(call mb_openmsx_dosctl,$(DIST_QA_MSX1_BIN),$(DIST_QA_MSX1_MACHINE)) +BUILD_HELP += \\n\\t* $(DIST_QA_MSX1_BIN)/@assert .PHONY: $(DIST_QA_MSX1_BIN)/@assert $(DIST_QA_MSX1_BIN)/@assert: | $(DIST_QA_MSX1_DEPS) $(call mb_delete,$(DIST_QA_MSX1_BIN)/ahello1.out) diff --git a/src/dist-qa-msxhub/0module.mk b/src/dist-qa-msxhub/0module.mk index 5610dfb..e3145ad 100644 --- a/src/dist-qa-msxhub/0module.mk +++ b/src/dist-qa-msxhub/0module.mk @@ -3,8 +3,7 @@ DIST_QA_MSXHUB_MACHINE ?= Boosted_MSX2+_JP DIST_QA_MSXHUB_MOD := dist-qa-msxhub DIST_QA_MSXHUB_SRC := $(PATH_SRC)/$(DIST_QA_MSXHUB_MOD) DIST_QA_MSXHUB_BIN := $(PATH_BIN)/$(DIST_QA_MSXHUB_MOD) -DIST_QA_MSXHUB_DEPS := $(subst dist,$(DIST_QA_MSXHUB_MOD),$(DIST_DEPS)) -BUILD_HELP += \\n\\t* $(DIST_QA_MSXHUB_BIN)/@run\\n\\t* $(DIST_QA_MSXHUB_BIN)/@assert +DIST_QA_MSXHUB_DEPS := $(subst dist,$(DIST_QA_MSXHUB_MOD),$(DIST_DEPS)) $(DIST_QA_MSXHUB_BIN): $(call mb_mkdir,$(DIST_QA_MSXHUB_BIN)) @@ -34,11 +33,13 @@ $(DIST_QA_MSXHUB_BIN): $(DIST_QA_MSXHUB_BIN)/%: $(DIST_BIN)/% | $(DIST_QA_MSXHUB_BIN) $(DIST_DEPS) $(call mb_copy,$<,$@) +BUILD_HELP += \\n\\t* $(DIST_QA_MSXHUB_BIN)/@run .PHONY: $(DIST_QA_MSXHUB_BIN)/@run $(DIST_QA_MSXHUB_BIN)/@run: | $(DIST_QA_MSXHUB_DEPS) $(call mb_autoexec_show_gui80,$(DIST_QA_MSXHUB_BIN),dir/w) $(call mb_openmsx_dosctl,$(DIST_QA_MSXHUB_BIN),$(DIST_QA_MSXHUB_BIN_MACHINE)) +BUILD_HELP += \\n\\t* $(DIST_QA_MSXHUB_BIN)/@assert .PHONY: $(DIST_QA_MSXHUB_BIN)/@assert $(DIST_QA_MSXHUB_BIN)/@assert: | $(DIST_QA_MSXHUB_DEPS) $(call mb_delete,$(DIST_QA_MSXHUB_BIN)/ahello1.out) diff --git a/src/dist/0module.mk b/src/dist/0module.mk index 166fc57..08fe79f 100644 --- a/src/dist/0module.mk +++ b/src/dist/0module.mk @@ -4,7 +4,6 @@ DIST_SRC := $(PATH_SRC)/$(DIST_MOD) DIST_BIN := $(PATH_BIN)/$(DIST_MOD) DIST_OUT := $(PATH_BIN)/$(DIST_MOD).tar.gz DIST_DEPS := $(DIST_BIN)/readme.txt $(DIST_BIN)/ahello1.com $(DIST_BIN)/ahello2.com -BUILD_HELP += \\n\\t* $(DIST_BIN)/@prepare\\n\\t* $(DIST_OUT) $(DIST_BIN): $(call mb_mkdir,$(DIST_BIN)) @@ -18,8 +17,10 @@ $(DIST_BIN)/ahello1.com: bin/ahello-m80/ahello.com | $(DIST_BIN) $(DIST_BIN)/ahello2.com: bin/ahello-sdcc/ahello.com | $(DIST_BIN) $(call mb_copy,$<,$@) +BUILD_HELP += \\n\\t* $(DIST_BIN)/@prepare .PHONY: $(DIST_BIN)/@prepare $(DIST_BIN)/@prepare: | $(DIST_DEPS) +BUILD_HELP += \\n\\t* $(DIST_OUT) $(DIST_OUT): | $(DIST_BIN)/@prepare $(call mb_create_dist,$(DIST_BIN),$@) From 8680c88c29fdf73d93ed41516c1a7efd3f1734cd Mon Sep 17 00:00:00 2001 From: Willem Date: Sun, 30 Jun 2024 05:06:56 +0200 Subject: [PATCH 075/274] Fixed white space. --- src/ahello-m80/0module.mk | 2 +- src/dist/0module.mk | 4 ++-- 2 files changed, 3 insertions(+), 3 deletions(-) diff --git a/src/ahello-m80/0module.mk b/src/ahello-m80/0module.mk index 18c4384..32415de 100644 --- a/src/ahello-m80/0module.mk +++ b/src/ahello-m80/0module.mk @@ -15,7 +15,7 @@ $(AHELLO_M80_BIN)/%.mac: $(AHELLO_M80_SRC)/%.mac | $(AHELLO_M80_BIN) $(AHELLO_M80_BIN)/ahello.rel: $(AHELLO_M80_BIN)/ahello.mac $(call mb_autoexec_safe_cmd,$(AHELLO_M80_BIN),m80 =ahello/Z) $(call mb_openmsx_dosctl,$(AHELLO_M80_BIN)) - + $(AHELLO_M80_BIN)/ahello.hex: $(AHELLO_M80_BIN)/ahello.rel $(call mb_autoexec_safe_cmd,$(AHELLO_M80_BIN),l80 ahello$(MB_COMMA)ahello/N/X/Y/E) $(call mb_openmsx_dosctl,$(AHELLO_M80_BIN)) diff --git a/src/dist/0module.mk b/src/dist/0module.mk index 08fe79f..191adac 100644 --- a/src/dist/0module.mk +++ b/src/dist/0module.mk @@ -7,10 +7,10 @@ DIST_DEPS := $(DIST_BIN)/readme.txt $(DIST_BIN)/ahello1.com $(DIST_BIN)/ahello2. $(DIST_BIN): $(call mb_mkdir,$(DIST_BIN)) - + $(DIST_BIN)/readme.txt: $(DIST_SRC)/readme.txt | $(DIST_BIN) $(call mb_unix2dos,$<,$@) - + $(DIST_BIN)/ahello1.com: bin/ahello-m80/ahello.com | $(DIST_BIN) $(call mb_copy,$<,$@) From d171b1b5fbf6c017d97f5949f7ea845910c71aa4 Mon Sep 17 00:00:00 2001 From: Willem Date: Sun, 30 Jun 2024 05:32:02 +0200 Subject: [PATCH 076/274] Fixed missing root path. --- lib/make/mb_autoexec.mk | 3 +-- 1 file changed, 1 insertion(+), 2 deletions(-) diff --git a/lib/make/mb_autoexec.mk b/lib/make/mb_autoexec.mk index f7a146e..77cc7c7 100644 --- a/lib/make/mb_autoexec.mk +++ b/lib/make/mb_autoexec.mk @@ -55,8 +55,7 @@ define mb_autoexec_write_default $(if $(filter on,$(MB_FLIGHT_VIDEO)),$(call mb_autoexec_append_save_video,$(1))) $(call mb_autoexec_append_cmd,$(1),omsxctl fail_after $(MB_AUTOEXEC_STARTUP_TIMEOUT) seconds failed_exec $(MB_AUTOEXEC_STARTUP_EXITCODE)) $(if $(filter on,$(MB_AUTOEXEC_VERSION)),$(call mb_autoexec_append_cmd,$(1),ver)) - $(if $(wildcard $(1)/utils),$(call mb_autoexec_append_cmd,$(1),PATH + A:\)) - $(if $(wildcard $(1)/utils),$(call mb_autoexec_append_cmd,$(1),PATH + A:\UTILS)) + $(if $(wildcard $(1)/utils),$(call mb_autoexec_append_cmd,$(1),PATH + A:\; A:\UTILS)) $(if $(wildcard $(1)/utils),$(call mb_autoexec_append_cmd,$(1),PATH)) $(if $(filter on,$(MB_AUTOEXEC_VERSION)),$(call mb_autoexec_append_cmd,$(1),omsxctl boot_exec_config_info)) $(call mb_autoexec_append_echo,$(1),Run folder $(1)) From 3cb8d3f981c7c1cfde028037fbbff7225b6e975b Mon Sep 17 00:00:00 2001 From: Willem Date: Sun, 30 Jun 2024 05:51:26 +0200 Subject: [PATCH 077/274] Fixed msxhub machine override. --- README.md | 3 +++ src/dist-qa-msxhub/0module.mk | 4 ++-- 2 files changed, 5 insertions(+), 2 deletions(-) diff --git a/README.md b/README.md index 4c69de4..5847a17 100644 --- a/README.md +++ b/README.md @@ -73,6 +73,9 @@ When you want to see whats happening do a debug run; build parallel; time make -s -j4 assert-all real 0m1.817s + + manual test msxhub on machine; + make DIST_QA_MSXHUB_MACHINE=Philips_VG_8000 bin/dist-qa-msxhub/@run ## Functions diff --git a/src/dist-qa-msxhub/0module.mk b/src/dist-qa-msxhub/0module.mk index e3145ad..0a85e89 100644 --- a/src/dist-qa-msxhub/0module.mk +++ b/src/dist-qa-msxhub/0module.mk @@ -37,7 +37,7 @@ BUILD_HELP += \\n\\t* $(DIST_QA_MSXHUB_BIN)/@run .PHONY: $(DIST_QA_MSXHUB_BIN)/@run $(DIST_QA_MSXHUB_BIN)/@run: | $(DIST_QA_MSXHUB_DEPS) $(call mb_autoexec_show_gui80,$(DIST_QA_MSXHUB_BIN),dir/w) - $(call mb_openmsx_dosctl,$(DIST_QA_MSXHUB_BIN),$(DIST_QA_MSXHUB_BIN_MACHINE)) + $(call mb_openmsx_dosctl,$(DIST_QA_MSXHUB_BIN),$(DIST_QA_MSXHUB_MACHINE)) BUILD_HELP += \\n\\t* $(DIST_QA_MSXHUB_BIN)/@assert .PHONY: $(DIST_QA_MSXHUB_BIN)/@assert @@ -51,7 +51,7 @@ $(DIST_QA_MSXHUB_BIN)/@assert: | $(DIST_QA_MSXHUB_DEPS) $(call mb_autoexec_append_safe_test,$(DIST_QA_MSXHUB_BIN),dir utils > utils.out) $(call mb_autoexec_append_cmd,$(DIST_QA_MSXHUB_BIN),dir/w) $(call mb_autoexec_append_exit,$(DIST_QA_MSXHUB_BIN)) - $(call mb_openmsx_dosctl,$(DIST_QA_MSXHUB_BIN),$(DIST_QA_MSXHUB_BIN_MACHINE)) + $(call mb_openmsx_dosctl,$(DIST_QA_MSXHUB_BIN),$(DIST_QA_MSXHUB_MACHINE)) grep "M80: Hello world..." $(DIST_QA_MSXHUB_BIN)/ahello1.out grep "SDCC: Hello world..." $(DIST_QA_MSXHUB_BIN)/ahello2.out grep "KONPASS COM" $(DIST_QA_MSXHUB_BIN)/utils.out From c9b78d57c435f745ebf0f2e90493507ce68934a8 Mon Sep 17 00:00:00 2001 From: Willem Date: Sun, 30 Jun 2024 12:50:51 +0200 Subject: [PATCH 078/274] Fixed HX-21 rom target name. --- lib/make/mb_msxrom.mk | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/lib/make/mb_msxrom.mk b/lib/make/mb_msxrom.mk index 24121a7..a991e66 100644 --- a/lib/make/mb_msxrom.mk +++ b/lib/make/mb_msxrom.mk @@ -94,7 +94,7 @@ define mb_msxrom_machine_Philips_NMS_8250 $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/philips/nms8250_disk.rom) endef -define mb_msxrom_machine_Toshiba_HX-21.xml +define mb_msxrom_machine_Toshiba_HX-21 $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/toshiba/HX21-IC2.BIN) $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/toshiba/HX21-IC3.BIN) endef From ab02b5417534e34a4d5b05034a0c137b6a9b3bae Mon Sep 17 00:00:00 2001 From: Willem Date: Sun, 30 Jun 2024 13:52:33 +0200 Subject: [PATCH 079/274] Renamed tcl scritps. --- lib/make/mb_autoexec.mk | 6 +- lib/make/mb_openmsx.mk | 10 +-- .../scripts/{boot_mode.tcl => headless.tcl} | 6 +- lib/openmsx/share/scripts/night_flight.tcl | 63 +++++++++++++++++++ lib/openmsx/share/scripts/save_flight.tcl | 63 ------------------- 5 files changed, 74 insertions(+), 74 deletions(-) rename lib/openmsx/share/scripts/{boot_mode.tcl => headless.tcl} (93%) create mode 100644 lib/openmsx/share/scripts/night_flight.tcl delete mode 100644 lib/openmsx/share/scripts/save_flight.tcl diff --git a/lib/make/mb_autoexec.mk b/lib/make/mb_autoexec.mk index 77cc7c7..d6e4525 100644 --- a/lib/make/mb_autoexec.mk +++ b/lib/make/mb_autoexec.mk @@ -17,7 +17,7 @@ define mb_autoexec_append_rem $(call mb_autoexec_append_cmd,$(1),rem $(2)) endef define mb_autoexec_append_show_gui - $(call mb_autoexec_append_cmd,$(1),omsxctl boot_mode_show_gui) + $(call mb_autoexec_append_cmd,$(1),omsxctl headless_show_gui) endef define mb_autoexec_append_stop_fail $(call mb_autoexec_append_cmd,$(1),omsxctl fail_after 0) @@ -30,10 +30,10 @@ define mb_autoexec_append_joyporta_mouse $(call mb_autoexec_append_cmd,$(1),omsxctl plug joyporta mouse) endef define mb_autoexec_append_save_screenshot - $(call mb_autoexec_append_cmd,$(1),omsxctl save_flight_screenshot $(2)) + $(call mb_autoexec_append_cmd,$(1),omsxctl night_flight_save_screenshot $(2)) endef define mb_autoexec_append_save_video - $(call mb_autoexec_append_cmd,$(1),omsxctl save_flight_video $(2)) + $(call mb_autoexec_append_cmd,$(1),omsxctl night_flight_save_video $(2)) endef define mb_autoexec_append_safe_test $(call mb_autoexec_append_cmd,$(1),omsxctl fail_after $(if $(3),$(3),$(MB_AUTOEXEC_TEST_TIMEOUT)) seconds failed_test $(if $(4),$(4),$(MB_AUTOEXEC_TEST_EXITCODE))) diff --git a/lib/make/mb_openmsx.mk b/lib/make/mb_openmsx.mk index 4cb3a75..e29f4f5 100644 --- a/lib/make/mb_openmsx.mk +++ b/lib/make/mb_openmsx.mk @@ -21,9 +21,9 @@ define mb_openmsx_setup $(if $(wildcard $(1)-omsx/share/scripts),,$(call mb_mkdir,$(1)-omsx/share/scripts)) $(if $(wildcard $(1)-omsx/share/scripts/boot_exec.tcl),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/openmsx/share/scripts/boot_exec.tcl,$(1)-omsx/share/scripts)) $(if $(wildcard $(1)-omsx/share/scripts/boot_hdd.tcl),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/openmsx/share/scripts/boot_hdd.tcl,$(1)-omsx/share/scripts)) - $(if $(wildcard $(1)-omsx/share/scripts/boot_mode.tcl),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/openmsx/share/scripts/boot_mode.tcl,$(1)-omsx/share/scripts)) $(if $(wildcard $(1)-omsx/share/scripts/fail_after.tcl),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/openmsx/share/scripts/fail_after.tcl,$(1)-omsx/share/scripts)) - $(if $(wildcard $(1)-omsx/share/scripts/save_flight.tcl),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/openmsx/share/scripts/save_flight.tcl,$(1)-omsx/share/scripts)) + $(if $(wildcard $(1)-omsx/share/scripts/headless.tcl),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/openmsx/share/scripts/headless.tcl,$(1)-omsx/share/scripts)) + $(if $(wildcard $(1)-omsx/share/scripts/night_flight.tcl),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/openmsx/share/scripts/night_flight.tcl,$(1)-omsx/share/scripts)) $(if $(wildcard $(1)-omsx/share/scripts/omsxctl.tcl),,$(call mb_msxhub_file,$(1)-omsx/share/scripts,OMSXCTL/1.0-1/get/OMSXCTL/omsxctl.tcl)) $(if $(wildcard $(1)-omsx/share/extensions),,$(call mb_mkdir,$(1)-omsx/share/extensions)) $(if $(wildcard $(1)-omsx/share/extensions/ide-nextor.xml),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/openmsx/share/extensions/ide-nextor.xml,$(1)-omsx/share/extensions)) @@ -43,9 +43,9 @@ define _mb_openmsx_run HEADLESS=$(MB_OPENMSX_HEADLESS) \ RENDERER=$(MB_OPENMSX_RENDERER) \ THROTTLE=$(MB_OPENMSX_THROTTLE) \ - SAVE_FLIGHT_PREFIX=$(MB_FLIGHT_PREFIX) \ - SAVE_FLIGHT_SEPERATOR=$(MB_FLIGHT_SEPERATOR) \ - SAVE_FLIGHT_RECORD_FLAG=$(MB_FLIGHT_RECORD_FLAG) \ + NF_PREFIX=$(MB_FLIGHT_PREFIX) \ + NF_SEPERATOR=$(MB_FLIGHT_SEPERATOR) \ + NF_RECORD_FLAG=$(MB_FLIGHT_RECORD_FLAG) \ OPENMSX_HOME="$(1)-omsx" \ $(PATH_OPENMSX)/openmsx \ -machine $(2) \ diff --git a/lib/openmsx/share/scripts/boot_mode.tcl b/lib/openmsx/share/scripts/headless.tcl similarity index 93% rename from lib/openmsx/share/scripts/boot_mode.tcl rename to lib/openmsx/share/scripts/headless.tcl index fa56fef..d938696 100644 --- a/lib/openmsx/share/scripts/boot_mode.tcl +++ b/lib/openmsx/share/scripts/headless.tcl @@ -1,10 +1,10 @@ -# boot_mode -- Controls the boot mode config of openMSX from environment variables. +# headless -- Controls the boot mode config of openMSX from environment variables. # # Typically used in automation tools which run openMSX without human interaction. # # Adds the following command to openMSX; # -# 'boot_mode_show_gui' +# 'headless_show_gui' # Enables the renderer and throttle from the inside. # # Supported environment variables by this script; @@ -27,7 +27,7 @@ set boot_env_renderer_type 0 -proc boot_mode_show_gui {} { +proc headless_show_gui {} { global renderer global throttle global boot_env_renderer_type diff --git a/lib/openmsx/share/scripts/night_flight.tcl b/lib/openmsx/share/scripts/night_flight.tcl new file mode 100644 index 0000000..cbe9fc5 --- /dev/null +++ b/lib/openmsx/share/scripts/night_flight.tcl @@ -0,0 +1,63 @@ +# night_flight -- Setup the travel for night flight black box recorder for build pipelines. +# +# Adds the following command to openMSX; +# +# 'night_flight_save_screenshot' +# Takes an screenshot with optional prefix parameter. +# +# 'night_flight_save_video' +# Starts video recording with optional prefix parameter. +# +# Supported environment variables by this script; +# +# NF_PREFIX=msxbuild +# Gives screenshots and videos an prefix; msxbuild0001.avi +# +# NF_SEPERATOR=- +# Gives screenshots and videos an seperator; openmsx-0001.png +# +# NF_RECORD_FLAG=-doublesize +# Flag given to the video record command. +# + +set night_flight_prefix flight +set night_flight_seperator - +set night_flight_record_flag "" + +proc night_flight_save_screenshot {{prefix_id 0}} { + global night_flight_prefix + global night_flight_seperator + if {$prefix_id != 0} { + set file_prefix "$prefix_id$night_flight_seperator" + } else { + set file_prefix "$night_flight_prefix$night_flight_seperator" + } + screenshot -prefix $file_prefix + return "Flight screenshot saved" +} + +proc night_flight_save_video {{prefix_id 0}} { + global night_flight_prefix + global night_flight_seperator + global night_flight_record_flag + if {$prefix_id != 0} { + set file_prefix "$prefix_id$night_flight_seperator" + } else { + set file_prefix "$night_flight_prefix$night_flight_seperator" + } + after quit "record stop" + after time 1 "record start $night_flight_record_flag -prefix $file_prefix" + return "Flight video started" +} + +if {[info exists ::env(NF_PREFIX)] && ([string trim $::env(NF_PREFIX)] != "")} { + set night_flight_prefix [string trim $::env(NF_PREFIX)] +} + +if {[info exists ::env(NF_SEPERATOR)] && ([string trim $::env(NF_SEPERATOR)] != "")} { + set night_flight_seperator [string trim $::env(NF_SEPERATOR)] +} + +if {[info exists ::env(NF_RECORD_FLAG)] && ([string trim $::env(NF_RECORD_FLAG)] != "")} { + set night_flight_record_flag [string trim $::env(NF_RECORD_FLAG)] +} diff --git a/lib/openmsx/share/scripts/save_flight.tcl b/lib/openmsx/share/scripts/save_flight.tcl deleted file mode 100644 index ff411b2..0000000 --- a/lib/openmsx/share/scripts/save_flight.tcl +++ /dev/null @@ -1,63 +0,0 @@ -# safe_flight -- Setup the black box flight recorder for build pipelines. -# -# Adds the following command to openMSX; -# -# 'save_flight_screenshot' -# Takes an screenshot with optional prefix parameter. -# -# 'save_flight_video' -# Starts video recording with optional prefix parameter. -# -# Supported environment variables by this script; -# -# SAVE_FLIGHT_PREFIX=msxbuild -# Gives screenshots and videos an prefix; msxbuild0001.avi -# -# SAVE_FLIGHT_SEPERATOR=- -# Gives screenshots and videos an seperator; openmsx-0001.png -# -# SAVE_FLIGHT_RECORD_FLAG=-doublesize -# Flag given to the video record command. -# - -set save_flight_prefix flight -set save_flight_seperator - -set save_flight_record_flag "" - -proc save_flight_screenshot {{prefix_id 0}} { - global save_flight_prefix - global save_flight_seperator - if {$prefix_id != 0} { - set file_prefix "$prefix_id$save_flight_seperator" - } else { - set file_prefix "$save_flight_prefix$save_flight_seperator" - } - screenshot -prefix $file_prefix - return "Flight screenshot saved" -} - -proc save_flight_video {{prefix_id 0}} { - global save_flight_prefix - global save_flight_seperator - global save_flight_record_flag - if {$prefix_id != 0} { - set file_prefix "$prefix_id$save_flight_seperator" - } else { - set file_prefix "$save_flight_prefix$save_flight_seperator" - } - after quit "record stop" - after time 1 "record start $save_flight_record_flag -prefix $file_prefix" - return "Flight video started" -} - -if {[info exists ::env(SAVE_FLIGHT_PREFIX)] && ([string trim $::env(SAVE_FLIGHT_PREFIX)] != "")} { - set save_flight_prefix [string trim $::env(SAVE_FLIGHT_PREFIX)] -} - -if {[info exists ::env(SAVE_FLIGHT_SEPERATOR)] && ([string trim $::env(SAVE_FLIGHT_SEPERATOR)] != "")} { - set save_flight_seperator [string trim $::env(SAVE_FLIGHT_SEPERATOR)] -} - -if {[info exists ::env(SAVE_FLIGHT_RECORD_FLAG)] && ([string trim $::env(SAVE_FLIGHT_RECORD_FLAG)] != "")} { - set save_flight_record_flag [string trim $::env(SAVE_FLIGHT_RECORD_FLAG)] -} From 94f532ffa9fd011bbfdd87af24b4fb14b2770e72 Mon Sep 17 00:00:00 2001 From: Willem Date: Sun, 30 Jun 2024 13:56:18 +0200 Subject: [PATCH 080/274] Moved normal exit to headless control script. --- lib/make/mb_autoexec.mk | 2 +- lib/openmsx/share/scripts/boot_exec.tcl | 8 -------- lib/openmsx/share/scripts/headless.tcl | 8 ++++++++ 3 files changed, 9 insertions(+), 9 deletions(-) diff --git a/lib/make/mb_autoexec.mk b/lib/make/mb_autoexec.mk index d6e4525..0774715 100644 --- a/lib/make/mb_autoexec.mk +++ b/lib/make/mb_autoexec.mk @@ -24,7 +24,7 @@ define mb_autoexec_append_stop_fail endef define mb_autoexec_append_exit $(if $(filter on,$(MB_FLIGHT_SCREEN)),$(call mb_autoexec_append_save_screenshot,$(1))) - $(call mb_autoexec_append_cmd,$(1),omsxctl boot_exec_exit) + $(call mb_autoexec_append_cmd,$(1),omsxctl headless_exit) endef define mb_autoexec_append_joyporta_mouse $(call mb_autoexec_append_cmd,$(1),omsxctl plug joyporta mouse) diff --git a/lib/openmsx/share/scripts/boot_exec.tcl b/lib/openmsx/share/scripts/boot_exec.tcl index 7529c19..1663d60 100644 --- a/lib/openmsx/share/scripts/boot_exec.tcl +++ b/lib/openmsx/share/scripts/boot_exec.tcl @@ -2,9 +2,6 @@ # # Adds the following command to openMSX; # -# 'boot_exec_exit' -# Requested delayed shutdown of openMSX. -# # 'boot_exec_color_dark' # Sets the VDP colors to dark color tones. # @@ -12,11 +9,6 @@ # Displays machine and openMSX version on one line. # -proc boot_exec_exit {} { - after time 1 "exit 0" - return "Shutdown openMSX" -} - proc boot_exec_color_dark {} { setcolor 4 000 setcolor 15 777 diff --git a/lib/openmsx/share/scripts/headless.tcl b/lib/openmsx/share/scripts/headless.tcl index d938696..f8ed69e 100644 --- a/lib/openmsx/share/scripts/headless.tcl +++ b/lib/openmsx/share/scripts/headless.tcl @@ -4,6 +4,9 @@ # # Adds the following command to openMSX; # +# 'headless_exit' +# A normal exit of the openMSX emulator. +# # 'headless_show_gui' # Enables the renderer and throttle from the inside. # @@ -27,6 +30,11 @@ set boot_env_renderer_type 0 +proc headless_exit {} { + after time 1 "exit 0" + return "Shutdown openMSX" +} + proc headless_show_gui {} { global renderer global throttle From c37fa07f0b8951dc12a8fb21ebbf51b4f89f113f Mon Sep 17 00:00:00 2001 From: Willem Date: Sun, 30 Jun 2024 14:23:28 +0200 Subject: [PATCH 081/274] Renamed boot hdd to fire hdd. --- lib/make/mb_openmsx.mk | 8 +- lib/openmsx/share/scripts/boot_hdd.tcl | 128 ------------------------- lib/openmsx/share/scripts/fire_hdd.tcl | 128 +++++++++++++++++++++++++ 3 files changed, 132 insertions(+), 132 deletions(-) delete mode 100644 lib/openmsx/share/scripts/boot_hdd.tcl create mode 100644 lib/openmsx/share/scripts/fire_hdd.tcl diff --git a/lib/make/mb_openmsx.mk b/lib/make/mb_openmsx.mk index e29f4f5..b69d699 100644 --- a/lib/make/mb_openmsx.mk +++ b/lib/make/mb_openmsx.mk @@ -20,8 +20,8 @@ define mb_openmsx_setup $(if $(wildcard $(1)-omsx/share/settings.xml),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/openmsx/share/settings.xml,$(1)-omsx/share)) $(if $(wildcard $(1)-omsx/share/scripts),,$(call mb_mkdir,$(1)-omsx/share/scripts)) $(if $(wildcard $(1)-omsx/share/scripts/boot_exec.tcl),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/openmsx/share/scripts/boot_exec.tcl,$(1)-omsx/share/scripts)) - $(if $(wildcard $(1)-omsx/share/scripts/boot_hdd.tcl),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/openmsx/share/scripts/boot_hdd.tcl,$(1)-omsx/share/scripts)) $(if $(wildcard $(1)-omsx/share/scripts/fail_after.tcl),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/openmsx/share/scripts/fail_after.tcl,$(1)-omsx/share/scripts)) + $(if $(wildcard $(1)-omsx/share/scripts/fire_hdd.tcl),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/openmsx/share/scripts/fire_hdd.tcl,$(1)-omsx/share/scripts)) $(if $(wildcard $(1)-omsx/share/scripts/headless.tcl),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/openmsx/share/scripts/headless.tcl,$(1)-omsx/share/scripts)) $(if $(wildcard $(1)-omsx/share/scripts/night_flight.tcl),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/openmsx/share/scripts/night_flight.tcl,$(1)-omsx/share/scripts)) $(if $(wildcard $(1)-omsx/share/scripts/omsxctl.tcl),,$(call mb_msxhub_file,$(1)-omsx/share/scripts,OMSXCTL/1.0-1/get/OMSXCTL/omsxctl.tcl)) @@ -35,9 +35,9 @@ endef define _mb_openmsx_run @echo === openMSX Start for $@ BOOT_WATCHDOG=$(MB_OPENMSX_BOOT_TIMEOUT) \ - BOOT_HDD_PATH="$(1)" \ - BOOT_HDD_SIZE=$(MB_OPENMSX_HDD_SIZE) \ - BOOT_HDD_IMAGE="$(1)-omsx/persistent/ide-nextor/untitled1/hd.dsk" \ + FIRE_HDD_PATH="$(1)" \ + FIRE_HDD_SIZE=$(MB_OPENMSX_HDD_SIZE) \ + FIRE_HDD_IMAGE="$(1)-omsx/persistent/ide-nextor/untitled1/hd.dsk" \ SCALE_FACTOR=$(MB_OPENMSX_SCALE_FACTOR) \ SPEED=$(MB_OPENMSX_SPEED) \ HEADLESS=$(MB_OPENMSX_HEADLESS) \ diff --git a/lib/openmsx/share/scripts/boot_hdd.tcl b/lib/openmsx/share/scripts/boot_hdd.tcl deleted file mode 100644 index 43dc5e6..0000000 --- a/lib/openmsx/share/scripts/boot_hdd.tcl +++ /dev/null @@ -1,128 +0,0 @@ -# boot_hdd -- Create import/export disk images to local folder. -# -# Typically used in automation tools which run openMSX without human interaction. -# This script is basicly is a bit more generic and safe way to run the following code; -# -# diskmanipulator create disk.img 32m 32m 32m 32m -# hda disk.img -# diskmanipulator import hda1 ./disk-in/ -# after quit {diskmanipulator export hda1 ./disk-out/} -# -# Supported environment variables by this script; -# -# BOOT_HDD_SIZE=30m -# Sets the size of the created partitions, defaults to 15m for dos1. -# -# BOOT_HDD_IMAGE=bin/myapp/dsk.img -# Defaults to ./hdd.dsk -# -# BOOT_HDD_PATH=bin/myapp/dsk -# provides the default values for BOOT_HDD_PATH_IMPORT and BOOT_HDD_PATH_EXPORT -# -# BOOT_HDD_PATH_IMPORT=bin/myapp/dsk -# When set enables the import of all files into the first disk partition. -# -# BOOT_HDD_PATH_EXPORT=bin/myapp/dsk-result -# When set enables the export of all files back to the filesystem -# -# BOOT_HDD_EXPORT_PARTITION=2 -# When set override the export from 'first' to 'given' partition number. -# -# BOOT_HDD_EXPORT_DIR=myout -# By default export does chdir to root of msx partition override to custom export directory. -# -# BOOT_HDD_PARTITIONS=2 -# The number of partitions created in the disk image, defaults to 1. -# - -set boot_hdd_size 15m -set boot_hdd_image hdd.dsk -set boot_hdd_path_import 0 -set boot_hdd_path_export 0 -set boot_hdd_export_partition 0 -set boot_hdd_export_dir \\ -set boot_hdd_partitions 1 - -if {[info exists ::env(BOOT_HDD_SIZE)] && ([string trim $::env(BOOT_HDD_SIZE)] != "")} { - set boot_hdd_size [string trim $::env(BOOT_HDD_SIZE)] -} -if {[info exists ::env(BOOT_HDD_IMAGE)] && ([string trim $::env(BOOT_HDD_IMAGE)] != "")} { - set boot_hdd_image [string trim $::env(BOOT_HDD_IMAGE)] -} -if {[info exists ::env(BOOT_HDD_PATH)] && ([string trim $::env(BOOT_HDD_PATH)] != "")} { - set boot_hdd_path_import [string trim $::env(BOOT_HDD_PATH)] - set boot_hdd_path_export [string trim $::env(BOOT_HDD_PATH)] -} -if {[info exists ::env(BOOT_HDD_PATH_IMPORT)] && ([string trim $::env(BOOT_HDD_PATH_IMPORT)] != "")} { - set boot_hdd_path_import [string trim $::env(BOOT_HDD_PATH_IMPORT)] -} -if {[info exists ::env(BOOT_HDD_PATH_EXPORT)] && ([string trim $::env(BOOT_HDD_PATH_EXPORT)] != "")} { - set boot_hdd_path_export [string trim $::env(BOOT_HDD_PATH_EXPORT)] -} -if {[info exists ::env(BOOT_HDD_EXPORT_PARTITION)] && ([string trim $::env(BOOT_HDD_EXPORT_PARTITION)] != "")} { - set boot_hdd_export_partition [string trim $::env(BOOT_HDD_EXPORT_PARTITION)] -} -if {[info exists ::env(BOOT_HDD_EXPORT_DIR)] && ([string trim $::env(BOOT_HDD_EXPORT_DIR)] != "")} { - set boot_hdd_export_dir [string trim $::env(BOOT_HDD_EXPORT_DIR)] -} -if {[info exists ::env(BOOT_HDD_PARTITIONS)] && ([string trim $::env(BOOT_HDD_PARTITIONS)] != "")} { - set boot_hdd_partitions [string trim $::env(BOOT_HDD_PARTITIONS)] - if {$boot_hdd_partitions == 0 || $boot_hdd_partitions > 4} { - puts stderr "error: Invalid env.BOOT_HDD_PARTITIONS value 1-4 allowed: $boot_hdd_partitions" - exit 1 - } -} - -if {$boot_hdd_path_import != 0} { - set boot_hdd_disk_partition "hda" - if {$boot_hdd_partitions == 1} { - if {[catch {diskmanipulator create $boot_hdd_image $boot_hdd_size} err_msg]} { - puts stderr "error: create1 $err_msg" - exit 1 - } - } - if {$boot_hdd_partitions > 1} { - set boot_hdd_disk_partition "hda1" - if {$boot_hdd_partitions == 2} { - if {[catch {diskmanipulator create $boot_hdd_image $boot_hdd_size $boot_hdd_size} err_msg]} { - puts stderr "error: create2 $err_msg" - exit 1 - } - } - if {$boot_hdd_partitions == 3} { - if {[catch {diskmanipulator create $boot_hdd_image $boot_hdd_size $boot_hdd_size $boot_hdd_size} err_msg]} { - puts stderr "error: create3 $err_msg" - exit 1 - } - } - if {$boot_hdd_partitions == 4} { - if {[catch {diskmanipulator create $boot_hdd_image $boot_hdd_size $boot_hdd_size $boot_hdd_size $boot_hdd_size} err_msg]} { - puts stderr "error: create4 $err_msg" - exit 1 - } - } - } - if {[catch {hda $boot_hdd_image} err_msg]} { - puts stderr "error: hda $err_msg" - exit 1 - } - if {[catch {diskmanipulator import $boot_hdd_disk_partition $boot_hdd_path_import} err_msg]} { - puts stderr "error: import $err_msg" - exit 1 - } - if {$boot_hdd_path_export != 0} { - if {$boot_hdd_export_partition != 0} { - set boot_hdd_disk_partition "hda$boot_hdd_export_partition" - } - after quit { - if {[catch {diskmanipulator chdir $boot_hdd_disk_partition $boot_hdd_export_dir} err_msg]} { - puts stderr "error: chdir $err_msg" - exit 1 - } - if {[catch {diskmanipulator export $boot_hdd_disk_partition $boot_hdd_path_export} err_msg]} { - puts stderr "error: export $err_msg" - exit 1 - } - } - } -} diff --git a/lib/openmsx/share/scripts/fire_hdd.tcl b/lib/openmsx/share/scripts/fire_hdd.tcl new file mode 100644 index 0000000..5bca198 --- /dev/null +++ b/lib/openmsx/share/scripts/fire_hdd.tcl @@ -0,0 +1,128 @@ +# fire_hdd -- Automatic fire hard disk drive which does import/export to local folder. +# +# Typically used in automation tools which run openMSX without human interaction. +# This script is a complex, but safe form, of the following code; +# +# diskmanipulator create disk.img 32m 32m 32m 32m +# hda disk.img +# diskmanipulator import hda1 ./disk-in/ +# after quit {diskmanipulator export hda1 ./disk-out/} +# +# Supported environment variables by this script; +# +# FIRE_HDD_SIZE=30m +# Sets the size of the created partitions, defaults to 15m for dos1. +# +# FIRE_HDD_IMAGE=bin/myapp/dsk.img +# Defaults to ./hdd.dsk +# +# FIRE_HDD_PATH=bin/myapp/dsk +# provides the default values for FIRE_HDD_PATH_IMPORT and FIRE_HDD_PATH_EXPORT +# +# FIRE_HDD_PATH_IMPORT=bin/myapp/dsk +# When set enables the import of all files into the first disk partition. +# +# FIRE_HDD_PATH_EXPORT=bin/myapp/dsk-result +# When set enables the export of all files back to the file system +# +# FIRE_HDD_EXPORT_PARTITION=2 +# When set override the export from 'first' to 'given' partition number. +# +# FIRE_HDD_EXPORT_DIR=myout +# By default export does change directory to root of MSX partition override to custom export directory. +# +# FIRE_HDD_PARTITIONS=2 +# The number of partitions created in the disk image, defaults to 1. +# + +set fire_hdd_size 15m +set fire_hdd_image hdd.dsk +set fire_hdd_path_import 0 +set fire_hdd_path_export 0 +set fire_hdd_export_partition 0 +set fire_hdd_export_dir \\ +set fire_hdd_partitions 1 + +if {[info exists ::env(FIRE_HDD_SIZE)] && ([string trim $::env(FIRE_HDD_SIZE)] != "")} { + set fire_hdd_size [string trim $::env(FIRE_HDD_SIZE)] +} +if {[info exists ::env(FIRE_HDD_IMAGE)] && ([string trim $::env(FIRE_HDD_IMAGE)] != "")} { + set fire_hdd_image [string trim $::env(FIRE_HDD_IMAGE)] +} +if {[info exists ::env(FIRE_HDD_PATH)] && ([string trim $::env(FIRE_HDD_PATH)] != "")} { + set fire_hdd_path_import [string trim $::env(FIRE_HDD_PATH)] + set fire_hdd_path_export [string trim $::env(FIRE_HDD_PATH)] +} +if {[info exists ::env(FIRE_HDD_PATH_IMPORT)] && ([string trim $::env(FIRE_HDD_PATH_IMPORT)] != "")} { + set fire_hdd_path_import [string trim $::env(FIRE_HDD_PATH_IMPORT)] +} +if {[info exists ::env(FIRE_HDD_PATH_EXPORT)] && ([string trim $::env(FIRE_HDD_PATH_EXPORT)] != "")} { + set fire_hdd_path_export [string trim $::env(FIRE_HDD_PATH_EXPORT)] +} +if {[info exists ::env(FIRE_HDD_EXPORT_PARTITION)] && ([string trim $::env(FIRE_HDD_EXPORT_PARTITION)] != "")} { + set fire_hdd_export_partition [string trim $::env(FIRE_HDD_EXPORT_PARTITION)] +} +if {[info exists ::env(FIRE_HDD_EXPORT_DIR)] && ([string trim $::env(FIRE_HDD_EXPORT_DIR)] != "")} { + set fire_hdd_export_dir [string trim $::env(FIRE_HDD_EXPORT_DIR)] +} +if {[info exists ::env(FIRE_HDD_PARTITIONS)] && ([string trim $::env(FIRE_HDD_PARTITIONS)] != "")} { + set fire_hdd_partitions [string trim $::env(FIRE_HDD_PARTITIONS)] + if {$fire_hdd_partitions == 0 || $fire_hdd_partitions > 4} { + puts stderr "error: Invalid env.FIRE_HDD_PARTITIONS value 1-4 allowed: $fire_hdd_partitions" + exit 1 + } +} + +if {$fire_hdd_path_import != 0} { + set fire_hdd_disk_partition "hda" + if {$fire_hdd_partitions == 1} { + if {[catch {diskmanipulator create $fire_hdd_image $fire_hdd_size} err_msg]} { + puts stderr "error: create1 $err_msg" + exit 1 + } + } + if {$fire_hdd_partitions > 1} { + set fire_hdd_disk_partition "hda1" + if {$fire_hdd_partitions == 2} { + if {[catch {diskmanipulator create $fire_hdd_image $fire_hdd_size $fire_hdd_size} err_msg]} { + puts stderr "error: create2 $err_msg" + exit 1 + } + } + if {$fire_hdd_partitions == 3} { + if {[catch {diskmanipulator create $fire_hdd_image $fire_hdd_size $fire_hdd_size $fire_hdd_size} err_msg]} { + puts stderr "error: create3 $err_msg" + exit 1 + } + } + if {$fire_hdd_partitions == 4} { + if {[catch {diskmanipulator create $fire_hdd_image $fire_hdd_size $fire_hdd_size $fire_hdd_size $fire_hdd_size} err_msg]} { + puts stderr "error: create4 $err_msg" + exit 1 + } + } + } + if {[catch {hda $fire_hdd_image} err_msg]} { + puts stderr "error: hda $err_msg" + exit 1 + } + if {[catch {diskmanipulator import $fire_hdd_disk_partition $fire_hdd_path_import} err_msg]} { + puts stderr "error: import $err_msg" + exit 1 + } + if {$fire_hdd_path_export != 0} { + if {$fire_hdd_export_partition != 0} { + set fire_hdd_disk_partition "hda$fire_hdd_export_partition" + } + after quit { + if {[catch {diskmanipulator chdir $fire_hdd_disk_partition $fire_hdd_export_dir} err_msg]} { + puts stderr "error: chdir $err_msg" + exit 1 + } + if {[catch {diskmanipulator export $fire_hdd_disk_partition $fire_hdd_path_export} err_msg]} { + puts stderr "error: export $err_msg" + exit 1 + } + } + } +} From 6429459d72f31af2cd64111e02b7b36e37686957 Mon Sep 17 00:00:00 2001 From: Willem Date: Sun, 30 Jun 2024 14:55:48 +0200 Subject: [PATCH 082/274] Named self managed hdd device and started with docs. --- README.md | 46 +++++++++---------- lib/make/mb_openmsx.mk | 17 +++---- .../{ide-nextor.xml => fire-hdd.xml} | 8 ++-- 3 files changed, 34 insertions(+), 37 deletions(-) rename lib/openmsx/share/extensions/{ide-nextor.xml => fire-hdd.xml} (73%) diff --git a/README.md b/README.md index 5847a17..2610159 100644 --- a/README.md +++ b/README.md @@ -81,24 +81,24 @@ When you want to see whats happening do a debug run; Current set is WIP. -* mb_autoexec_append_cmd -* mb_autoexec_append_echo -* mb_autoexec_append_rem -* mb_autoexec_append_show_gui -* mb_autoexec_append_stop_fail -* mb_autoexec_append_exit -* mb_autoexec_append_joyporta_mouse -* mb_autoexec_append_save_screenshot -* mb_autoexec_append_save_video -* mb_autoexec_append_safe_test -* mb_autoexec_append_safe_cmd -* mb_autoexec_write_default -* mb_autoexec_show_gui40 -* mb_autoexec_show_gui40_mouse -* mb_autoexec_show_gui80 -* mb_autoexec_show_gui80_mouse -* mb_autoexec_safe_cmd -* mb_autoexec_safe_test +* mb_autoexec_append_cmd `` +* mb_autoexec_append_echo ` ` +* mb_autoexec_append_rem ` ` +* mb_autoexec_append_show_gui `` +* mb_autoexec_append_stop_fail `` +* mb_autoexec_append_exit `` +* mb_autoexec_append_joyporta_mouse `` +* mb_autoexec_append_save_screenshot ` [prefix]` +* mb_autoexec_append_save_video ` [prefix]` +* mb_autoexec_append_safe_test ` [timeout] [exit-code]` +* mb_autoexec_append_safe_cmd ` [timeout] [exit-code]` +* mb_autoexec_write_default ` [text-mode]` +* mb_autoexec_show_gui40 ` [cmd]` +* mb_autoexec_show_gui40_mouse ` [cmd]` +* mb_autoexec_show_gui80 ` [cmd]` +* mb_autoexec_show_gui80_mouse ` [cmd]` +* mb_autoexec_safe_cmd ` [text-mode]` +* mb_autoexec_safe_test ` [text-mode]` * mb_msxhub_file * mb_msxhub_get_dos1_boot * mb_msxhub_get_dos2_boot @@ -148,8 +148,8 @@ Current set is WIP. * mb_msxrom_machine_Panasonic_FS-A1WSX * mb_msxrom_machine_Boosted_MSX2_EN * mb_msxrom_machine_Boosted_MSX2+_JP -* mb_openmsx_setup -* mb_openmsx_dosctl +* mb_openmsx_setup ` ` +* mb_openmsx_dosctl ` [machine] [mem-argu]` * mb_sdcc_compile_asm * mb_sdcc_link_asm_lib * mb_sdcc_link_asm @@ -160,9 +160,9 @@ Current set is WIP. * mb_sdcc_link_asm_8000 * mb_sdcc_link_asm_C000 * mb_sdcc_link_asm_dos = calls mb_sdcc_link_asm_0100 -* mb_sdcc_hex2bin -* mb_clean -* mb_mkdir +* mb_sdcc_hex2bin ` ` +* mb_clean `` +* mb_mkdir `` * mb_delete * mb_copy * mb_unix2dos diff --git a/lib/make/mb_openmsx.mk b/lib/make/mb_openmsx.mk index b69d699..0e9d532 100644 --- a/lib/make/mb_openmsx.mk +++ b/lib/make/mb_openmsx.mk @@ -3,10 +3,7 @@ MB_OPENMSX_BOOT_TIMEOUT ?= 25 MB_OPENMSX_BOOT_OS ?= nextor MB_OPENMSX_MACHINE ?= Philips_NMS_8250 MB_OPENMSX_SPEED ?= $(if $(findstring turboR,$(MB_OPENMSX_MACHINE)),100,333) -MB_OPENMSX_EXTS ?= -MB_OPENMSX_EXTRA_SLOT ?= -ext slotexpander -MB_OPENMSX_EXTRA_MEM ?= -ext ram4mb -MB_OPENMSX_EXTRA_HDD ?= -ext ide-nextor +MB_OPENMSX_EXTS ?= MB_OPENMSX_HDD_SIZE ?= 4m MB_OPENMSX_SCALE_FACTOR ?= 3 MB_OPENMSX_THROTTLE ?= off @@ -26,7 +23,7 @@ define mb_openmsx_setup $(if $(wildcard $(1)-omsx/share/scripts/night_flight.tcl),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/openmsx/share/scripts/night_flight.tcl,$(1)-omsx/share/scripts)) $(if $(wildcard $(1)-omsx/share/scripts/omsxctl.tcl),,$(call mb_msxhub_file,$(1)-omsx/share/scripts,OMSXCTL/1.0-1/get/OMSXCTL/omsxctl.tcl)) $(if $(wildcard $(1)-omsx/share/extensions),,$(call mb_mkdir,$(1)-omsx/share/extensions)) - $(if $(wildcard $(1)-omsx/share/extensions/ide-nextor.xml),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/openmsx/share/extensions/ide-nextor.xml,$(1)-omsx/share/extensions)) + $(if $(wildcard $(1)-omsx/share/extensions/fire-hdd.xml),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/openmsx/share/extensions/fire-hdd.xml,$(1)-omsx/share/extensions)) $(call mb_msxrom_setup,$(1)) $(call mb_msxrom_extension_ide_nextor,$(1)) $(call mb_msxrom_machine_$(2),$(1)) @@ -37,7 +34,7 @@ define _mb_openmsx_run BOOT_WATCHDOG=$(MB_OPENMSX_BOOT_TIMEOUT) \ FIRE_HDD_PATH="$(1)" \ FIRE_HDD_SIZE=$(MB_OPENMSX_HDD_SIZE) \ - FIRE_HDD_IMAGE="$(1)-omsx/persistent/ide-nextor/untitled1/hd.dsk" \ + FIRE_HDD_IMAGE="$(1)-omsx/persistent/fire-hdd/untitled1/image-hda.dsk" \ SCALE_FACTOR=$(MB_OPENMSX_SCALE_FACTOR) \ SPEED=$(MB_OPENMSX_SPEED) \ HEADLESS=$(MB_OPENMSX_HEADLESS) \ @@ -49,9 +46,9 @@ define _mb_openmsx_run OPENMSX_HOME="$(1)-omsx" \ $(PATH_OPENMSX)/openmsx \ -machine $(2) \ - $(MB_OPENMSX_EXTRA_SLOT) \ - $(MB_OPENMSX_EXTRA_HDD) \ - $(MB_OPENMSX_EXTRA_MEM) \ + -ext slotexpander \ + -ext fire-hdd \ + $(if $(3),$(3),-ext ram4mb) \ $(MB_OPENMSX_EXTS) \ -control stdio < $(1)-omsx/stdio.xml @echo === openMSX Done for $@ @@ -68,5 +65,5 @@ define mb_openmsx_dosctl $(if $(wildcard $(1)/utils),$(call _mb_openmsx_dosctl_bat,$(1)/utils),$(call _mb_openmsx_dosctl_bat,$(1))) $(if $(wildcard $(1)/omsxctl.com),,$(call mb_msxhub_file,$(1),OMSXCTL/1.0-1/get/OMSXCTL/omsxctl.com)) $(if $(wildcard $(1)/command.com),,$(if $(wildcard $(1)/command2.com),,$(call mb_msxhub_get_$(MB_OPENMSX_BOOT_OS)_boot,$(1)))) - $(call _mb_openmsx_run,$(1),$(if $(2),$(2),$(MB_OPENMSX_MACHINE))) + $(call _mb_openmsx_run,$(1),$(if $(2),$(2),$(MB_OPENMSX_MACHINE)),$(3)) endef diff --git a/lib/openmsx/share/extensions/ide-nextor.xml b/lib/openmsx/share/extensions/fire-hdd.xml similarity index 73% rename from lib/openmsx/share/extensions/ide-nextor.xml rename to lib/openmsx/share/extensions/fire-hdd.xml index f181b7b..944782e 100644 --- a/lib/openmsx/share/extensions/ide-nextor.xml +++ b/lib/openmsx/share/extensions/fire-hdd.xml @@ -2,11 +2,11 @@ - Sunrise ATA-IDE Nextor - Sunrise + MSXBUILD Fire HDD + distributedrebirth.love 2024 - ATA-IDE interface with hard disk. + Sunrise IDE with lastest nextor. external hard disk @@ -19,7 +19,7 @@ IDEHD - hd.dsk + image-hda.dsk From 844284e1851cef5015715f3b5a15eeeb854dfca1 Mon Sep 17 00:00:00 2001 From: Willem Date: Sun, 30 Jun 2024 15:20:03 +0200 Subject: [PATCH 083/274] Fixed shutdown message. --- lib/emuctl/shutdown.bat | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/lib/emuctl/shutdown.bat b/lib/emuctl/shutdown.bat index d6470a8..a6a6323 100644 --- a/lib/emuctl/shutdown.bat +++ b/lib/emuctl/shutdown.bat @@ -1,2 +1,2 @@ rem Exit openMSX -omsxctl exit 0 \ No newline at end of file +omsxctl headless_exit \ No newline at end of file From d23db7734b4bf13c78afd598eab35a0fcb1e1240 Mon Sep 17 00:00:00 2001 From: Willem Date: Sun, 30 Jun 2024 16:12:01 +0200 Subject: [PATCH 084/274] Removed unneeded dir/w --- src/dist-qa-msxhub/0module.mk | 3 +-- 1 file changed, 1 insertion(+), 2 deletions(-) diff --git a/src/dist-qa-msxhub/0module.mk b/src/dist-qa-msxhub/0module.mk index 0a85e89..83a61a0 100644 --- a/src/dist-qa-msxhub/0module.mk +++ b/src/dist-qa-msxhub/0module.mk @@ -36,7 +36,7 @@ $(DIST_QA_MSXHUB_BIN)/%: $(DIST_BIN)/% | $(DIST_QA_MSXHUB_BIN) $(DIST_DEPS) BUILD_HELP += \\n\\t* $(DIST_QA_MSXHUB_BIN)/@run .PHONY: $(DIST_QA_MSXHUB_BIN)/@run $(DIST_QA_MSXHUB_BIN)/@run: | $(DIST_QA_MSXHUB_DEPS) - $(call mb_autoexec_show_gui80,$(DIST_QA_MSXHUB_BIN),dir/w) + $(call mb_autoexec_show_gui80,$(DIST_QA_MSXHUB_BIN)) $(call mb_openmsx_dosctl,$(DIST_QA_MSXHUB_BIN),$(DIST_QA_MSXHUB_MACHINE)) BUILD_HELP += \\n\\t* $(DIST_QA_MSXHUB_BIN)/@assert @@ -49,7 +49,6 @@ $(DIST_QA_MSXHUB_BIN)/@assert: | $(DIST_QA_MSXHUB_DEPS) $(call mb_autoexec_append_safe_test,$(DIST_QA_MSXHUB_BIN),ahello1 > ahello1.out) $(call mb_autoexec_append_safe_test,$(DIST_QA_MSXHUB_BIN),ahello2 > ahello2.out) $(call mb_autoexec_append_safe_test,$(DIST_QA_MSXHUB_BIN),dir utils > utils.out) - $(call mb_autoexec_append_cmd,$(DIST_QA_MSXHUB_BIN),dir/w) $(call mb_autoexec_append_exit,$(DIST_QA_MSXHUB_BIN)) $(call mb_openmsx_dosctl,$(DIST_QA_MSXHUB_BIN),$(DIST_QA_MSXHUB_MACHINE)) grep "M80: Hello world..." $(DIST_QA_MSXHUB_BIN)/ahello1.out From 7734175826b3827f49a713fb505d38ee300aaa11 Mon Sep 17 00:00:00 2001 From: Willem Date: Sun, 30 Jun 2024 16:13:44 +0200 Subject: [PATCH 085/274] Added vdp color overrides. --- README.md | 1 + lib/make/mb_autoexec.mk | 2 +- lib/make/mb_openmsx.mk | 4 +++ lib/openmsx/share/scripts/boot_exec.tcl | 33 ++++++++++++++++++---- lib/openmsx/share/scripts/night_flight.tcl | 2 +- 5 files changed, 34 insertions(+), 8 deletions(-) diff --git a/README.md b/README.md index 2610159..db58857 100644 --- a/README.md +++ b/README.md @@ -177,4 +177,5 @@ Current set is WIP. * Doesn't work on windows * Missing C and mixed support * If no SDL or SDLGL-PP than screenshots or videos don't work +* boot_exec_color_vdp does not work on MSX1 vdp diff --git a/lib/make/mb_autoexec.mk b/lib/make/mb_autoexec.mk index 0774715..1f2be72 100644 --- a/lib/make/mb_autoexec.mk +++ b/lib/make/mb_autoexec.mk @@ -51,7 +51,7 @@ define mb_autoexec_write_default $(if $(filter 40,$(2)),$(call mb_autoexec_append_cmd,$(1),mode 40),$(call mb_autoexec_append_cmd,$(1),mode 80)) $(call mb_autoexec_append_echo,$(1),==== MSXBUILD ====) $(if $(filter 40,$(2)),$(call mb_autoexec_append_echo,$(1),Boot mode 40),$(call mb_autoexec_append_echo,$(1),Boot mode 80)) - $(call mb_autoexec_append_cmd,$(1),omsxctl boot_exec_color_dark) + $(call mb_autoexec_append_cmd,$(1),omsxctl boot_exec_color_vdp) $(if $(filter on,$(MB_FLIGHT_VIDEO)),$(call mb_autoexec_append_save_video,$(1))) $(call mb_autoexec_append_cmd,$(1),omsxctl fail_after $(MB_AUTOEXEC_STARTUP_TIMEOUT) seconds failed_exec $(MB_AUTOEXEC_STARTUP_EXITCODE)) $(if $(filter on,$(MB_AUTOEXEC_VERSION)),$(call mb_autoexec_append_cmd,$(1),ver)) diff --git a/lib/make/mb_openmsx.mk b/lib/make/mb_openmsx.mk index 0e9d532..a999e88 100644 --- a/lib/make/mb_openmsx.mk +++ b/lib/make/mb_openmsx.mk @@ -9,6 +9,8 @@ MB_OPENMSX_SCALE_FACTOR ?= 3 MB_OPENMSX_THROTTLE ?= off MB_OPENMSX_HEADLESS ?= on MB_OPENMSX_RENDERER ?= SDLGL-PP +MB_OPENMSX_VDP_FG ?= 151 +MB_OPENMSX_VDP_BG ?= 000 define mb_openmsx_setup $(if $(wildcard $(1)-omsx),,$(call mb_mkdir,$(1)-omsx)) @@ -43,6 +45,8 @@ define _mb_openmsx_run NF_PREFIX=$(MB_FLIGHT_PREFIX) \ NF_SEPERATOR=$(MB_FLIGHT_SEPERATOR) \ NF_RECORD_FLAG=$(MB_FLIGHT_RECORD_FLAG) \ + VDP_FG=$(MB_OPENMSX_VDP_FG) \ + VDP_BG=$(MB_OPENMSX_VDP_BG) \ OPENMSX_HOME="$(1)-omsx" \ $(PATH_OPENMSX)/openmsx \ -machine $(2) \ diff --git a/lib/openmsx/share/scripts/boot_exec.tcl b/lib/openmsx/share/scripts/boot_exec.tcl index 1663d60..1eb39d9 100644 --- a/lib/openmsx/share/scripts/boot_exec.tcl +++ b/lib/openmsx/share/scripts/boot_exec.tcl @@ -1,6 +1,6 @@ -# boot_exec -- Helper command to autoexec.bat boot scripts. +# boot_exec -- Helper commands to autoexec.bat boot scripts. # -# Adds the following command to openMSX; +# Adds the following commands to openMSX; # # 'boot_exec_color_dark' # Sets the VDP colors to dark color tones. @@ -8,11 +8,24 @@ # 'boot_exec_config_info' # Displays machine and openMSX version on one line. # +# Supported environment variables by this script; +# +# VDP_FG=111 +# Override the default vdp foreground color. +# +# VDP_BG=369 +# Override the default vdp background color. +# -proc boot_exec_color_dark {} { - setcolor 4 000 - setcolor 15 777 - return "Boot color dark" +set boot_exec_color_vdp_fg "777" +set boot_exec_color_vdp_bg "000" + +proc boot_exec_color_vdp {} { + global boot_exec_color_vdp_fg + global boot_exec_color_vdp_bg + setcolor 15 $boot_exec_color_vdp_fg + setcolor 4 $boot_exec_color_vdp_bg + return "Boot color vdp fg $boot_exec_color_vdp_fg bg $boot_exec_color_vdp_bg" } proc boot_exec_config_info {} { @@ -22,3 +35,11 @@ proc boot_exec_config_info {} { append result [openmsx_info version] return $result } + +if {[info exists ::env(VDP_FG)] && ([string trim $::env(VDP_FG)] != "")} { + set boot_exec_color_vdp_fg [string trim $::env(VDP_FG)] +} + +if {[info exists ::env(VDP_BG)] && ([string trim $::env(VDP_BG)] != "")} { + set boot_exec_color_vdp_bg [string trim $::env(VDP_BG)] +} diff --git a/lib/openmsx/share/scripts/night_flight.tcl b/lib/openmsx/share/scripts/night_flight.tcl index cbe9fc5..b679b64 100644 --- a/lib/openmsx/share/scripts/night_flight.tcl +++ b/lib/openmsx/share/scripts/night_flight.tcl @@ -1,6 +1,6 @@ # night_flight -- Setup the travel for night flight black box recorder for build pipelines. # -# Adds the following command to openMSX; +# Adds the following commands to openMSX; # # 'night_flight_save_screenshot' # Takes an screenshot with optional prefix parameter. From 6fd983396737acb770d90e3e889afcfcdde5c731 Mon Sep 17 00:00:00 2001 From: Willem Date: Sun, 30 Jun 2024 16:23:47 +0200 Subject: [PATCH 086/274] Added extra gui msg after reboot of machine. --- lib/openmsx/share/scripts/headless.tcl | 18 ++++++++++++------ 1 file changed, 12 insertions(+), 6 deletions(-) diff --git a/lib/openmsx/share/scripts/headless.tcl b/lib/openmsx/share/scripts/headless.tcl index f8ed69e..cccadaa 100644 --- a/lib/openmsx/share/scripts/headless.tcl +++ b/lib/openmsx/share/scripts/headless.tcl @@ -28,7 +28,8 @@ # Sets CPU speed to relative from normal but only when throttle is on. # -set boot_env_renderer_type 0 +set headless_renderer_type 0 +set headless_renderer_done 0 proc headless_exit {} { after time 1 "exit 0" @@ -38,19 +39,24 @@ proc headless_exit {} { proc headless_show_gui {} { global renderer global throttle - global boot_env_renderer_type - if {$boot_env_renderer_type != 0} { - after time 1 "set renderer $boot_env_renderer_type" + global headless_renderer_type + global headless_renderer_done + if {$headless_renderer_done != 0} { + return "Requested GUI already showing" + } + if {$headless_renderer_type != 0} { + after time 1 "set renderer $headless_renderer_type" set throttle on + set headless_renderer_done 1 } else { puts stderr "error: Requested show gui but env.RENDERER is missing." exit 1 } - return "Requested GUI with $boot_env_renderer_type" + return "Requested GUI with $headless_renderer_type" } if {[info exists ::env(RENDERER)] && ([string trim $::env(RENDERER)] != "")} { - set boot_env_renderer_type [string trim $::env(RENDERER)] + set headless_renderer_type [string trim $::env(RENDERER)] } if {[info exists ::env(HEADLESS)] && ([string trim $::env(HEADLESS)] != "on")} { From 779138daca297a2aeb5fc0e688dab6a27257e949 Mon Sep 17 00:00:00 2001 From: Willem Date: Sun, 30 Jun 2024 23:24:15 +0200 Subject: [PATCH 087/274] Fixed missed renames. --- lib/openmsx/share/scripts/headless.tcl | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/lib/openmsx/share/scripts/headless.tcl b/lib/openmsx/share/scripts/headless.tcl index cccadaa..4dd20e3 100644 --- a/lib/openmsx/share/scripts/headless.tcl +++ b/lib/openmsx/share/scripts/headless.tcl @@ -60,7 +60,7 @@ if {[info exists ::env(RENDERER)] && ([string trim $::env(RENDERER)] != "")} { } if {[info exists ::env(HEADLESS)] && ([string trim $::env(HEADLESS)] != "on")} { - if {[catch {set renderer $boot_env_renderer_type} err_msg]} { + if {[catch {set renderer $headless_renderer_type} err_msg]} { puts stderr "error: env.HEADLESS value $err_msg" exit 1 } From 1a0e2233d72f27f8c4ed657d95f3011d712c8dde Mon Sep 17 00:00:00 2001 From: Willem Date: Sun, 30 Jun 2024 23:35:04 +0200 Subject: [PATCH 088/274] Added assert for make running on the MSX. --- src/ahello-m80/0module.mk | 2 +- src/assert-all/0module.mk | 3 ++- src/make-on-msx/0module.mk | 36 ++++++++++++++++++++++++++++++++++++ src/make-on-msx/build.bat | 25 +++++++++++++++++++++++++ src/make-on-msx/nfbsshot.mac | 23 +++++++++++++++++++++++ src/make-on-msx/nfbsshot.mf | 14 ++++++++++++++ 6 files changed, 101 insertions(+), 2 deletions(-) create mode 100644 src/make-on-msx/0module.mk create mode 100644 src/make-on-msx/build.bat create mode 100644 src/make-on-msx/nfbsshot.mac create mode 100644 src/make-on-msx/nfbsshot.mf diff --git a/src/ahello-m80/0module.mk b/src/ahello-m80/0module.mk index 32415de..223f067 100644 --- a/src/ahello-m80/0module.mk +++ b/src/ahello-m80/0module.mk @@ -21,7 +21,7 @@ $(AHELLO_M80_BIN)/ahello.hex: $(AHELLO_M80_BIN)/ahello.rel $(call mb_openmsx_dosctl,$(AHELLO_M80_BIN)) BUILD_HELP += \\n\\t* $(AHELLO_M80_BIN)/ahello.com -$(AHELLO_M80_BIN)/ahello.com: $(AHELLO_M80_BIN)/ahello.hex +$(AHELLO_M80_BIN)/ahello.com: | $(AHELLO_M80_BIN)/ahello.hex $(call mb_autoexec_safe_cmd,$(AHELLO_M80_BIN),hextocom ahello) $(call mb_openmsx_dosctl,$(AHELLO_M80_BIN)) diff --git a/src/assert-all/0module.mk b/src/assert-all/0module.mk index 7c5b921..3f1c4e2 100644 --- a/src/assert-all/0module.mk +++ b/src/assert-all/0module.mk @@ -5,7 +5,8 @@ bin/ahello-sdcc-test/@assert \ bin/dist-qa-dos1/@assert \ bin/dist-qa-dos2/@assert \ bin/dist-qa-msx1/@assert \ -bin/dist-qa-msxhub/@assert +bin/dist-qa-msxhub/@assert \ +bin/make-on-msx/@assert BUILD_HELP += \\n\\t* assert-all \(Run all assertion tests\) .PHONY: assert-all diff --git a/src/make-on-msx/0module.mk b/src/make-on-msx/0module.mk new file mode 100644 index 0000000..92adb31 --- /dev/null +++ b/src/make-on-msx/0module.mk @@ -0,0 +1,36 @@ + +MAKE_ON_MSX_MOD := make-on-msx +MAKE_ON_MSX_SRC := $(PATH_SRC)/$(MAKE_ON_MSX_MOD) +MAKE_ON_MSX_BIN := $(PATH_BIN)/$(MAKE_ON_MSX_MOD) + +$(MAKE_ON_MSX_BIN): + $(call mb_mkdir,$(MAKE_ON_MSX_BIN)) + $(call mb_mkdir,$(MAKE_ON_MSX_BIN)/utils) + $(call mb_msxhub_get_make,$(MAKE_ON_MSX_BIN)/utils) + $(call mb_msxhub_get_macro80,$(MAKE_ON_MSX_BIN)/utils) + $(call mb_msxhub_get_z80asmuk,$(MAKE_ON_MSX_BIN)/utils) + +$(MAKE_ON_MSX_BIN)/nfbsshot.mac: $(MAKE_ON_MSX_SRC)/nfbsshot.mac | $(MAKE_ON_MSX_BIN) + $(call mb_unix2dos,$<,$@) + +$(MAKE_ON_MSX_BIN)/nfbsshot.mf: $(MAKE_ON_MSX_SRC)/nfbsshot.mf | $(MAKE_ON_MSX_BIN) $(MAKE_ON_MSX_BIN)/nfbsshot.mac + $(call mb_unix2dos,$<,$@) + +$(MAKE_ON_MSX_BIN)/build.bat: $(MAKE_ON_MSX_SRC)/build.bat | $(MAKE_ON_MSX_BIN) $(MAKE_ON_MSX_BIN)/nfbsshot.mf + $(call mb_unix2dos,$<,$@) + +BUILD_HELP += \\n\\t* $(MAKE_ON_MSX_BIN)/nfbsshot.bin +$(MAKE_ON_MSX_BIN)/nfbsshot.bin: | $(MAKE_ON_MSX_BIN)/build.bat + $(call mb_autoexec_safe_cmd,$(MAKE_ON_MSX_BIN),build exit) + $(call mb_openmsx_dosctl,$(MAKE_ON_MSX_BIN)) + +BUILD_HELP += \\n\\t* $(MAKE_ON_MSX_BIN)/@assert +.PHONY: $(MAKE_ON_MSX_BIN)/@assert +$(MAKE_ON_MSX_BIN)/@assert: | $(MAKE_ON_MSX_BIN)/nfbsshot.bin + grep -U "screenshot" $(MAKE_ON_MSX_BIN)/nfbsshot.bin + +BUILD_HELP += \\n\\t* $(MAKE_ON_MSX_BIN)/@run +.PHONY: $(MAKE_ON_MSX_BIN)/@run +$(MAKE_ON_MSX_BIN)/@run: | $(MAKE_ON_MSX_BIN)/build.bat + $(call mb_autoexec_show_gui80,$(MAKE_ON_MSX_BIN)) + $(call mb_openmsx_dosctl,$(MAKE_ON_MSX_BIN)) diff --git a/src/make-on-msx/build.bat b/src/make-on-msx/build.bat new file mode 100644 index 0000000..4e0357c --- /dev/null +++ b/src/make-on-msx/build.bat @@ -0,0 +1,25 @@ +echo === Trigger workaround +rem note1: Fixme make will not build without targets files +rem note2: Fixme make will not build without updated time stamps +copy /T build.bat NFBSSHOT.BIN +dir/w utils +copy /T build.bat NFBSSHOT.HEX +dir/w utils +copy /T build.bat NFBSSHOT.REL +dir/w utils +copy /T NFBSSHOT.MAC NFBSSHOT.BAK +dir/w utils +copy /T NFBSSHOT.BAK NFBSSHOT.MAC +dir/w utils +echo === Running make +make NFBSSHOT.MF /x > build2.bat +echo === Concat shutdown +echo "" > build3.bat +IFF "exit" == %1 + echo "shutdown.bat" > build3.bat +ENDIFF +concat build2.bat + build3.bat build4.bat +echo === Final script +type build4.bat +echo === Running build +build4.bat diff --git a/src/make-on-msx/nfbsshot.mac b/src/make-on-msx/nfbsshot.mac new file mode 100644 index 0000000..d0f7af3 --- /dev/null +++ b/src/make-on-msx/nfbsshot.mac @@ -0,0 +1,23 @@ + title Night Flight Basic Screen SHOT +.Z80 + +DEBUG_PORT EQU 2Dh + +ASEG +ORG X'C000' + +MAIN: + LD HL,COMMAND_TXT + LD DE,COMMAND_BUFF + LD BC,0 + OUT (DEBUG_PORT),A + RET + +COMMAND_TXT: + DB "night_flight_save_screenshot$" + +COMMAND_BUFF: + DS 100 + +END +DSEG diff --git a/src/make-on-msx/nfbsshot.mf b/src/make-on-msx/nfbsshot.mf new file mode 100644 index 0000000..c574aea --- /dev/null +++ b/src/make-on-msx/nfbsshot.mf @@ -0,0 +1,14 @@ +# Makefile to run on MSX + +nfbsshot.rel: nfbsshot.mac + echo Making nfbsshot.rel + m80 =nfbsshot/Z + +nfbsshot.hex: nfbsshot.rel + echo Making nfbsshot.hex + l80 /P:C000,nfbsshot,nfbsshot/N/X/Y/E + +nfbsshot.bin: nfbsshot.hex + echo Making nfbsshot.bin + hextobin nfbsshot.hex nfbsshot.bin + From 5d90653d94a755d57902495e08afde6344f02c8a Mon Sep 17 00:00:00 2001 From: Willem Date: Sun, 30 Jun 2024 23:49:17 +0200 Subject: [PATCH 089/274] make in make small cleanup. --- src/make-on-msx/0module.mk | 8 ++++---- 1 file changed, 4 insertions(+), 4 deletions(-) diff --git a/src/make-on-msx/0module.mk b/src/make-on-msx/0module.mk index 92adb31..3c4afe1 100644 --- a/src/make-on-msx/0module.mk +++ b/src/make-on-msx/0module.mk @@ -12,11 +12,11 @@ $(MAKE_ON_MSX_BIN): $(MAKE_ON_MSX_BIN)/nfbsshot.mac: $(MAKE_ON_MSX_SRC)/nfbsshot.mac | $(MAKE_ON_MSX_BIN) $(call mb_unix2dos,$<,$@) - -$(MAKE_ON_MSX_BIN)/nfbsshot.mf: $(MAKE_ON_MSX_SRC)/nfbsshot.mf | $(MAKE_ON_MSX_BIN) $(MAKE_ON_MSX_BIN)/nfbsshot.mac + +$(MAKE_ON_MSX_BIN)/nfbsshot.mf: $(MAKE_ON_MSX_SRC)/nfbsshot.mf | $(MAKE_ON_MSX_BIN)/nfbsshot.mac $(call mb_unix2dos,$<,$@) - -$(MAKE_ON_MSX_BIN)/build.bat: $(MAKE_ON_MSX_SRC)/build.bat | $(MAKE_ON_MSX_BIN) $(MAKE_ON_MSX_BIN)/nfbsshot.mf + +$(MAKE_ON_MSX_BIN)/build.bat: $(MAKE_ON_MSX_SRC)/build.bat | $(MAKE_ON_MSX_BIN)/nfbsshot.mf $(call mb_unix2dos,$<,$@) BUILD_HELP += \\n\\t* $(MAKE_ON_MSX_BIN)/nfbsshot.bin From 125e59d5f0bd149027a797bd15f8e98807d4a7cb Mon Sep 17 00:00:00 2001 From: Willem Date: Mon, 1 Jul 2024 00:37:02 +0200 Subject: [PATCH 090/274] Added some dir to debug make-on-msx build. --- src/make-on-msx/build.bat | 20 ++++++++++++-------- 1 file changed, 12 insertions(+), 8 deletions(-) diff --git a/src/make-on-msx/build.bat b/src/make-on-msx/build.bat index 4e0357c..c99dca6 100644 --- a/src/make-on-msx/build.bat +++ b/src/make-on-msx/build.bat @@ -1,6 +1,7 @@ echo === Trigger workaround -rem note1: Fixme make will not build without targets files -rem note2: Fixme make will not build without updated time stamps +rem Fixme make will not build without targets files +rem Fixme make will not build without updated time stamps +dir copy /T build.bat NFBSSHOT.BIN dir/w utils copy /T build.bat NFBSSHOT.HEX @@ -10,16 +11,19 @@ dir/w utils copy /T NFBSSHOT.MAC NFBSSHOT.BAK dir/w utils copy /T NFBSSHOT.BAK NFBSSHOT.MAC -dir/w utils echo === Running make +omsxctl fail_after 300 seconds failed_make 1 make NFBSSHOT.MF /x > build2.bat echo === Concat shutdown -echo "" > build3.bat +echo "dir" > build1.bat +echo "dir" > build3.bat +echo "" > build4.bat IFF "exit" == %1 - echo "shutdown.bat" > build3.bat + echo "shutdown" > build4.bat ENDIFF -concat build2.bat + build3.bat build4.bat +concat build1.bat + build2.bat + build3.bat + build4.bat buildrun.bat echo === Final script -type build4.bat +type buildrun.bat echo === Running build -build4.bat +omsxctl fail_after 300 seconds failed_build 1 +buildrun From 1b8e8b8590183e53f4b4f18d65c68da0a8a9effb Mon Sep 17 00:00:00 2001 From: Willem Date: Mon, 1 Jul 2024 00:57:03 +0200 Subject: [PATCH 091/274] Don't redirect in if stmt. --- src/make-on-msx/0module.mk | 2 +- src/make-on-msx/build.bat | 10 ++++++---- 2 files changed, 7 insertions(+), 5 deletions(-) diff --git a/src/make-on-msx/0module.mk b/src/make-on-msx/0module.mk index 3c4afe1..23617ad 100644 --- a/src/make-on-msx/0module.mk +++ b/src/make-on-msx/0module.mk @@ -21,7 +21,7 @@ $(MAKE_ON_MSX_BIN)/build.bat: $(MAKE_ON_MSX_SRC)/build.bat | $(MAKE_ON_MSX_BIN)/ BUILD_HELP += \\n\\t* $(MAKE_ON_MSX_BIN)/nfbsshot.bin $(MAKE_ON_MSX_BIN)/nfbsshot.bin: | $(MAKE_ON_MSX_BIN)/build.bat - $(call mb_autoexec_safe_cmd,$(MAKE_ON_MSX_BIN),build exit) + $(call mb_autoexec_safe_cmd,$(MAKE_ON_MSX_BIN),build shutdown) $(call mb_openmsx_dosctl,$(MAKE_ON_MSX_BIN)) BUILD_HELP += \\n\\t* $(MAKE_ON_MSX_BIN)/@assert diff --git a/src/make-on-msx/build.bat b/src/make-on-msx/build.bat index c99dca6..1289d6e 100644 --- a/src/make-on-msx/build.bat +++ b/src/make-on-msx/build.bat @@ -13,14 +13,16 @@ dir/w utils copy /T NFBSSHOT.BAK NFBSSHOT.MAC echo === Running make omsxctl fail_after 300 seconds failed_make 1 +echo "dir" > build1.bat make NFBSSHOT.MF /x > build2.bat echo === Concat shutdown -echo "dir" > build1.bat echo "dir" > build3.bat -echo "" > build4.bat -IFF "exit" == %1 - echo "shutdown" > build4.bat +IFF "shutdown" == %1 + SET lastcmd=shutdown +ELSE + SET lastcmd=omsxctl fail_after 0 ENDIFF +echo %lastcmd% > build4.bat concat build1.bat + build2.bat + build3.bat + build4.bat buildrun.bat echo === Final script type buildrun.bat From 12c019c9d6e327fca912d636cf2307438a94a2d2 Mon Sep 17 00:00:00 2001 From: Willem Date: Mon, 1 Jul 2024 01:12:51 +0200 Subject: [PATCH 092/274] Added reboot message. --- lib/emuctl/reboot.bat | 2 +- lib/openmsx/share/scripts/headless.tcl | 5 +++++ 2 files changed, 6 insertions(+), 1 deletion(-) diff --git a/lib/emuctl/reboot.bat b/lib/emuctl/reboot.bat index 33303b9..5747559 100644 --- a/lib/emuctl/reboot.bat +++ b/lib/emuctl/reboot.bat @@ -1,2 +1,2 @@ rem Reboots openMSX -omsxctl after time 1 reset \ No newline at end of file +omsxctl headless_reset \ No newline at end of file diff --git a/lib/openmsx/share/scripts/headless.tcl b/lib/openmsx/share/scripts/headless.tcl index 4dd20e3..8062a27 100644 --- a/lib/openmsx/share/scripts/headless.tcl +++ b/lib/openmsx/share/scripts/headless.tcl @@ -36,6 +36,11 @@ proc headless_exit {} { return "Shutdown openMSX" } +proc headless_reset {} { + after time 1 "reset" + return "Reboot openMSX" +} + proc headless_show_gui {} { global renderer global throttle From 43c9649712bada4e0f6c726e6a1a5f5bb632e9df Mon Sep 17 00:00:00 2001 From: Willem Date: Mon, 1 Jul 2024 03:15:31 +0200 Subject: [PATCH 093/274] Updated readme. --- README.md | 188 ++++++++++++++++++++++-------------------- lib/make/mb_msxhub.mk | 1 - lib/make/mb_msxrom.mk | 1 - lib/make/msxbuild.mk | 2 +- 4 files changed, 98 insertions(+), 94 deletions(-) diff --git a/README.md b/README.md index db58857..4408c2a 100644 --- a/README.md +++ b/README.md @@ -2,22 +2,8 @@ Utils to use openMSX in build pipelines. -You can use these scripts as-is. But as always, feel free to extend it -for your specific needs or contribute a fix or feature. - -## OpenMSX missing automation documentation - -* Don't use DirAsDisk, it is slow -* Wrap all TCL commands in catch+stderr+exit1 -* Use TCL script for auto HD import/export -* Use stdio mode for headless and/or fast boot -* Disable throttling for automation steps -* Use fail_after TCL script to run openMSX safely -* Control openMSX from inside with TCL+command -* Enable SDL just before command is addictive fast -* TODO: Use TCL for BASIC/ASM/C lcov (Cobertura) code coverage - -The files in this repro try to handle these steps for you. +You can use these scripts as-is. the files in the `lib` are all you need. +But as always, feel free to extend it for your specific needs or contribute a fix or feature. ## Features @@ -27,26 +13,32 @@ The files in this repro try to handle these steps for you. * Downloads and caches resources from msxhub.com * Auto magic openMSX extensions and machine rom's setup * SDCC msx build steps +* Screenshot and/or video output of full build ## Dependencies * make + wget + tar + dos2unix * sdcc * openmsx -* ffmpeg (optional, see `srv/assert-all/0module.mk` howto merge videos) +* ffmpeg For debian use; apt-get install make wget tar dos2unix sdcc openmsx ffmpeg -## Usage +## Usage Classic -Include the `lib/make/msxbuild.mk` file from your Makefile. -And override the paths if needed; +Have access or copy the `lib` folder in your project and start writing make files. + +Include the `lib/make/msxbuild.mk` and override the paths if needed; * PATH_SDCC=/usr/bin * PATH_OPENMSX=/usr/bin -Then build result based compile rules see an example in; `src/ahello-m80/0module.mk` +## Usage Docker + +TODO + +## Example Project When you want to see whats happening do a debug run; @@ -99,83 +91,97 @@ Current set is WIP. * mb_autoexec_show_gui80_mouse ` [cmd]` * mb_autoexec_safe_cmd ` [text-mode]` * mb_autoexec_safe_test ` [text-mode]` -* mb_msxhub_file -* mb_msxhub_get_dos1_boot -* mb_msxhub_get_dos2_boot -* mb_msxhub_get_dos2_utils -* mb_msxhub_get_nextor_boot -* mb_msxhub_get_nextor_utils -* mb_msxhub_get_macro80 -* mb_msxhub_get_z80asmuk -* mb_msxhub_get_wbass2 -* mb_msxhub_get_konpass -* mb_msxhub_get_pmarc -* mb_msxhub_get_lhpack -* mb_msxhub_get_lhext -* mb_msxhub_get_gunzip -* mb_msxhub_get_tunzip -* mb_msxhub_get_popcom -* mb_msxhub_get_make -* mb_msxhub_get_adir -* mb_msxhub_get_turbo -* mb_msxhub_get_baskom -* mb_msxhub_get_binldr -* mb_msxhub_get_dmphex -* mb_msxhub_get_zd -* mb_msxhub_get_msxdos2t -* mb_msxhub_get_gfxage -* mb_msxrom_file -* mb_msxrom_setup -* mb_msxrom_extension_ide -* mb_msxrom_extension_ide_nextor -* mb_msxrom_extension_scsi_novaxis -* mb_msxrom_extension_msxdos22 -* mb_msxrom_extension_rs232 -* mb_msxrom_extension_fmpac -* mb_msxrom_extension_fmpac_en -* mb_msxrom_extension_moonsound -* mb_msxrom_extension_basickun -* mb_msxrom_machine_Canon_V-20 -* mb_msxrom_machine_Mitsubishi_ML-F80 -* mb_msxrom_machine_Mitsubishi_ML-FX1 -* mb_msxrom_machine_Mitsubishi_ML-G3_ES -* mb_msxrom_machine_Philips_VG_8000 -* mb_msxrom_machine_Philips_VG_8230 -* mb_msxrom_machine_Philips_NMS_8250 -* mb_msxrom_machine_Toshiba_HX-21.xml -* mb_msxrom_machine_Yamaha_AX200 -* mb_msxrom_machine_Yamaha_YIS-503IIIR -* mb_msxrom_machine_Panasonic_FS-A1WSX -* mb_msxrom_machine_Boosted_MSX2_EN -* mb_msxrom_machine_Boosted_MSX2+_JP +* mb_msxhub_file ` ` +* mb_msxhub_get_dos1_boot `` +* mb_msxhub_get_dos2_boot `` +* mb_msxhub_get_dos2_utils `` +* mb_msxhub_get_nextor_boot `` +* mb_msxhub_get_nextor_utils `` +* mb_msxhub_get_macro80 `` +* mb_msxhub_get_z80asmuk `` +* mb_msxhub_get_wbass2 `` +* mb_msxhub_get_konpass `` +* mb_msxhub_get_pmarc `` +* mb_msxhub_get_lhpack `` +* mb_msxhub_get_lhext `` +* mb_msxhub_get_gunzip `` +* mb_msxhub_get_tunzip `` +* mb_msxhub_get_popcom `` +* mb_msxhub_get_make `` +* mb_msxhub_get_adir `` +* mb_msxhub_get_turbo `` +* mb_msxhub_get_baskom `` +* mb_msxhub_get_binldr `` +* mb_msxhub_get_dmphex `` +* mb_msxhub_get_zd `` +* mb_msxhub_get_msxdos2t `` +* mb_msxhub_get_gfxage `` +* mb_msxrom_file ` ` +* mb_msxrom_setup `` +* mb_msxrom_extension_ide `` +* mb_msxrom_extension_ide_nextor `` +* mb_msxrom_extension_scsi_novaxis `` +* mb_msxrom_extension_msxdos22 `` +* mb_msxrom_extension_rs232 `` +* mb_msxrom_extension_fmpac `` +* mb_msxrom_extension_fmpac_en `` +* mb_msxrom_extension_moonsound `` +* mb_msxrom_extension_basickun `` +* mb_msxrom_machine_Canon_V-20 `` +* mb_msxrom_machine_Mitsubishi_ML-F80 `` +* mb_msxrom_machine_Mitsubishi_ML-FX1 `` +* mb_msxrom_machine_Mitsubishi_ML-G3_ES `` +* mb_msxrom_machine_Philips_VG_8000 `` +* mb_msxrom_machine_Philips_VG_8230 `` +* mb_msxrom_machine_Philips_NMS_8250 `` +* mb_msxrom_machine_Toshiba_HX-21 `` +* mb_msxrom_machine_Yamaha_AX200 `` +* mb_msxrom_machine_Yamaha_YIS-503IIIR `` +* mb_msxrom_machine_Panasonic_FS-A1WSX `` +* mb_msxrom_machine_Boosted_MSX2_EN `` +* mb_msxrom_machine_Boosted_MSX2+_JP `` * mb_openmsx_setup ` ` * mb_openmsx_dosctl ` [machine] [mem-argu]` -* mb_sdcc_compile_asm -* mb_sdcc_link_asm_lib -* mb_sdcc_link_asm -* mb_sdcc_link_asm_0000 = calls mb_sdcc_link_asm,$(1),$(2),0x0000 -* mb_sdcc_link_asm_0100 -* mb_sdcc_link_asm_1000 -* mb_sdcc_link_asm_4000 -* mb_sdcc_link_asm_8000 -* mb_sdcc_link_asm_C000 -* mb_sdcc_link_asm_dos = calls mb_sdcc_link_asm_0100 +* mb_sdcc_compile_asm ` ` +* mb_sdcc_link_asm_lib ` ` +* mb_sdcc_link_asm ` ` +* mb_sdcc_link_asm_0000 ` ` +* mb_sdcc_link_asm_0100 ` ` +* mb_sdcc_link_asm_1000 ` ` +* mb_sdcc_link_asm_4000 ` ` +* mb_sdcc_link_asm_8000 ` ` +* mb_sdcc_link_asm_C000 ` ` +* mb_sdcc_link_asm_dos ` ` * mb_sdcc_hex2bin ` ` * mb_clean `` * mb_mkdir `` -* mb_delete -* mb_copy -* mb_unix2dos -* mb_dos2unix -* mb_create_dist -* mb_create_flight_video +* mb_delete `` +* mb_copy ` ` +* mb_unix2dos ` ` +* mb_dos2unix ` ` +* mb_create_dist ` ` +* mb_create_flight_video `` ## Errata -* Imported folders in openMSX; `cd utils;cd ..;dir` breaks, use `cd utils:cd a:\;dir` as workaround -* Saving screenshots sometimes lets openMSX segfaults if using SDL * Doesn't work on windows -* Missing C and mixed support -* If no SDL or SDLGL-PP than screenshots or videos don't work -* boot_exec_color_vdp does not work on MSX1 vdp +* TODO: Missing C and mixed support +* TODO: Use TCL for BASIC/ASM/C lcov (Cobertura) code coverage +## OpenMSX backlog issues + +* Bug: screenshots sometimes segfaults when using SDL renderer +* Bug: screenshots don't work without throttle +* Bug: Imported folders in openMSX; `cd utils;cd ..;dir` breaks, use `cd utils:cd a:\;dir` as workaround +* Audio+midi device init option + warning messages on computer without sound card +* Enhancing the IDE CD-ROM support +* Command setcolor does not work on MSX1 vdp TMS9918 +* Diskmanipulator export fully non-cased filename compare (duplicates) +* Diskmanipulator reuse the device defined filename +* run instance-id or run/process-label to replace hardcoded "untitled1" path +* Remove msg or add ignore sram-fully or blank setting: SRAM file nms8250.cmos not found, assuming blank SRAM content. +* Video record append mode + optional multiple previds to glue videos as one +* SDL-NULL as window less renderer so that screenshot and/or video works headless +* DocUpdate: Add speed note because it is slow + add example "after quit {export hdd}" +* DocUpdate: Wrap all TCL commands in catch+stderr+exit1 +* DocUpdate: Add 'headless' example via stdio+new + link to control omsxctl diff --git a/lib/make/mb_msxhub.mk b/lib/make/mb_msxhub.mk index 1bd6ba8..5e6f636 100644 --- a/lib/make/mb_msxhub.mk +++ b/lib/make/mb_msxhub.mk @@ -12,7 +12,6 @@ endef define mb_msxhub_file $(if $(wildcard $(MB_MSXHUB_CACHE)/$(2)),,$(call _mb_msxhub_file_fetch,$(MB_MSXHUB_API)/$(2))) $(if $(wildcard $(1)/$(call _mb_lowercase,$(notdir $(2)))),,$(call mb_copy,$(MB_MSXHUB_CACHE)/$(2),$(1)/$(call _mb_lowercase,$(notdir $(2))))) - $(if $(filter true,$(3)),$(call mb_copy,$(MB_MSXHUB_CACHE)/$(2),$(1)/$(call _mb_lowercase,$(notdir $(2))))) endef # diff --git a/lib/make/mb_msxrom.mk b/lib/make/mb_msxrom.mk index a991e66..d99701f 100644 --- a/lib/make/mb_msxrom.mk +++ b/lib/make/mb_msxrom.mk @@ -12,7 +12,6 @@ endef define mb_msxrom_file $(if $(wildcard $(MB_MSXROM_CACHE)/$(2)),,$(call _mb_msxrom_file_fetch,$(MB_MSXROM_API)/$(2))) $(if $(wildcard $(1)/$(notdir $(2))),,$(call mb_copy,$(MB_MSXROM_CACHE)/$(2),$(1)/$(notdir $(2)))) - $(if $(filter true,$(3)),$(call mb_copy,$(MB_MSXROM_CACHE)/$(2),$(1)/$(notdir $(2)))) endef define mb_msxrom_setup diff --git a/lib/make/msxbuild.mk b/lib/make/msxbuild.mk index b42cb1f..8b64a66 100644 --- a/lib/make/msxbuild.mk +++ b/lib/make/msxbuild.mk @@ -47,7 +47,7 @@ $(subst A,a,$(subst B,b,$(subst C,c,$(subst D,d,$(subst E,e,$(subst F,f,$(subst endef define mb_clean - @echo === Cleaning build folder. + @echo === Cleaning build folder $(if $(wildcard $(1)),$(MB_RMDIR) $(1)) endef define mb_mkdir From 59ddde5bec508b64ccbc547d2481f195e3a817dc Mon Sep 17 00:00:00 2001 From: Willem Date: Mon, 1 Jul 2024 03:16:43 +0200 Subject: [PATCH 094/274] Rename to bdos compiler step. --- README.md | 2 +- lib/make/mb_sdcc.mk | 2 +- src/ahello-sdcc/0module.mk | 2 +- 3 files changed, 3 insertions(+), 3 deletions(-) diff --git a/README.md b/README.md index 4408c2a..5fa8395 100644 --- a/README.md +++ b/README.md @@ -151,7 +151,7 @@ Current set is WIP. * mb_sdcc_link_asm_4000 ` ` * mb_sdcc_link_asm_8000 ` ` * mb_sdcc_link_asm_C000 ` ` -* mb_sdcc_link_asm_dos ` ` +* mb_sdcc_link_asm_bdos ` ` * mb_sdcc_hex2bin ` ` * mb_clean `` * mb_mkdir `` diff --git a/lib/make/mb_sdcc.mk b/lib/make/mb_sdcc.mk index 5e9965a..033aa9d 100644 --- a/lib/make/mb_sdcc.mk +++ b/lib/make/mb_sdcc.mk @@ -45,7 +45,7 @@ endef define mb_sdcc_link_asm_C000 $(call mb_sdcc_link_asm,$(1),$(2),0xC000) endef -define mb_sdcc_link_asm_dos +define mb_sdcc_link_asm_bdos $(call mb_sdcc_link_asm_0100,$(1),$(2)) endef diff --git a/src/ahello-sdcc/0module.mk b/src/ahello-sdcc/0module.mk index 20f14ce..9853c94 100644 --- a/src/ahello-sdcc/0module.mk +++ b/src/ahello-sdcc/0module.mk @@ -10,7 +10,7 @@ $(AHELLO_SDCC_BIN)/%.rel: $(AHELLO_SDCC_SRC)/%.asm | $(AHELLO_SDCC_BIN) $(call mb_sdcc_compile_asm,$<,$@) $(AHELLO_SDCC_BIN)/ahello.hex: $(AHELLO_SDCC_BIN)/ahello.rel - $(call mb_sdcc_link_asm_dos,$<,$@) + $(call mb_sdcc_link_asm_bdos,$<,$@) BUILD_HELP += \\n\\t* $(AHELLO_SDCC_BIN)/ahello.com $(AHELLO_SDCC_BIN)/ahello.com: $(AHELLO_SDCC_BIN)/ahello.hex From 4fc2cae2eaa8d1b742a51cd99e8bd43d848e64d5 Mon Sep 17 00:00:00 2001 From: Willem Date: Mon, 1 Jul 2024 11:57:30 +0200 Subject: [PATCH 095/274] Added vdp color optional arguments and small doc chars. --- lib/openmsx/share/scripts/boot_exec.tcl | 22 ++++++++++++++++------ lib/openmsx/share/scripts/fail_after.tcl | 2 +- lib/openmsx/share/scripts/headless.tcl | 6 ++---- lib/openmsx/share/scripts/night_flight.tcl | 6 +++--- 4 files changed, 22 insertions(+), 14 deletions(-) diff --git a/lib/openmsx/share/scripts/boot_exec.tcl b/lib/openmsx/share/scripts/boot_exec.tcl index 1eb39d9..8d05ab4 100644 --- a/lib/openmsx/share/scripts/boot_exec.tcl +++ b/lib/openmsx/share/scripts/boot_exec.tcl @@ -2,8 +2,8 @@ # # Adds the following commands to openMSX; # -# 'boot_exec_color_dark' -# Sets the VDP colors to dark color tones. +# 'boot_exec_color_dark ' +# Sets the VDP colors to defaults or supplied colors. # # 'boot_exec_config_info' # Displays machine and openMSX version on one line. @@ -20,12 +20,22 @@ set boot_exec_color_vdp_fg "777" set boot_exec_color_vdp_bg "000" -proc boot_exec_color_vdp {} { +proc boot_exec_color_vdp {{vdp_fg 0} {vdp_bg 0}} { global boot_exec_color_vdp_fg global boot_exec_color_vdp_bg - setcolor 15 $boot_exec_color_vdp_fg - setcolor 4 $boot_exec_color_vdp_bg - return "Boot color vdp fg $boot_exec_color_vdp_fg bg $boot_exec_color_vdp_bg" + if {$vdp_fg != 0} { + set color_vdp_fg $vdp_fg + } else { + set color_vdp_fg $boot_exec_color_vdp_fg + } + if {$vdp_bg != 0} { + set color_vdp_bg $vdp_bg + } else { + set color_vdp_bg $boot_exec_color_vdp_bg + } + setcolor 15 $color_vdp_fg + setcolor 4 $color_vdp_bg + return "Boot color vdp fg $color_vdp_fg bg $color_vdp_bg" } proc boot_exec_config_info {} { diff --git a/lib/openmsx/share/scripts/fail_after.tcl b/lib/openmsx/share/scripts/fail_after.tcl index 6d25e83..9b4fda6 100644 --- a/lib/openmsx/share/scripts/fail_after.tcl +++ b/lib/openmsx/share/scripts/fail_after.tcl @@ -4,7 +4,7 @@ # # Adds two commands to openMSX; # -# 'fail_after timeout [timeunit] [fail_id] [fail_code]' +# 'fail_after [timeunit] [fail_id] [fail_code]' # Schedules an openMSX exit after the timeout. # This can be canceled by requesting a timeout of 0 or new timeout. # The timeunit can be selected between (msx)'time' and (host)'realtime'. diff --git a/lib/openmsx/share/scripts/headless.tcl b/lib/openmsx/share/scripts/headless.tcl index 8062a27..fee8cda 100644 --- a/lib/openmsx/share/scripts/headless.tcl +++ b/lib/openmsx/share/scripts/headless.tcl @@ -1,8 +1,6 @@ -# headless -- Controls the boot mode config of openMSX from environment variables. +# headless -- Control openMSX in headless mode. # -# Typically used in automation tools which run openMSX without human interaction. -# -# Adds the following command to openMSX; +# Adds the following commands to openMSX; # # 'headless_exit' # A normal exit of the openMSX emulator. diff --git a/lib/openmsx/share/scripts/night_flight.tcl b/lib/openmsx/share/scripts/night_flight.tcl index b679b64..2d895d6 100644 --- a/lib/openmsx/share/scripts/night_flight.tcl +++ b/lib/openmsx/share/scripts/night_flight.tcl @@ -1,11 +1,11 @@ -# night_flight -- Setup the travel for night flight black box recorder for build pipelines. +# night_flight -- Setup the night flight black box recorder for build pipelines. # # Adds the following commands to openMSX; # -# 'night_flight_save_screenshot' +# 'night_flight_save_screenshot [prefix-id]' # Takes an screenshot with optional prefix parameter. # -# 'night_flight_save_video' +# 'night_flight_save_video [prefix-id]' # Starts video recording with optional prefix parameter. # # Supported environment variables by this script; From 3a471f9f453e6e413d56317b04adbe41f807afb9 Mon Sep 17 00:00:00 2001 From: Willem Date: Mon, 1 Jul 2024 11:58:36 +0200 Subject: [PATCH 096/274] Doc typo fix. --- lib/openmsx/share/scripts/boot_exec.tcl | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/lib/openmsx/share/scripts/boot_exec.tcl b/lib/openmsx/share/scripts/boot_exec.tcl index 8d05ab4..20eadec 100644 --- a/lib/openmsx/share/scripts/boot_exec.tcl +++ b/lib/openmsx/share/scripts/boot_exec.tcl @@ -2,7 +2,7 @@ # # Adds the following commands to openMSX; # -# 'boot_exec_color_dark ' +# 'boot_exec_color_vdp ' # Sets the VDP colors to defaults or supplied colors. # # 'boot_exec_config_info' From a6d8d1170b7b165851f0d13a791f600840f0318e Mon Sep 17 00:00:00 2001 From: Willem Date: Mon, 1 Jul 2024 22:40:06 +0200 Subject: [PATCH 097/274] Added backlog sync flag for export to not overwrite equal files. --- README.md | 7 +++++-- 1 file changed, 5 insertions(+), 2 deletions(-) diff --git a/README.md b/README.md index 5fa8395..6c85f78 100644 --- a/README.md +++ b/README.md @@ -173,14 +173,17 @@ Current set is WIP. * Bug: screenshots sometimes segfaults when using SDL renderer * Bug: screenshots don't work without throttle * Bug: Imported folders in openMSX; `cd utils;cd ..;dir` breaks, use `cd utils:cd a:\;dir` as workaround -* Audio+midi device init option + warning messages on computer without sound card +* (FIXED) Audio device init option + warning messages on computer without sound card +* MIDI device init option + warning messages on computer without sound card * Enhancing the IDE CD-ROM support * Command setcolor does not work on MSX1 vdp TMS9918 -* Diskmanipulator export fully non-cased filename compare (duplicates) +* Diskmanipulator export (sync) fully non-cased filename compare (duplicates) +* Diskmanipulator export (sync) don't touch/overwrite unmodified files * Diskmanipulator reuse the device defined filename * run instance-id or run/process-label to replace hardcoded "untitled1" path * Remove msg or add ignore sram-fully or blank setting: SRAM file nms8250.cmos not found, assuming blank SRAM content. * Video record append mode + optional multiple previds to glue videos as one +* (FIXED) Allow for `set renderer none` in TCL or command argument for headless mode * SDL-NULL as window less renderer so that screenshot and/or video works headless * DocUpdate: Add speed note because it is slow + add example "after quit {export hdd}" * DocUpdate: Wrap all TCL commands in catch+stderr+exit1 From de1dc7695dc99e99235cf3b4fa8371dd478f38d5 Mon Sep 17 00:00:00 2001 From: Willem Date: Mon, 1 Jul 2024 22:51:26 +0200 Subject: [PATCH 098/274] SDL is removed from openMSX --- README.md | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/README.md b/README.md index 6c85f78..97ec431 100644 --- a/README.md +++ b/README.md @@ -54,7 +54,7 @@ When you want to see whats happening do a debug run; time MB_OPENMSX_HEADLESS=off MB_OPENMSX_THROTTLE=on MB_OPENMSX_SPEED=100 make assert-all real 2m37.379s - debug run with screenshot on exit; (Segfaults if using (old) SDL, or/and black screens without throttle) + debug run with screenshot on exit; (black screens without throttle) time MB_OPENMSX_HEADLESS=off MB_OPENMSX_THROTTLE=on MB_FLIGHT_SCREEN=on make -s assert-all real 1m14.043s @@ -170,7 +170,7 @@ Current set is WIP. ## OpenMSX backlog issues -* Bug: screenshots sometimes segfaults when using SDL renderer +* (FIXED:SDL is removed) Bug: screenshots sometimes segfaults when using SDL renderer * Bug: screenshots don't work without throttle * Bug: Imported folders in openMSX; `cd utils;cd ..;dir` breaks, use `cd utils:cd a:\;dir` as workaround * (FIXED) Audio device init option + warning messages on computer without sound card From 65b40a74cbcff9b160a7d98ae419c21384f409b0 Mon Sep 17 00:00:00 2001 From: Willem Date: Tue, 2 Jul 2024 03:27:14 +0200 Subject: [PATCH 099/274] Renamed dos packages and new help system. --- .forgejo/workflows/run-test-asserts.yaml | 2 +- Makefile | 22 +---- README.md | 83 +----------------- lib/make/mb_autoexec.mk | 55 +++++------- lib/make/mb_doc.mk | 107 +++++++++++++++++++++++ lib/make/mb_flight.mk | 26 ++++++ lib/make/mb_msxhub.mk | 55 ++++++++++-- lib/make/mb_msxpipe.mk | 44 ++++++++++ lib/make/mb_msxrom.mk | 31 ++++++- lib/make/mb_openmsx.mk | 39 ++++++++- lib/make/mb_sdcc.mk | 51 ++++++++--- lib/make/msxbuild.mk | 55 +++++++----- src/0module.mk | 19 ++++ src/0module/0module.mk | 3 + src/0module/assert-all/0module.mk | 15 ++++ src/0module/clean/0module.mk | 5 ++ src/0module/prepare/0module.mk | 5 ++ src/ahello-m80-test/0module.mk | 10 +-- src/ahello-m80/0module.mk | 10 +-- src/ahello-sdcc-test/0module.mk | 10 +-- src/ahello-sdcc/0module.mk | 8 +- src/assert-all/0module.mk | 15 ---- src/dist-qa-dos1/0module.mk | 13 ++- src/dist-qa-dos2/0module.mk | 12 +-- src/dist-qa-msx1/0module.mk | 11 +-- src/dist-qa-msxhub/0module.mk | 15 ++-- src/dist/0module.mk | 8 +- src/make-on-msx/0module.mk | 13 +-- 28 files changed, 495 insertions(+), 247 deletions(-) create mode 100644 lib/make/mb_doc.mk create mode 100644 lib/make/mb_flight.mk create mode 100644 lib/make/mb_msxpipe.mk create mode 100644 src/0module.mk create mode 100644 src/0module/0module.mk create mode 100644 src/0module/assert-all/0module.mk create mode 100644 src/0module/clean/0module.mk create mode 100644 src/0module/prepare/0module.mk delete mode 100644 src/assert-all/0module.mk diff --git a/.forgejo/workflows/run-test-asserts.yaml b/.forgejo/workflows/run-test-asserts.yaml index 6059373..ef54a6a 100644 --- a/.forgejo/workflows/run-test-asserts.yaml +++ b/.forgejo/workflows/run-test-asserts.yaml @@ -11,4 +11,4 @@ jobs: - name: Check out uses: actions/checkout@v3 - name: Run asserts - run: make -s -j4 assert-all + run: make -s -j4 bin/@assert-all diff --git a/Makefile b/Makefile index 0e82e2b..0b7f60b 100644 --- a/Makefile +++ b/Makefile @@ -1,27 +1,13 @@ # # Example project makefile for msxbuild. # +.SUFFIXES: PATH_BIN := bin PATH_SRC := src -rwildcard = $(foreach d,$(wildcard $1*),$(call rwildcard,$d/,$2) $(filter $(subst *,%,$2),$d)) -MK_MODULES := $(call rwildcard, $(PATH_SRC), */0module.mk) -BUILD_ALL := "Use 'make help' for possible targets." -BUILD_HELP := "Use one of the following build targets;" -.SUFFIXES: -.PHONY: all all: - @echo $(BUILD_ALL) - -BUILD_HELP += \\n\\t* help -.PHONY: help -help: - @echo $(BUILD_HELP) - -BUILD_HELP += \\n\\t* clean -.PHONY: clean -clean: - $(call mb_clean,$(PATH_BIN)) + $(call mb_doc_show_help@all) +.PHONY: all include lib/make/msxbuild.mk -include $(MK_MODULES) +include $(call mb_rwildcard, $(PATH_SRC), */0module.mk) diff --git a/README.md b/README.md index 97ec431..437141e 100644 --- a/README.md +++ b/README.md @@ -14,6 +14,7 @@ But as always, feel free to extend it for your specific needs or contribute a fi * Auto magic openMSX extensions and machine rom's setup * SDCC msx build steps * Screenshot and/or video output of full build +* Embedded documentation of make options ## Dependencies @@ -73,17 +74,6 @@ When you want to see whats happening do a debug run; Current set is WIP. -* mb_autoexec_append_cmd `` -* mb_autoexec_append_echo ` ` -* mb_autoexec_append_rem ` ` -* mb_autoexec_append_show_gui `` -* mb_autoexec_append_stop_fail `` -* mb_autoexec_append_exit `` -* mb_autoexec_append_joyporta_mouse `` -* mb_autoexec_append_save_screenshot ` [prefix]` -* mb_autoexec_append_save_video ` [prefix]` -* mb_autoexec_append_safe_test ` [timeout] [exit-code]` -* mb_autoexec_append_safe_cmd ` [timeout] [exit-code]` * mb_autoexec_write_default ` [text-mode]` * mb_autoexec_show_gui40 ` [cmd]` * mb_autoexec_show_gui40_mouse ` [cmd]` @@ -91,76 +81,7 @@ Current set is WIP. * mb_autoexec_show_gui80_mouse ` [cmd]` * mb_autoexec_safe_cmd ` [text-mode]` * mb_autoexec_safe_test ` [text-mode]` -* mb_msxhub_file ` ` -* mb_msxhub_get_dos1_boot `` -* mb_msxhub_get_dos2_boot `` -* mb_msxhub_get_dos2_utils `` -* mb_msxhub_get_nextor_boot `` -* mb_msxhub_get_nextor_utils `` -* mb_msxhub_get_macro80 `` -* mb_msxhub_get_z80asmuk `` -* mb_msxhub_get_wbass2 `` -* mb_msxhub_get_konpass `` -* mb_msxhub_get_pmarc `` -* mb_msxhub_get_lhpack `` -* mb_msxhub_get_lhext `` -* mb_msxhub_get_gunzip `` -* mb_msxhub_get_tunzip `` -* mb_msxhub_get_popcom `` -* mb_msxhub_get_make `` -* mb_msxhub_get_adir `` -* mb_msxhub_get_turbo `` -* mb_msxhub_get_baskom `` -* mb_msxhub_get_binldr `` -* mb_msxhub_get_dmphex `` -* mb_msxhub_get_zd `` -* mb_msxhub_get_msxdos2t `` -* mb_msxhub_get_gfxage `` -* mb_msxrom_file ` ` -* mb_msxrom_setup `` -* mb_msxrom_extension_ide `` -* mb_msxrom_extension_ide_nextor `` -* mb_msxrom_extension_scsi_novaxis `` -* mb_msxrom_extension_msxdos22 `` -* mb_msxrom_extension_rs232 `` -* mb_msxrom_extension_fmpac `` -* mb_msxrom_extension_fmpac_en `` -* mb_msxrom_extension_moonsound `` -* mb_msxrom_extension_basickun `` -* mb_msxrom_machine_Canon_V-20 `` -* mb_msxrom_machine_Mitsubishi_ML-F80 `` -* mb_msxrom_machine_Mitsubishi_ML-FX1 `` -* mb_msxrom_machine_Mitsubishi_ML-G3_ES `` -* mb_msxrom_machine_Philips_VG_8000 `` -* mb_msxrom_machine_Philips_VG_8230 `` -* mb_msxrom_machine_Philips_NMS_8250 `` -* mb_msxrom_machine_Toshiba_HX-21 `` -* mb_msxrom_machine_Yamaha_AX200 `` -* mb_msxrom_machine_Yamaha_YIS-503IIIR `` -* mb_msxrom_machine_Panasonic_FS-A1WSX `` -* mb_msxrom_machine_Boosted_MSX2_EN `` -* mb_msxrom_machine_Boosted_MSX2+_JP `` -* mb_openmsx_setup ` ` -* mb_openmsx_dosctl ` [machine] [mem-argu]` -* mb_sdcc_compile_asm ` ` -* mb_sdcc_link_asm_lib ` ` -* mb_sdcc_link_asm ` ` -* mb_sdcc_link_asm_0000 ` ` -* mb_sdcc_link_asm_0100 ` ` -* mb_sdcc_link_asm_1000 ` ` -* mb_sdcc_link_asm_4000 ` ` -* mb_sdcc_link_asm_8000 ` ` -* mb_sdcc_link_asm_C000 ` ` -* mb_sdcc_link_asm_bdos ` ` -* mb_sdcc_hex2bin ` ` -* mb_clean `` -* mb_mkdir `` -* mb_delete `` -* mb_copy ` ` -* mb_unix2dos ` ` -* mb_dos2unix ` ` -* mb_create_dist ` ` -* mb_create_flight_video `` + ## Errata diff --git a/lib/make/mb_autoexec.mk b/lib/make/mb_autoexec.mk index 1f2be72..e0f4475 100644 --- a/lib/make/mb_autoexec.mk +++ b/lib/make/mb_autoexec.mk @@ -10,41 +10,63 @@ MB_AUTOEXEC_CMD_EXITCODE ?= 1 define mb_autoexec_append_cmd echo "$(2)\r" >> $(1)/autoexec.bat endef +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_autoexec_append_cmd,"Appends an command."," ") + define mb_autoexec_append_echo $(call mb_autoexec_append_cmd,$(1),echo $(subst >,>,$(2))) endef +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_autoexec_append_echo,"Appends an echo message."," ") + define mb_autoexec_append_rem $(call mb_autoexec_append_cmd,$(1),rem $(2)) endef +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_autoexec_append_rem,"Appends an script remark."," ") + define mb_autoexec_append_show_gui $(call mb_autoexec_append_cmd,$(1),omsxctl headless_show_gui) endef +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_autoexec_append_show_gui,"Appends headless show gui command.","") + define mb_autoexec_append_stop_fail $(call mb_autoexec_append_cmd,$(1),omsxctl fail_after 0) endef +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_autoexec_append_stop_fail,"Appends stop automatic failure comand.","") + define mb_autoexec_append_exit $(if $(filter on,$(MB_FLIGHT_SCREEN)),$(call mb_autoexec_append_save_screenshot,$(1))) $(call mb_autoexec_append_cmd,$(1),omsxctl headless_exit) endef +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_autoexec_append_exit,"Appends exit emulation command.","") + define mb_autoexec_append_joyporta_mouse $(call mb_autoexec_append_cmd,$(1),omsxctl plug joyporta mouse) endef +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_autoexec_append_joyporta_mouse,"Appends plug mouse in joyporta command.","") + define mb_autoexec_append_save_screenshot $(call mb_autoexec_append_cmd,$(1),omsxctl night_flight_save_screenshot $(2)) endef +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_autoexec_append_save_screenshot,"Appends save screenshot command."," [prefix]") + define mb_autoexec_append_save_video $(call mb_autoexec_append_cmd,$(1),omsxctl night_flight_save_video $(2)) endef +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_autoexec_append_save_video,"Appends save video command."," [prefix]") + define mb_autoexec_append_safe_test $(call mb_autoexec_append_cmd,$(1),omsxctl fail_after $(if $(3),$(3),$(MB_AUTOEXEC_TEST_TIMEOUT)) seconds failed_test $(if $(4),$(4),$(MB_AUTOEXEC_TEST_EXITCODE))) $(call mb_autoexec_append_echo,$(1),Running test: $(2)) $(call mb_autoexec_append_cmd,$(1),$(2)) endef +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_autoexec_append_safe_test,"Appends running a safe test."," [timeout] [exit-code]") + define mb_autoexec_append_safe_cmd $(call mb_autoexec_append_cmd,$(1),omsxctl fail_after $(if $(3),$(3),$(MB_AUTOEXEC_CMD_TIMEOUT)) seconds failed_cmd $(if $(4),$(4),$(MB_AUTOEXEC_CMD_EXITCODE))) $(call mb_autoexec_append_echo,$(1),Running command: $(2)) $(call mb_autoexec_append_cmd,$(1),$(2)) endef +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_autoexec_append_safe_cmd,"Appends running a safe command."," [timeout] [exit-code]") + define mb_autoexec_write_default @echo === Writing autoexec.bat for $@ @echo -n "" > $(1)/autoexec.bat @@ -61,36 +83,5 @@ define mb_autoexec_write_default $(call mb_autoexec_append_echo,$(1),Run folder $(1)) $(call mb_autoexec_append_echo,$(1),Run target $@) endef +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_autoexec_write_default,"Write a default autoexec.bat file."," [text-mode]") -define _mb_autoexec_show_gui - $(call mb_autoexec_write_default,$(1),$(2)) - $(call mb_autoexec_append_stop_fail,$(1)) - $(call mb_autoexec_append_show_gui,$(1)) - $(if $(filter mouse,$(4)),$(call mb_autoexec_append_joyporta_mouse,$(1))) - $(call mb_autoexec_append_echo,$(1),Type shutdown to stop emulation) - $(if $(3),$(call mb_autoexec_append_echo,$(1),Running command: $(3))) - $(if $(3),$(call mb_autoexec_append_cmd,$(1),$(3))) -endef -define mb_autoexec_show_gui40 - $(call _mb_autoexec_show_gui,$(1),40,$(2)) -endef -define mb_autoexec_show_gui40_mouse - $(call _mb_autoexec_show_gui,$(1),40,$(2),mouse) -endef -define mb_autoexec_show_gui80 - $(call _mb_autoexec_show_gui,$(1),80,$(2)) -endef -define mb_autoexec_show_gui80_mouse - $(call _mb_autoexec_show_gui,$(1),80,$(2),mouse) -endef - -define mb_autoexec_safe_cmd - $(call mb_autoexec_write_default,$(1),$(3)) - $(call mb_autoexec_append_safe_cmd,$(1),$(2)) - $(call mb_autoexec_append_exit,$(1)) -endef -define mb_autoexec_safe_test - $(call mb_autoexec_write_default,$(1),$(3)) - $(call mb_autoexec_append_safe_test,$(1),$(2)) - $(call mb_autoexec_append_exit,$(1)) -endef diff --git a/lib/make/mb_doc.mk b/lib/make/mb_doc.mk new file mode 100644 index 0000000..5b43e40 --- /dev/null +++ b/lib/make/mb_doc.mk @@ -0,0 +1,107 @@ + +MB_DOC_HELP_PROJECT ?= "" +MB_DOC_HELP_TARGET ?= "" +MB_DOC_HELP_VARIABLE ?= "" +MB_DOC_HELP_FUNCTION ?= "" +MB_DOC_TXT_BUILD_ALL := "Use 'make help' for possible targets and documention." +MB_DOC_TXT_BUILD_PROJECT := "Welcome to the MSXBUILD help system.\\n\\nFor detailed help use one of the following targets;\\n" +MB_DOC_TXT_BUILD_TARGET := "Build one of the following make targets;\\n" +MB_DOC_TXT_BUILD_VARIABLE := "Documention of the MSXBUILD variables;\\n" +MB_DOC_TXT_BUILD_FUNCTION := "Documention of the MSXBUILD functions;\\n" +MB_DOC_FORMAT ?= txt +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_DOC_FORMAT,"Output format of documention$(MB_CHAR_COMMA) only 'txt' is supported currently.",$(MB_DOC_FORMAT)) + + +define _mb_doc_xml_open +$(MB_CHAR_LT)$(1)$(if $(2), $(2)=\"$(3)\")$(MB_CHAR_GT) +endef +define _mb_doc_xml_close +$(MB_CHAR_LT)/$(1)$(MB_CHAR_GT) +endef +define _mb_doc_xml_tag_value +$(if $(2),$(call _mb_doc_xml_open,$(1))$(MB_CHAR_CDATA_START)$(2)$(MB_CHAR_CDATA_END)$(call _mb_doc_xml_close,$(1))) +endef + + +define _mb_doc_function_txt + "* "$(1)$(if $(3), $(3))$(if $(2),\\n\\t$(2)\\n)\\n +endef +define _mb_doc_function_xml + \\n\\t$(call _mb_doc_xml_open,function,name,$(1))\\n\\t\\t$(call _mb_doc_xml_tag_value,args,$(3))\\n\\t\\t$(call _mb_doc_xml_tag_value,desc,$(2))\\n\\t$(call _mb_doc_xml_close,function) +endef +define mb_doc_function + $(call _mb_doc_function_$(MB_DOC_FORMAT),$(1),$(2),$(3)) +endef +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_doc_function,"Prints formatted documention of an function."," [desc] [args]") + + +define _mb_doc_variable_txt + "* "$(1)=$(subst \\n,\\\n,$(3))\\n$(if $(2),\\t$(2)\\n)\\n +endef +define _mb_doc_variable_xml + \\n\\t$(call _mb_doc_xml_open,variable,name,$(1))\\n\\t\\t$(call _mb_doc_xml_tag_value,value,$(3))\\n\\t\\t$(call _mb_doc_xml_tag_value,desc,$(2))\\n\\t$(call _mb_doc_xml_close,variable) +endef +define mb_doc_variable + $(call _mb_doc_variable_$(MB_DOC_FORMAT),$(1),$(2),$(3)) +endef +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_doc_variable,"Prints formatted documention of an variable."," [desc] [value]") + +# "* "$(1)$(if $(2),\\n\\t$(2))$(if $(3),\\n\\t\\tDEPS: $(3))\\n +define _mb_doc_target_txt + "* "$(1)$(if $(2),\\n\\t$(2))\\n\\n +endef +define _mb_doc_target_xml + \\n\\t$(call _mb_doc_xml_open,target,name,$(1))\\n\\t\\t$(call _mb_doc_xml_tag_value,desc,$(2))\\n\\t$(call _mb_doc_xml_close,target) +endef +define mb_doc_target + $(call _mb_doc_target_$(MB_DOC_FORMAT),$(1),$(2)) +endef +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_doc_target,"Prints formatted documention of an target."," [desc]") + + +define _mb_doc_project_txt + "* "$(1)\\n +endef +define _mb_doc_project_xml + \\n$(call _mb_doc_xml_open,project)\\n\\t$(call _mb_doc_xml_tag_value,name,$(1))\\n$(call _mb_doc_xml_close,project) +endef +define mb_doc_project + $(call _mb_doc_project_$(MB_DOC_FORMAT),$(1)) +endef +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_doc_project,"Prints formatted documention of an project (help).","") + + +define mb_doc_show_help@all + @echo $(MB_DOC_TXT_BUILD_ALL) +endef +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_doc_show_help@all,"Displays text for 'make'") + +define mb_doc_show_help@project + @echo $(MB_DOC_TXT_BUILD_PROJECT) + @echo $(MB_DOC_HELP_PROJECT) +endef +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_doc_show_help@project,"Displays text for 'make help'") + +define mb_doc_show_help@target + $(if $(filter txt,$(MB_DOC_FORMAT)),@echo $(MB_DOC_TXT_BUILD_TARGET)) + $(if $(filter xml,$(MB_DOC_FORMAT)),@echo $(call _mb_doc_xml_open,firemake)) + @echo $(MB_DOC_HELP_TARGET) + $(if $(filter xml,$(MB_DOC_FORMAT)),@echo $(call _mb_doc_xml_close,firemake)) +endef +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_doc_show_help@target,"Displays text for 'make help@target'") + +define mb_doc_show_help@variable + $(if $(filter txt,$(MB_DOC_FORMAT)),@echo $(MB_DOC_TXT_BUILD_VARIABLE)) + $(if $(filter xml,$(MB_DOC_FORMAT)),@echo $(call _mb_doc_xml_open,firemake)) + @echo $(MB_DOC_HELP_VARIABLE) + $(if $(filter xml,$(MB_DOC_FORMAT)),@echo $(call _mb_doc_xml_close,firemake)) +endef +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_doc_show_help@variable,"Displays text for 'make help@variable'") + +define mb_doc_show_help@function + $(if $(filter txt,$(MB_DOC_FORMAT)),@echo $(MB_DOC_TXT_BUILD_FUNCTION)) + $(if $(filter xml,$(MB_DOC_FORMAT)),@echo $(call _mb_doc_xml_open,firemake)) + @echo $(MB_DOC_HELP_FUNCTION) + $(if $(filter xml,$(MB_DOC_FORMAT)),@echo $(call _mb_doc_xml_close,firemake)) +endef +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_doc_show_help@function,"Displays text for 'make help@function'") diff --git a/lib/make/mb_flight.mk b/lib/make/mb_flight.mk new file mode 100644 index 0000000..c0c828b --- /dev/null +++ b/lib/make/mb_flight.mk @@ -0,0 +1,26 @@ + +MB_FLIGHT_SCREEN ?= off +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_FLIGHT_SCREEN,"Creates screenshots on exit of openMSX.",$(MB_FLIGHT_SCREEN)) + +MB_FLIGHT_VIDEO ?= off +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_FLIGHT_VIDEO,"Create video of every build pipe session.",$(MB_FLIGHT_VIDEO)) + +MB_FLIGHT_PREFIX ?= msxbuild +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_FLIGHT_PREFIX,"Prefix for video and screenshot flight files.",$(MB_FLIGHT_PREFIX)) + +MB_FLIGHT_SEPERATOR ?= - +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_FLIGHT_SEPERATOR,"File and index number seperator for flight files.",$(MB_FLIGHT_SEPERATOR)) + +MB_FLIGHT_RECORD_FLAG ?= -doublesize +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_FLIGHT_RECORD_FLAG,"Command parameter flag of the video recorder.",$(MB_FLIGHT_RECORD_FLAG)) + +MB_FLIGHT_VIDEO_NAME ?= flight-video +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_FLIGHT_VIDEO_NAME,"Video file name of the final result merged video.",$(MB_FLIGHT_VIDEO_NAME)) + +define mb_flight_video_merge + @echo === Indexing flight videos + ls -1tr $(1)/*-omsx/videos/*.avi | awk -F "$(1)/" -v s="file '" -v e="'" '{print s$$2e}' > $(1)/$(MB_FLIGHT_VIDEO_NAME).lst + ffmpeg -v quiet -y -f concat -i $(1)/$(MB_FLIGHT_VIDEO_NAME).lst -c copy $(1)/$(MB_FLIGHT_VIDEO_NAME).avi + @echo === Flight video completed +endef +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_flight_video_merge,"Merged all flight videos to one.","") diff --git a/lib/make/mb_msxhub.mk b/lib/make/mb_msxhub.mk index 5e6f636..83ac7f0 100644 --- a/lib/make/mb_msxhub.mk +++ b/lib/make/mb_msxhub.mk @@ -1,6 +1,9 @@ -MB_MSXHUB_API ?= https://msxhub.com/api -MB_MSXHUB_CACHE ?= $(MB_CACHE)/msxbuild/msxhub +MB_MSXHUB_API ?= https://msxhub.com/api +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_MSXHUB_API,"Resource identifier for msxhub package rest api.",$(MB_MSXHUB_API)) + +MB_MSXHUB_CACHE ?= $(MB_CACHE)/msxbuild/msxhub +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_MSXHUB_CACHE,"Cache storage location.",$(MB_MSXHUB_CACHE)) define _mb_msxhub_file_fetch @echo === Fetch msxhub file @@ -13,27 +16,33 @@ define mb_msxhub_file $(if $(wildcard $(MB_MSXHUB_CACHE)/$(2)),,$(call _mb_msxhub_file_fetch,$(MB_MSXHUB_API)/$(2))) $(if $(wildcard $(1)/$(call _mb_lowercase,$(notdir $(2)))),,$(call mb_copy,$(MB_MSXHUB_CACHE)/$(2),$(1)/$(call _mb_lowercase,$(notdir $(2))))) endef +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxhub_file,"Installs msxsub packages from slug into dir."," ") # # Operating System (minimal) # -define mb_msxhub_get_dos1_boot +define mb_msxhub_get_msxdos1_boot $(call mb_msxhub_file,$(1),MSXDOS1/1.03-2/get/MSXDOS1/MSXDOS.SYS) $(call mb_msxhub_file,$(1),MSXDOS1/1.03-2/get/MSXDOS1/COMMAND.COM) endef -define mb_msxhub_get_dos2_boot +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxhub_get_msxdos1_boot,"Installs msxdos1 boot files into dir.","") + +define mb_msxhub_get_msxdos2_boot $(call mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/MSXDOS2.SYS) $(call mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/COMMAND2.COM) endef +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxhub_get_msxdos2_boot,"Installs msxdos2 boot files into dir.","") + define mb_msxhub_get_nextor_boot $(call mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/NEXTOR.SYS) $(call mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/COMMAND2.COM) endef +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxhub_get_nextor_boot,"Installs nextor boot files into dir.","") # # Native OS utils # -define mb_msxhub_get_dos2_utils +define mb_msxhub_get_msxdos2_utils $(call mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/CHKDSK.COM) $(call mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/DISKCOPY.COM) $(call mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/FIXDISK.COM) @@ -42,6 +51,7 @@ define mb_msxhub_get_dos2_utils $(call mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/XDIR.COM) $(call mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/KMODE.COM) endef +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxhub_get_msxdos2_utils,"Installs msxdos2 util files into dir.","") define mb_msxhub_get_nextor_utils $(call mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/DELALL.COM) $(call mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/DEVINFO.COM) @@ -57,6 +67,7 @@ define mb_msxhub_get_nextor_utils $(call mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/NEXBOOT.COM) $(call mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/CONCLUS.COM) endef +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxhub_get_nextor_utils,"Installs nextor util files into dir.","") # # Compilers @@ -67,6 +78,8 @@ define mb_msxhub_get_macro80 $(call mb_msxhub_file,$(1),MACRO80/2.0-1/get/MACRO80/LIB80.COM) $(call mb_msxhub_file,$(1),MACRO80/2.0-1/get/MACRO80/M80.COM) endef +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxhub_get_macro80,"Installs macro80 package into dir.","") + define mb_msxhub_get_z80asmuk $(call mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/BINTOASM.COM) $(call mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/DUMP.COM) @@ -78,15 +91,20 @@ define mb_msxhub_get_z80asmuk $(call mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/ZSMPP.COM) $(call mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/HEXTOBIN.COM) endef +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxhub_get_z80asmuk,"Installs z80asmuk package into dir.","") + define mb_msxhub_get_wbass2 $(call mb_msxhub_file,$(1),WBASS2/2.0-1/get/WBASS2/zoekram.bin) $(call mb_msxhub_file,$(1),WBASS2/2.0-1/get/WBASS2/wbass2.bin) $(call mb_msxhub_file,$(1),WBASS2/2.0-1/get/WBASS2/wbass2.bas) endef +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxhub_get_wbass2,"Installs wbass2 package into dir.","") + define mb_msxhub_get_konpass $(call mb_msxhub_file,$(1),KONPASS/1.3.0-1/get/KONPASS/KONPASS.COM) $(call mb_msxhub_file,$(1),KONPASS/1.3.0-1/get/KONPASS/KONPASS.DAT) endef +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxhub_get_konpass,"Installs konpass package into dir.","") # # Compressors @@ -99,21 +117,32 @@ define mb_msxhub_get_pmarc $(call mb_msxhub_file,$(1),PMARC/2.0-1/get/PMARC/PMSET.COM) $(call mb_msxhub_file,$(1),PMARC/2.0-1/get/PMARC/PMSFX2.COM) endef +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxhub_get_pmarc,"Installs pmarc package into dir.","") + define mb_msxhub_get_lhpack $(call mb_msxhub_file,$(1),LHPACK/1.00-1/get/LHPACK/lhpack.com) endef +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxhub_get_lhpack,"Installs lhpack package into dir.","") + define mb_msxhub_get_lhext $(call mb_msxhub_file,$(1),LHEXT/1.34-2/get/LHEXT/lhext.com) endef +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxhub_get_lhext,"Installs lhext package into dir.","") + define mb_msxhub_get_gunzip $(call mb_msxhub_file,$(1),GUNZIP/1.1-1/get/GUNZIP/gunzip.com) endef +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxhub_get_gunzip,"Installs gunzip package into dir.","") + define mb_msxhub_get_tunzip $(call mb_msxhub_file,$(1),TUNZIP/0.91-1/get/TUNZIP/tunzip.com) endef +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxhub_get_tunzip,"Installs tunzip package into dir.","") + define mb_msxhub_get_popcom $(call mb_msxhub_file,$(1),POPCOM/1.00-2/get/POPCOM/popcom.com) endef +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxhub_get_popcom,"Installs popcom package into dir.","") # # Tools @@ -121,24 +150,38 @@ endef define mb_msxhub_get_make $(call mb_msxhub_file,$(1),MAKE/2.00-1/get/MAKE/MAKE.COM) endef +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxhub_get_make,"Installs make package into dir.","") + define mb_msxhub_get_adir $(call mb_msxhub_file,$(1),ADIR/1.3-1/get/ADIR/adir.com) endef +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxhub_get_adir,"Installs adir package into dir.","") + define mb_msxhub_get_turbo $(call mb_msxhub_file,$(1),TURBO/2.1-1/get/TURBO/turbo.com) endef +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxhub_get_turbo,"Installs turbo package into dir.","") + define mb_msxhub_get_baskom $(call mb_msxhub_file,$(1),BASKOM/1.0-1/get/BASKOM/baskom.com) endef +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxhub_get_baskom,"Installs baskom package into dir.","") + define mb_msxhub_get_binldr $(call mb_msxhub_file,$(1),BINLDR/1.0.2-1/get/BINLDR/BINLDR.COM) endef +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxhub_get_binldr,"Installs binldr package into dir.","") + define mb_msxhub_get_dmphex $(call mb_msxhub_file,$(1),DMPHEX/1.00-1/get/DMPHEX/dmphex.com) endef +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxhub_get_dmphex,"Installs dmphex package into dir.","") + define mb_msxhub_get_zd $(call mb_msxhub_file,$(1),ZD/3.30-1/get/ZD/zd.com) endef +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxhub_get_zd,"Installs zd package into dir.","") + define mb_msxhub_get_msxdos2t $(call mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/ADDAUX.COM) $(call mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/BEEP.COM) @@ -164,6 +207,7 @@ define mb_msxhub_get_msxdos2t $(call mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/VIEW.COM) $(call mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/WC.COM) endef +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxhub_get_msxdos2t,"Installs msxdos2t package into dir.","") # # Graphics editors @@ -171,3 +215,4 @@ endef define mb_msxhub_get_gfxage $(call mb_msxhub_file,$(1),GFXAGE/0.99-1/get/GFXAGE/gfxage.com) endef +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxhub_get_gfxage,"Installs gfxage package into dir.","") diff --git a/lib/make/mb_msxpipe.mk b/lib/make/mb_msxpipe.mk new file mode 100644 index 0000000..6ab0272 --- /dev/null +++ b/lib/make/mb_msxpipe.mk @@ -0,0 +1,44 @@ + +# TODO: rename + +define mb_autoexec_safe_cmd + $(call mb_autoexec_write_default,$(1),$(3)) + $(call mb_autoexec_append_safe_cmd,$(1),$(2)) + $(call mb_autoexec_append_exit,$(1)) +endef +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_autoexec_safe_cmd,"Runs openMSX and safely executes a command."," [text-mode]") + +define mb_autoexec_safe_test + $(call mb_autoexec_write_default,$(1),$(3)) + $(call mb_autoexec_append_safe_test,$(1),$(2)) + $(call mb_autoexec_append_exit,$(1)) +endef +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_autoexec_safe_test,"Runs openMSX and safely executes a test."," [text-mode]") + +define _mb_autoexec_show_gui + $(call mb_autoexec_write_default,$(1),$(2)) + $(call mb_autoexec_append_stop_fail,$(1)) + $(call mb_autoexec_append_show_gui,$(1)) + $(if $(filter mouse,$(4)),$(call mb_autoexec_append_joyporta_mouse,$(1))) + $(call mb_autoexec_append_echo,$(1),Type shutdown to stop emulation) + $(if $(3),$(call mb_autoexec_append_echo,$(1),Running command: $(3))) + $(if $(3),$(call mb_autoexec_append_cmd,$(1),$(3))) +endef + +define mb_autoexec_show_gui40 + $(call _mb_autoexec_show_gui,$(1),40,$(2)) +endef + +define mb_autoexec_show_gui40_mouse + $(call _mb_autoexec_show_gui,$(1),40,$(2),mouse) +endef + +define mb_autoexec_show_gui80 + $(call _mb_autoexec_show_gui,$(1),80,$(2)) +endef + +define mb_autoexec_show_gui80_mouse + $(call _mb_autoexec_show_gui,$(1),80,$(2),mouse) +endef + + diff --git a/lib/make/mb_msxrom.mk b/lib/make/mb_msxrom.mk index d99701f..795f737 100644 --- a/lib/make/mb_msxrom.mk +++ b/lib/make/mb_msxrom.mk @@ -1,7 +1,10 @@ # TODO: Convert pi MSX number data, the named pie slices to hyperdrive storage for distribution over red sea -MB_MSXROM_API ?= https://msxrom.distributedrebirth.love/calc-pi/v19.1 -MB_MSXROM_CACHE ?= $(MB_CACHE)/msxbuild/msxrom +MB_MSXROM_API ?= https://msxrom.distributedrebirth.love/calc-pi/v19.1 +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_MSXROM_API,"Resource identifier for msxrom pi pie named slices data.",$(MB_MSXROM_API)) + +MB_MSXROM_CACHE ?= $(MB_CACHE)/msxbuild/msxrom +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_MSXROM_CACHE,"Cache storage location.",$(MB_MSXROM_CACHE)) define _mb_msxrom_file_fetch @echo === Fetch msxrom file @@ -13,6 +16,7 @@ define mb_msxrom_file $(if $(wildcard $(MB_MSXROM_CACHE)/$(2)),,$(call _mb_msxrom_file_fetch,$(MB_MSXROM_API)/$(2))) $(if $(wildcard $(1)/$(notdir $(2))),,$(call mb_copy,$(MB_MSXROM_CACHE)/$(2),$(1)/$(notdir $(2)))) endef +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxrom_file,"Installs msxroms from slug into an subdir."," ") define mb_msxrom_setup $(if $(wildcard $(1)-omsx),,$(call mb_mkdir,$(1)-omsx)) @@ -21,54 +25,67 @@ define mb_msxrom_setup $(if $(wildcard $(1)-omsx/share/systemroms/machines),,$(call mb_mkdir,$(1)-omsx/share/systemroms/machines)) $(if $(wildcard $(1)-omsx/share/systemroms/extensions),,$(call mb_mkdir,$(1)-omsx/share/systemroms/extensions)) endef +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxrom_setup,"Creates needed systemrom folders.","") define mb_msxrom_extension_ide $(call mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/ide240.dat) endef +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxrom_extension_ide,"Installs sunrise IDE classic rom.","") define mb_msxrom_extension_ide_nextor $(call mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/Nextor-2.1.1.SunriseIDE.ROM) endef +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxrom_extension_ide_nextor,"Installs sunrise IDE nextor rom.","") define mb_msxrom_extension_scsi_novaxis $(call mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/novaxis.rom) endef +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxrom_extension_scsi_novaxis,"Installs Gouda SCSI novaxis rom.","") define mb_msxrom_extension_msxdos22 $(call mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/msxdos22.rom) endef +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxrom_extension_msxdos22,"Installs msxdos 2.20 rom.","") define mb_msxrom_extension_rs232 $(call mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/rs232.rom) endef +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxrom_extension_rs232,"Installs rs232 rom.","") define mb_msxrom_extension_fmpac $(call mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/fmpac.rom) endef +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxrom_extension_fmpac,"Installs fmpac rom.","") define mb_msxrom_extension_fmpac_en $(call mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/fmpac_en.rom) endef +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxrom_extension_fmpac_en,"Installs fmpac english rom.","") define mb_msxrom_extension_moonsound $(call mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/yrw801.rom) endef +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxrom_extension_moonsound,"Installs moonsound rom.","") define mb_msxrom_extension_basickun $(call mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,machines/sanyo/phc-70fd2_basickun.rom) endef +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxrom_extension_basickun,"Installs basickun compiler rom.","") define mb_msxrom_machine_Canon_V-20 $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/canon/v-20_basic-bios1.rom) endef +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxrom_machine_Canon_V-20,"Installs machine roms.","") define mb_msxrom_machine_Mitsubishi_ML-F80 $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/mitsubishi/ml-f80_basic-bios1.rom) endef +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxrom_machine_Mitsubishi_ML-F80,"Installs machine roms.","") define mb_msxrom_machine_Mitsubishi_ML-FX1 $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/mitsubishi/ml-fx1_basic-bios1.rom) endef +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxrom_machine_Mitsubishi_ML-FX1,"Installs machine roms.","") define mb_msxrom_machine_Mitsubishi_ML-G3_ES $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/mitsubishi/ml-g3_es_basic-bios2.rom) @@ -76,33 +93,39 @@ define mb_msxrom_machine_Mitsubishi_ML-G3_ES $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/mitsubishi/ml-g3_es_msx2sub.rom) $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/mitsubishi/ml-g3_es_rs232.rom) endef +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxrom_machine_Mitsubishi_ML-G3_ES,"Installs machine roms.","") define mb_msxrom_machine_Philips_VG_8000 $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/philips/vg8000_basic-bios1.rom) endef +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxrom_machine_Philips_VG_8000,"Installs machine roms.","") define mb_msxrom_machine_Philips_VG_8230 $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/philips/vg8230_basic-bios2.rom) $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/philips/vg8230_disk.rom) $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/philips/vg8230_msx2sub.rom) endef +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxrom_machine_Philips_VG_8230,"Installs machine roms.","") define mb_msxrom_machine_Philips_NMS_8250 $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/philips/nms8250_basic-bios2.rom) $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/philips/nms8250_msx2sub.rom) $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/philips/nms8250_disk.rom) endef +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxrom_machine_Philips_NMS_8250,"Installs machine roms.","") define mb_msxrom_machine_Toshiba_HX-21 $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/toshiba/HX21-IC2.BIN) $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/toshiba/HX21-IC3.BIN) endef +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxrom_machine_Toshiba_HX-21,"Installs machine roms.","") define mb_msxrom_machine_Yamaha_AX200 $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/yamaha/ax200_basic-bios1.rom) $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/yamaha/ax200_arabic.rom) $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/yamaha/ax200_music.rom) endef +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxrom_machine_Yamaha_AX200,"Installs machine roms.","") define mb_msxrom_machine_Yamaha_YIS-503IIIR $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/yamaha/yis503iii_basic-bios2.rom) @@ -110,6 +133,7 @@ define mb_msxrom_machine_Yamaha_YIS-503IIIR $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/yamaha/yis503iii_cpm.rom) $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/yamaha/yis503iii_net_2.rom) endef +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxrom_machine_Yamaha_YIS-503IIIR,"Installs machine roms.","") define mb_msxrom_machine_Panasonic_FS-A1WSX $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/panasonic/fs-a1wsx_basic-bios2p.rom) @@ -120,6 +144,7 @@ define mb_msxrom_machine_Panasonic_FS-A1WSX $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/panasonic/fs-a1wsx_kanjifont.rom) $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/panasonic/fs-a1wsx_msx2psub.rom) endef +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxrom_machine_Panasonic_FS-A1WSX,"Installs machine roms.","") define mb_msxrom_machine_Boosted_MSX2_EN $(call mb_msxrom_machine_Philips_NMS_8250,$(1)) @@ -127,10 +152,12 @@ define mb_msxrom_machine_Boosted_MSX2_EN $(call mb_msxrom_extension_moonsound,$(1)) $(call mb_msxrom_extension_basickun,$(1)) endef +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxrom_machine_Boosted_MSX2_EN,"Installs machine and extensions roms.","") define mb_msxrom_machine_Boosted_MSX2+_JP $(call mb_msxrom_machine_Panasonic_FS-A1WSX,$(1)) $(call mb_msxrom_extension_moonsound,$(1)) $(call mb_msxrom_extension_basickun,$(1)) endef +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxrom_machine_Boosted_MSX2+_JP,"Installs machine and extensions roms.","") diff --git a/lib/make/mb_openmsx.mk b/lib/make/mb_openmsx.mk index a999e88..1621a36 100644 --- a/lib/make/mb_openmsx.mk +++ b/lib/make/mb_openmsx.mk @@ -1,17 +1,41 @@ MB_OPENMSX_BOOT_TIMEOUT ?= 25 +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_OPENMSX_BOOT_TIMEOUT,"Timeout in seconds to guard for boot failures.",$(MB_OPENMSX_BOOT_TIMEOUT)) + MB_OPENMSX_BOOT_OS ?= nextor +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_OPENMSX_BOOT_OS,"The default OS to run$(MB_CHAR_COMMA) valid values are; nextor$(MB_CHAR_COMMA)msxdos1$(MB_CHAR_COMMA)msxdos2",$(MB_OPENMSX_BOOT_OS)) + MB_OPENMSX_MACHINE ?= Philips_NMS_8250 +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_OPENMSX_MACHINE,"The default MSX machine to use.",$(MB_OPENMSX_MACHINE)) + +MB_OPENMSX_MACHINE_RAM ?= ram1mb +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_OPENMSX_MACHINE_RAM,"Extra ram extension for machine allowed: off$(MB_CHAR_COMMA)ram16k$(MB_CHAR_COMMA)ram64k$(MB_CHAR_COMMA)ram512k$(MB_CHAR_COMMA)ram1mb$(MB_CHAR_COMMA)ram2mb$(MB_CHAR_COMMA)ram4mb",$(MB_OPENMSX_MACHINE_RAM)) + MB_OPENMSX_SPEED ?= $(if $(findstring turboR,$(MB_OPENMSX_MACHINE)),100,333) -MB_OPENMSX_EXTS ?= +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_OPENMSX_SPEED,"The throttled MSX emulation speed.",$(MB_OPENMSX_SPEED)) + +MB_OPENMSX_ARGS ?= +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_OPENMSX_ARGS,"Extra arguments to invoke openMSX with.",$(MB_OPENMSX_ARGS)) + MB_OPENMSX_HDD_SIZE ?= 4m +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_OPENMSX_HDD_SIZE,"The default fire-hdd disk image size.",$(MB_OPENMSX_HDD_SIZE)) + MB_OPENMSX_SCALE_FACTOR ?= 3 +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_OPENMSX_SCALE_FACTOR,"The gui scale factor to display the emulation.",$(MB_OPENMSX_SCALE_FACTOR)) + MB_OPENMSX_THROTTLE ?= off +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_OPENMSX_THROTTLE,"An on/off flag to control throttling globally.",$(MB_OPENMSX_THROTTLE)) + MB_OPENMSX_HEADLESS ?= on +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_OPENMSX_HEADLESS,"Controls if openMSX starts headless.",$(MB_OPENMSX_HEADLESS)) + MB_OPENMSX_RENDERER ?= SDLGL-PP +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_OPENMSX_RENDERER,"The gui renderer used to display the window head with.",$(MB_OPENMSX_RENDERER)) + MB_OPENMSX_VDP_FG ?= 151 MB_OPENMSX_VDP_BG ?= 000 + define mb_openmsx_setup $(if $(wildcard $(1)-omsx),,$(call mb_mkdir,$(1)-omsx)) $(if $(wildcard $(1)-omsx/stdio.xml),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/openmsx/stdio.xml,$(1)-omsx)) @@ -30,6 +54,7 @@ define mb_openmsx_setup $(call mb_msxrom_extension_ide_nextor,$(1)) $(call mb_msxrom_machine_$(2),$(1)) endef +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_openmsx_setup,"Setup openMSX local build home folder for machine."," ") define _mb_openmsx_run @echo === openMSX Start for $@ @@ -52,8 +77,13 @@ define _mb_openmsx_run -machine $(2) \ -ext slotexpander \ -ext fire-hdd \ - $(if $(3),$(3),-ext ram4mb) \ - $(MB_OPENMSX_EXTS) \ + $(if $(filter ram16k,$(3)),-ext ram16k) \ + $(if $(filter ram64k,$(3)),-ext ram64k) \ + $(if $(filter ram512k,$(3)),-ext ram512k) \ + $(if $(filter ram1mb,$(3)),-ext ram1mb) \ + $(if $(filter ram4mb,$(3)),-ext ram2mb) \ + $(if $(filter ram4mb,$(3)),-ext ram4mb) \ + $(MB_OPENMSX_ARGS) \ -control stdio < $(1)-omsx/stdio.xml @echo === openMSX Done for $@ endef @@ -69,5 +99,6 @@ define mb_openmsx_dosctl $(if $(wildcard $(1)/utils),$(call _mb_openmsx_dosctl_bat,$(1)/utils),$(call _mb_openmsx_dosctl_bat,$(1))) $(if $(wildcard $(1)/omsxctl.com),,$(call mb_msxhub_file,$(1),OMSXCTL/1.0-1/get/OMSXCTL/omsxctl.com)) $(if $(wildcard $(1)/command.com),,$(if $(wildcard $(1)/command2.com),,$(call mb_msxhub_get_$(MB_OPENMSX_BOOT_OS)_boot,$(1)))) - $(call _mb_openmsx_run,$(1),$(if $(2),$(2),$(MB_OPENMSX_MACHINE)),$(3)) + $(call _mb_openmsx_run,$(1),$(if $(2),$(2),$(MB_OPENMSX_MACHINE)),$(if $(3),$(3),$(MB_OPENMSX_MACHINE_RAM))) endef +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_openmsx_dosctl,"Starts openMSX with dos controller."," [machine] [mem-ext]") diff --git a/lib/make/mb_sdcc.mk b/lib/make/mb_sdcc.mk index 033aa9d..7bf06c1 100644 --- a/lib/make/mb_sdcc.mk +++ b/lib/make/mb_sdcc.mk @@ -1,55 +1,80 @@ -MB_SDCC_FLAG_CPU ?= -mz80 -MB_SDCC_FLAG_LD ?= --nostdinc -MB_SDCC_ASZ80_FLAGS ?= -g -l -c -o -MB_SDCC_CC_FLAGS ?= -MB_SDCC_AR_FLAGS ?= -rc -MB_SDCC_CC_CMD ?= $(PATH_SDCC)/sdcc -MB_SDCC_ASZ80_CMD ?= $(PATH_SDCC)/sdasz80 -MB_SDCC_AR_CMD ?= $(PATH_SDCC)/sdar -MB_SDCC_OBJCOPY_CMD ?= $(PATH_SDCC)/sdobjcopy +MB_SDCC_FLAG_CPU ?= -mz80 +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_SDCC_FLAG_CPU,"The SDCC cpu target option.",$(MB_SDCC_FLAG_CPU)) + +MB_SDCC_FLAG_LD ?= --nostdinc +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_SDCC_FLAG_LD,"The SDCC linker option.",$(MB_SDCC_FLAG_LD)) + +MB_SDCC_ASZ80_FLAGS ?= -g -l -c -o +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_SDCC_ASZ80_FLAGS,"The SDCC z80 asm compiler flags.",$(MB_SDCC_ASZ80_FLAGS)) + +MB_SDCC_CC_FLAGS ?= +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_SDCC_CC_FLAGS,"The SDCC C compiler flags.",$(MB_SDCC_CC_FLAGS)) + +MB_SDCC_AR_FLAGS ?= -rc +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_SDCC_AR_FLAGS,"The SDCC module archive flags.",$(MB_SDCC_AR_FLAGS)) + # TODO: Add C + mixed support; #$(MB_SDASM) -I$(MB_LIBASM_SRC)/include #-l$(LIBASM_LINK) + define mb_sdcc_compile_asm @echo === SDCC Compile module asm for $(2) - $(MB_SDCC_ASZ80_CMD) $(MB_SDCC_ASZ80_FLAGS) $(2) $(1) + $(PATH_SDCC)/sdasz80 $(MB_SDCC_ASZ80_FLAGS) $(2) $(1) endef +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_sdcc_compile_asm,"Compiles asm source code."," ") define mb_sdcc_link_asm_lib @echo === SDCC Link module asm lib for $(2) - $(MB_SDCC_AR_CMD) $(MB_SDCC_AR_FLAGS) $(1) $(2) + $(PATH_SDCC)/sdar $(MB_SDCC_AR_FLAGS) $(1) $(2) endef +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_sdcc_link_asm_lib,"Link asm lib module."," ") define mb_sdcc_link_asm @echo === SDCC Link asm module at $(3) for $(2) - $(MB_SDCC_CC_CMD) $(MB_SDCC_FLAG_CPU) $(MB_SDCC_CC_FLAGS) --no-std-crt0 --code-loc $(3) -o $(2) $(1) + $(PATH_SDCC)/sdcc $(MB_SDCC_FLAG_CPU) $(MB_SDCC_CC_FLAGS) --no-std-crt0 --code-loc $(3) -o $(2) $(1) endef +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_sdcc_link_asm,"Links asm to custom code location."," ") + define mb_sdcc_link_asm_0000 $(call mb_sdcc_link_asm,$(1),$(2),0x0000) endef +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_sdcc_link_asm_0000,"Links asm to 0x0000."," ") + define mb_sdcc_link_asm_0100 $(call mb_sdcc_link_asm,$(1),$(2),0x0100) endef +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_sdcc_link_asm_0100,"Links asm to 0x0100."," ") + define mb_sdcc_link_asm_1000 $(call mb_sdcc_link_asm,$(1),$(2),0x1000) endef +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_sdcc_link_asm_1000,"Links asm to 0x1000."," ") + define mb_sdcc_link_asm_4000 $(call mb_sdcc_link_asm,$(1),$(2),0x4000) endef +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_sdcc_link_asm_4000,"Links asm to 0x4000."," ") + define mb_sdcc_link_asm_8000 $(call mb_sdcc_link_asm,$(1),$(2),0x8000) endef +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_sdcc_link_asm_8000,"Links asm to 0x8000."," ") + define mb_sdcc_link_asm_C000 $(call mb_sdcc_link_asm,$(1),$(2),0xC000) endef +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_sdcc_link_asm_C000,"Links asm to 0xC000."," ") + define mb_sdcc_link_asm_bdos $(call mb_sdcc_link_asm_0100,$(1),$(2)) endef +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_sdcc_link_asm_bdos,"Links asm to BDOS."," ") define mb_sdcc_hex2bin @echo === SDCC hex2bin for $(2) - $(MB_SDCC_OBJCOPY_CMD) -I ihex --output-target=binary $(1) $(2) + $(PATH_SDCC)/sdobjcopy -I ihex --output-target=binary $(1) $(2) endef +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_sdcc_hex2bin,"Converts an hex file to binary."," ") diff --git a/lib/make/msxbuild.mk b/lib/make/msxbuild.mk index 8b64a66..acb6dc5 100644 --- a/lib/make/msxbuild.mk +++ b/lib/make/msxbuild.mk @@ -8,13 +8,16 @@ PATH_OPENMSX ?= /usr/bin PATH_MSXBUILD ?= $(dir $(lastword $(MAKEFILE_LIST)))../.. PATH_MSXBUILD_REAL := $(if $(realpath $(PATH_MSXBUILD)),$(realpath $(PATH_MSXBUILD)),$(PATH_MSXBUILD)) -# Fill other defaults -MB_FLIGHT_SCREEN ?= off -MB_FLIGHT_VIDEO ?= off -MB_FLIGHT_PREFIX ?= msxbuild -MB_FLIGHT_SEPERATOR ?= - -MB_FLIGHT_RECORD_FLAG ?= -doublesize -MB_FLIGHT_VIDEO_NAME ?= flight-video +# Include extra features +include $(PATH_MSXBUILD)/lib/make/mb_doc.mk + +include $(PATH_MSXBUILD)/lib/make/mb_sdcc.mk +include $(PATH_MSXBUILD)/lib/make/mb_flight.mk +include $(PATH_MSXBUILD)/lib/make/mb_msxrom.mk +include $(PATH_MSXBUILD)/lib/make/mb_msxhub.mk +include $(PATH_MSXBUILD)/lib/make/mb_msxpipe.mk +include $(PATH_MSXBUILD)/lib/make/mb_openmsx.mk +include $(PATH_MSXBUILD)/lib/make/mb_autoexec.mk # OS cmds ifeq ($(OS),Windows_NT) @@ -38,8 +41,16 @@ endif # Remove space after separator MB_PSEP = $(strip $(MB_SEP)) -# Needed for comma in call arguments (like for l80.com) -MB_COMMA:=, +# Special chars call arguments (like for l80.com) +MB_CHAR_COMMA := "," +MB_CHAR_GT := ">" +MB_CHAR_LT := "<" +MB_CHAR_CDATA_START := "" + +define mb_rwildcard + $(foreach d,$(wildcard $1*),$(call mb_rwildcard,$d/,$2) $(filter $(subst *,%,$2),$d)) +endef # Simple inline ascii lowercase define _mb_lowercase @@ -50,35 +61,35 @@ define mb_clean @echo === Cleaning build folder $(if $(wildcard $(1)),$(MB_RMDIR) $(1)) endef +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_clean,"Removed the full folder.","") + define mb_mkdir $(MB_MKDIR) $(1) endef +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_mkdir,"Creates an folder.","") + define mb_delete $(if $(wildcard $(1)),$(MB_RM) $(1)) endef +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_delete,"Deletes an file.","") + define mb_copy $(MB_COPY) $(1) $(2) endef +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_copy,"Copy an file."," ") + define mb_unix2dos unix2dos -q -n $(1) $(2) endef +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_unix2dos,"Converts an unix file to dos."," ") + define mb_dos2unix dos2unix -q -n $(1) $(2) endef +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_dos2unix,"Converts an dos file to unix."," ") + define mb_create_dist @echo === Creating distribution archive tar -czf $(2) -C $(1) `ls $(1)` endef -define mb_create_flight_video - @echo === Indexing flight videos - ls -1tr $(1)/*-omsx/videos/*.avi | awk -F "$(1)/" -v s="file '" -v e="'" '{print s$$2e}' > $(1)/$(MB_FLIGHT_VIDEO_NAME).lst - ffmpeg -v quiet -y -f concat -i $(1)/$(MB_FLIGHT_VIDEO_NAME).lst -c copy $(1)/$(MB_FLIGHT_VIDEO_NAME).avi - @echo === Flight video completed -endef - -# Include extra features -include $(PATH_MSXBUILD)/lib/make/mb_sdcc.mk -include $(PATH_MSXBUILD)/lib/make/mb_msxrom.mk -include $(PATH_MSXBUILD)/lib/make/mb_msxhub.mk -include $(PATH_MSXBUILD)/lib/make/mb_openmsx.mk -include $(PATH_MSXBUILD)/lib/make/mb_autoexec.mk +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_create_dist,"Create an distribution archive."," ") diff --git a/src/0module.mk b/src/0module.mk new file mode 100644 index 0000000..4544789 --- /dev/null +++ b/src/0module.mk @@ -0,0 +1,19 @@ + +help: + $(call mb_doc_show_help@project) +.PHONY: help + +help@target: + $(call mb_doc_show_help@target) +MB_DOC_HELP_PROJECT += $(call mb_doc_project,help@target) +.PHONY: help@target + +help@function: + $(call mb_doc_show_help@function) +MB_DOC_HELP_PROJECT += $(call mb_doc_project,help@function) +.PHONY: help@function + +help@variable: + $(call mb_doc_show_help@variable) +MB_DOC_HELP_PROJECT += $(call mb_doc_project,help@variable) +.PHONY: help@variable diff --git a/src/0module/0module.mk b/src/0module/0module.mk new file mode 100644 index 0000000..e5e344c --- /dev/null +++ b/src/0module/0module.mk @@ -0,0 +1,3 @@ + +$(PATH_BIN): + $(call mb_mkdir,$(PATH_BIN)) diff --git a/src/0module/assert-all/0module.mk b/src/0module/assert-all/0module.mk new file mode 100644 index 0000000..9f9b4d1 --- /dev/null +++ b/src/0module/assert-all/0module.mk @@ -0,0 +1,15 @@ + +ASSERT_ALL_DEPS := \ +bin/ahello-m80-test/@assert \ +bin/ahello-sdcc-test/@assert \ +bin/dist-qa-dos1/@assert \ +bin/dist-qa-dos2/@assert \ +bin/dist-qa-msx1/@assert \ +bin/dist-qa-msxhub/@assert \ +bin/make-on-msx/@assert + +$(PATH_BIN)/@assert-all: | $(ASSERT_ALL_DEPS) + @echo === All assertions completed + $(if $(filter on,$(MB_FLIGHT_VIDEO)),$(call mb_flight_video_merge,$(PATH_BIN))) +MB_DOC_HELP_TARGET += $(call mb_doc_target,$(PATH_BIN)/@assert-all,"Asserts all assertions tests.") +.PHONY: $(PATH_BIN)/@assert-all diff --git a/src/0module/clean/0module.mk b/src/0module/clean/0module.mk new file mode 100644 index 0000000..a440e3e --- /dev/null +++ b/src/0module/clean/0module.mk @@ -0,0 +1,5 @@ + +$(PATH_BIN)/@clean: + $(call mb_clean,$(PATH_BIN)) +MB_DOC_HELP_TARGET += $(call mb_doc_target,$(PATH_BIN)/@clean,"Clean's the build folder.") +.PHONY: $(PATH_BIN)/@clean diff --git a/src/0module/prepare/0module.mk b/src/0module/prepare/0module.mk new file mode 100644 index 0000000..c26637c --- /dev/null +++ b/src/0module/prepare/0module.mk @@ -0,0 +1,5 @@ + +$(PATH_BIN)/@prepare: | $(PATH_BIN) + @echo === Prepare $(PATH_BIN) +MB_DOC_HELP_TARGET += $(call mb_doc_target,$(PATH_BIN)/@prepare,"Virtual prepare phase of binary folder.") +.PHONY: $(PATH_BIN)/@prepare diff --git a/src/ahello-m80-test/0module.mk b/src/ahello-m80-test/0module.mk index 5b0e106..25bc2cf 100644 --- a/src/ahello-m80-test/0module.mk +++ b/src/ahello-m80-test/0module.mk @@ -3,22 +3,22 @@ AHELLO_M80_TEST_MOD := ahello-m80-test AHELLO_M80_TEST_SRC := $(PATH_SRC)/$(AHELLO_M80_TEST_MOD) AHELLO_M80_TEST_BIN := $(PATH_BIN)/$(AHELLO_M80_TEST_MOD) -$(AHELLO_M80_TEST_BIN): +$(AHELLO_M80_TEST_BIN): | $(PATH_BIN)/@prepare $(call mb_mkdir,$(AHELLO_M80_TEST_BIN)) $(AHELLO_M80_TEST_BIN)/ahello.com: $(AHELLO_M80_BIN)/ahello.com | $(AHELLO_M80_TEST_BIN) $(call mb_copy,$<,$@) -BUILD_HELP += \\n\\t* $(AHELLO_M80_TEST_BIN)/@run -.PHONY: $(AHELLO_M80_TEST_BIN)/@run $(AHELLO_M80_TEST_BIN)/@run: | $(AHELLO_M80_TEST_BIN)/ahello.com $(call mb_autoexec_show_gui80,$(AHELLO_M80_TEST_BIN)) $(call mb_openmsx_dosctl,$(AHELLO_M80_TEST_BIN)) +MB_DOC_HELP_TARGET += $(call mb_doc_target,$(AHELLO_M80_TEST_BIN)/@run,"Run binaries from ahello-m80.") +.PHONY: $(AHELLO_M80_TEST_BIN)/@run -BUILD_HELP += \\n\\t* $(AHELLO_M80_TEST_BIN)/@assert -.PHONY: $(AHELLO_M80_TEST_BIN)/@assert $(AHELLO_M80_TEST_BIN)/@assert: | $(AHELLO_M80_TEST_BIN)/ahello.com $(call mb_delete,$(AHELLO_M80_TEST_BIN)/ahello.out) $(call mb_autoexec_safe_test,$(AHELLO_M80_TEST_BIN),ahello > ahello.out) $(call mb_openmsx_dosctl,$(AHELLO_M80_TEST_BIN)) grep "M80: Hello world..." $(AHELLO_M80_TEST_BIN)/ahello.out +MB_DOC_HELP_TARGET += $(call mb_doc_target,$(AHELLO_M80_TEST_BIN)/@assert,"Assert binaries from ahello-m80.") +.PHONY: $(AHELLO_M80_TEST_BIN)/@assert diff --git a/src/ahello-m80/0module.mk b/src/ahello-m80/0module.mk index 223f067..a500043 100644 --- a/src/ahello-m80/0module.mk +++ b/src/ahello-m80/0module.mk @@ -3,7 +3,7 @@ AHELLO_M80_MOD := ahello-m80 AHELLO_M80_SRC := $(PATH_SRC)/$(AHELLO_M80_MOD) AHELLO_M80_BIN := $(PATH_BIN)/$(AHELLO_M80_MOD) -$(AHELLO_M80_BIN): +$(AHELLO_M80_BIN): | $(PATH_BIN)/@prepare $(call mb_mkdir,$(AHELLO_M80_BIN)) $(call mb_mkdir,$(AHELLO_M80_BIN)/utils) $(call mb_msxhub_get_macro80,$(AHELLO_M80_BIN)/utils) @@ -17,16 +17,16 @@ $(AHELLO_M80_BIN)/ahello.rel: $(AHELLO_M80_BIN)/ahello.mac $(call mb_openmsx_dosctl,$(AHELLO_M80_BIN)) $(AHELLO_M80_BIN)/ahello.hex: $(AHELLO_M80_BIN)/ahello.rel - $(call mb_autoexec_safe_cmd,$(AHELLO_M80_BIN),l80 ahello$(MB_COMMA)ahello/N/X/Y/E) + $(call mb_autoexec_safe_cmd,$(AHELLO_M80_BIN),l80 ahello$(MB_CHAR_COMMA)ahello/N/X/Y/E) $(call mb_openmsx_dosctl,$(AHELLO_M80_BIN)) -BUILD_HELP += \\n\\t* $(AHELLO_M80_BIN)/ahello.com $(AHELLO_M80_BIN)/ahello.com: | $(AHELLO_M80_BIN)/ahello.hex $(call mb_autoexec_safe_cmd,$(AHELLO_M80_BIN),hextocom ahello) $(call mb_openmsx_dosctl,$(AHELLO_M80_BIN)) +MB_DOC_HELP_TARGET += $(call mb_doc_target,$(AHELLO_M80_BIN)/ahello.com,"Compiles hello world with macro80.") -BUILD_HELP += \\n\\t* $(AHELLO_M80_BIN)/@run -.PHONY: $(AHELLO_M80_BIN)/@run $(AHELLO_M80_BIN)/@run: | $(AHELLO_M80_BIN)/ahello.com $(call mb_autoexec_show_gui80,$(AHELLO_M80_BIN)) $(call mb_openmsx_dosctl,$(AHELLO_M80_BIN)) +MB_DOC_HELP_TARGET += $(call mb_doc_target,$(AHELLO_M80_BIN)/@run,"Run the compiled hello world from macro80.") +.PHONY: $(AHELLO_M80_BIN)/@run diff --git a/src/ahello-sdcc-test/0module.mk b/src/ahello-sdcc-test/0module.mk index 238379e..ae5f64b 100644 --- a/src/ahello-sdcc-test/0module.mk +++ b/src/ahello-sdcc-test/0module.mk @@ -3,22 +3,22 @@ AHELLO_SDCC_TEST_MOD := ahello-sdcc-test AHELLO_SDCC_TEST_SRC := $(PATH_SRC)/$(AHELLO_SDCC_TEST_MOD) AHELLO_SDCC_TEST_BIN := $(PATH_BIN)/$(AHELLO_SDCC_TEST_MOD) -$(AHELLO_SDCC_TEST_BIN): +$(AHELLO_SDCC_TEST_BIN): | $(PATH_BIN)/@prepare $(call mb_mkdir,$(AHELLO_SDCC_TEST_BIN)) $(AHELLO_SDCC_TEST_BIN)/ahello.com: $(AHELLO_SDCC_BIN)/ahello.com | $(AHELLO_SDCC_TEST_BIN) $(call mb_copy,$<,$@) -BUILD_HELP += \\n\\t* $(AHELLO_SDCC_TEST_BIN)/@run -.PHONY: $(AHELLO_SDCC_TEST_BIN)/@run $(AHELLO_SDCC_TEST_BIN)/@run: | $(AHELLO_SDCC_TEST_BIN)/ahello.com $(call mb_autoexec_show_gui80,$(AHELLO_SDCC_TEST_BIN)) $(call mb_openmsx_dosctl,$(AHELLO_SDCC_TEST_BIN)) +MB_DOC_HELP_TARGET += $(call mb_doc_target,$(AHELLO_SDCC_TEST_BIN)/@run,"Run binaries from ahello-sdcc.") +.PHONY: $(AHELLO_SDCC_TEST_BIN)/@run -BUILD_HELP += \\n\\t* $(AHELLO_SDCC_TEST_BIN)/@assert -.PHONY: $(AHELLO_M80_TEST_BIN)/@assert $(AHELLO_SDCC_TEST_BIN)/@assert: | $(AHELLO_SDCC_TEST_BIN)/ahello.com $(call mb_delete,$(AHELLO_SDCC_TEST_BIN)/ahello.out) $(call mb_autoexec_safe_test,$(AHELLO_SDCC_TEST_BIN),ahello > ahello.out) $(call mb_openmsx_dosctl,$(AHELLO_SDCC_TEST_BIN)) grep "SDCC: Hello world..." $(AHELLO_SDCC_TEST_BIN)/ahello.out +MB_DOC_HELP_TARGET += $(call mb_doc_target,$(AHELLO_SDCC_TEST_BIN)/@assert,"Assert binaries from ahello-sdcc.") +.PHONY: $(AHELLO_SDCC_TEST_BIN)/@assert \ No newline at end of file diff --git a/src/ahello-sdcc/0module.mk b/src/ahello-sdcc/0module.mk index 9853c94..9f573d0 100644 --- a/src/ahello-sdcc/0module.mk +++ b/src/ahello-sdcc/0module.mk @@ -3,7 +3,7 @@ AHELLO_SDCC_MOD := ahello-sdcc AHELLO_SDCC_SRC := $(PATH_SRC)/$(AHELLO_SDCC_MOD) AHELLO_SDCC_BIN := $(PATH_BIN)/$(AHELLO_SDCC_MOD) -$(AHELLO_SDCC_BIN): +$(AHELLO_SDCC_BIN): | $(PATH_BIN)/@prepare $(call mb_mkdir,$(AHELLO_SDCC_BIN)) $(AHELLO_SDCC_BIN)/%.rel: $(AHELLO_SDCC_SRC)/%.asm | $(AHELLO_SDCC_BIN) @@ -12,12 +12,12 @@ $(AHELLO_SDCC_BIN)/%.rel: $(AHELLO_SDCC_SRC)/%.asm | $(AHELLO_SDCC_BIN) $(AHELLO_SDCC_BIN)/ahello.hex: $(AHELLO_SDCC_BIN)/ahello.rel $(call mb_sdcc_link_asm_bdos,$<,$@) -BUILD_HELP += \\n\\t* $(AHELLO_SDCC_BIN)/ahello.com $(AHELLO_SDCC_BIN)/ahello.com: $(AHELLO_SDCC_BIN)/ahello.hex $(call mb_sdcc_hex2bin,$<,$@) +MB_DOC_HELP_TARGET += $(call mb_doc_target,$(AHELLO_SDCC_BIN)/ahello.com,"Compiles hello world with sdcc.") -BUILD_HELP += \\n\\t* $(AHELLO_SDCC_BIN)/@run -.PHONY: $(AHELLO_SDCC_BIN)/@run $(AHELLO_SDCC_BIN)/@run: | $(AHELLO_SDCC_BIN)/ahello.com $(call mb_autoexec_show_gui80,$(AHELLO_SDCC_BIN)) $(call mb_openmsx_dosctl,$(AHELLO_SDCC_BIN)) +MB_DOC_HELP_TARGET += $(call mb_doc_target,$(AHELLO_SDCC_BIN)/@run,"Run the compiled hello world from sdcc.") +.PHONY: $(AHELLO_SDCC_BIN)/@run diff --git a/src/assert-all/0module.mk b/src/assert-all/0module.mk deleted file mode 100644 index 3f1c4e2..0000000 --- a/src/assert-all/0module.mk +++ /dev/null @@ -1,15 +0,0 @@ - -ASSERT_ALL_DEPS := \ -bin/ahello-m80-test/@assert \ -bin/ahello-sdcc-test/@assert \ -bin/dist-qa-dos1/@assert \ -bin/dist-qa-dos2/@assert \ -bin/dist-qa-msx1/@assert \ -bin/dist-qa-msxhub/@assert \ -bin/make-on-msx/@assert - -BUILD_HELP += \\n\\t* assert-all \(Run all assertion tests\) -.PHONY: assert-all -assert-all: | $(ASSERT_ALL_DEPS) - @echo === All assertions completed - $(if $(filter on,$(MB_FLIGHT_VIDEO)),$(call mb_create_flight_video,$(PATH_BIN))) diff --git a/src/dist-qa-dos1/0module.mk b/src/dist-qa-dos1/0module.mk index 7c83049..64ab7c3 100644 --- a/src/dist-qa-dos1/0module.mk +++ b/src/dist-qa-dos1/0module.mk @@ -4,25 +4,24 @@ DIST_QA_DOS1_SRC := $(PATH_SRC)/$(DIST_QA_DOS1_MOD) DIST_QA_DOS1_BIN := $(PATH_BIN)/$(DIST_QA_DOS1_MOD) DIST_QA_DOS1_DEPS := $(subst dist,$(DIST_QA_DOS1_MOD),$(DIST_DEPS)) -$(DIST_QA_DOS1_BIN): +$(DIST_QA_DOS1_BIN): | $(PATH_BIN)/@prepare $(call mb_mkdir,$(DIST_QA_DOS1_BIN)) - $(call mb_msxhub_get_dos1_boot,$(DIST_QA_DOS1_BIN)) + $(call mb_msxhub_get_msxdos1_boot,$(DIST_QA_DOS1_BIN)) $(DIST_QA_DOS1_BIN)/%: $(DIST_BIN)/% | $(DIST_QA_DOS1_BIN) $(DIST_DEPS) $(call mb_copy,$<,$@) -BUILD_HELP += \\n\\t* $(DIST_QA_DOS1_BIN)/@run -.PHONY: $(DIST_QA_DOS1_BIN)/@run $(DIST_QA_DOS1_BIN)/@run: | $(DIST_QA_DOS1_DEPS) $(call mb_autoexec_show_gui80,$(DIST_QA_DOS1_BIN)) $(call mb_openmsx_dosctl,$(DIST_QA_DOS1_BIN)) +MB_DOC_HELP_TARGET += $(call mb_doc_target,$(DIST_QA_DOS1_BIN)/@run,"Run binaries on msxdos1 machine.") +.PHONY: $(DIST_QA_DOS1_BIN)/@run -BUILD_HELP += \\n\\t* $(DIST_QA_DOS1_BIN)/@assert -.PHONY: $(DIST_QA_DOS1_BIN)/@assert $(DIST_QA_DOS1_BIN)/@assert: | $(DIST_QA_DOS1_DEPS) $(call mb_autoexec_write_default,$(DIST_QA_DOS1_BIN)) $(call mb_autoexec_append_safe_test,$(DIST_QA_DOS1_BIN),ahello1) $(call mb_autoexec_append_safe_test,$(DIST_QA_DOS1_BIN),ahello2) $(call mb_autoexec_append_exit,$(DIST_QA_DOS1_BIN)) $(call mb_openmsx_dosctl,$(DIST_QA_DOS1_BIN)) - +MB_DOC_HELP_TARGET += $(call mb_doc_target,$(DIST_QA_DOS1_BIN)/@assert,"Assert binaries on msxdos1.") +.PHONY: $(DIST_QA_DOS1_BIN)/@assert diff --git a/src/dist-qa-dos2/0module.mk b/src/dist-qa-dos2/0module.mk index c198109..30b231f 100644 --- a/src/dist-qa-dos2/0module.mk +++ b/src/dist-qa-dos2/0module.mk @@ -4,21 +4,19 @@ DIST_QA_DOS2_SRC := $(PATH_SRC)/$(DIST_QA_DOS2_MOD) DIST_QA_DOS2_BIN := $(PATH_BIN)/$(DIST_QA_DOS2_MOD) DIST_QA_DOS2_DEPS := $(subst dist,$(DIST_QA_DOS2_MOD),$(DIST_DEPS)) -$(DIST_QA_DOS2_BIN): +$(DIST_QA_DOS2_BIN): | $(PATH_BIN)/@prepare $(call mb_mkdir,$(DIST_QA_DOS2_BIN)) - $(call mb_msxhub_get_dos2_boot,$(DIST_QA_DOS2_BIN)) + $(call mb_msxhub_get_msxdos2_boot,$(DIST_QA_DOS2_BIN)) $(DIST_QA_DOS2_BIN)/%: $(DIST_BIN)/% | $(DIST_QA_DOS2_BIN) $(DIST_DEPS) $(call mb_copy,$<,$@) -BUILD_HELP += \\n\\t* $(DIST_QA_DOS2_BIN)/@run -.PHONY: $(DIST_QA_DOS2_BIN)/@run $(DIST_QA_DOS2_BIN)/@run: | $(DIST_QA_DOS2_DEPS) $(call mb_autoexec_show_gui80,$(DIST_QA_DOS2_BIN)) $(call mb_openmsx_dosctl,$(DIST_QA_DOS2_BIN)) +MB_DOC_HELP_TARGET += $(call mb_doc_target,$(DIST_QA_DOS2_BIN)/@run,"Run binaries on msxdos2 machine.") +.PHONY: $(DIST_QA_DOS2_BIN)/@run -BUILD_HELP += \\n\\t* $(DIST_QA_DOS2_BIN)/@assert -.PHONY: $(DIST_QA_DOS2_BIN)/@assert $(DIST_QA_DOS2_BIN)/@assert: | $(DIST_QA_DOS2_DEPS) $(call mb_delete,$(DIST_QA_DOS2_BIN)/ahello1.out) $(call mb_delete,$(DIST_QA_DOS2_BIN)/ahello2.out) @@ -29,3 +27,5 @@ $(DIST_QA_DOS2_BIN)/@assert: | $(DIST_QA_DOS2_DEPS) $(call mb_openmsx_dosctl,$(DIST_QA_DOS2_BIN)) grep "M80: Hello world..." $(DIST_QA_DOS2_BIN)/ahello1.out grep "SDCC: Hello world..." $(DIST_QA_DOS2_BIN)/ahello2.out +MB_DOC_HELP_TARGET += $(call mb_doc_target,$(DIST_QA_DOS2_BIN)/@assert,"Assert binaries on msxdos2.") +.PHONY: $(DIST_QA_DOS2_BIN)/@assert diff --git a/src/dist-qa-msx1/0module.mk b/src/dist-qa-msx1/0module.mk index d3186f6..cc01c60 100644 --- a/src/dist-qa-msx1/0module.mk +++ b/src/dist-qa-msx1/0module.mk @@ -4,21 +4,20 @@ DIST_QA_MSX1_MOD := dist-qa-msx1 DIST_QA_MSX1_SRC := $(PATH_SRC)/$(DIST_QA_MSX1_MOD) DIST_QA_MSX1_BIN := $(PATH_BIN)/$(DIST_QA_MSX1_MOD) DIST_QA_MSX1_DEPS := $(subst dist,$(DIST_QA_MSX1_MOD),$(DIST_DEPS)) +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,DIST_QA_MSX1_MACHINE,"The machine to run the $(DIST_QA_MSX1_MOD) module.",$(DIST_QA_MSX1_MACHINE)) -$(DIST_QA_MSX1_BIN): +$(DIST_QA_MSX1_BIN): | $(PATH_BIN)/@prepare $(call mb_mkdir,$(DIST_QA_MSX1_BIN)) $(DIST_QA_MSX1_BIN)/%: $(DIST_BIN)/% | $(DIST_QA_MSX1_BIN) $(DIST_DEPS) $(call mb_copy,$<,$@) -BUILD_HELP += \\n\\t* $(DIST_QA_MSX1_BIN)/@run -.PHONY: $(DIST_QA_MSX1_BIN)/@run $(DIST_QA_MSX1_BIN)/@run: | $(DIST_QA_MSX1_DEPS) $(call mb_autoexec_show_gui40,$(DIST_QA_MSX1_BIN)) $(call mb_openmsx_dosctl,$(DIST_QA_MSX1_BIN),$(DIST_QA_MSX1_MACHINE)) +MB_DOC_HELP_TARGET += $(call mb_doc_target,$(DIST_QA_MSX1_BIN)/@run,"Run binaries on MSX1 machine.") +.PHONY: $(DIST_QA_MSX1_BIN)/@run -BUILD_HELP += \\n\\t* $(DIST_QA_MSX1_BIN)/@assert -.PHONY: $(DIST_QA_MSX1_BIN)/@assert $(DIST_QA_MSX1_BIN)/@assert: | $(DIST_QA_MSX1_DEPS) $(call mb_delete,$(DIST_QA_MSX1_BIN)/ahello1.out) $(call mb_delete,$(DIST_QA_MSX1_BIN)/ahello2.out) @@ -29,3 +28,5 @@ $(DIST_QA_MSX1_BIN)/@assert: | $(DIST_QA_MSX1_DEPS) $(call mb_openmsx_dosctl,$(DIST_QA_MSX1_BIN),$(DIST_QA_MSX1_MACHINE)) grep "M80: Hello world..." $(DIST_QA_MSX1_BIN)/ahello1.out grep "SDCC: Hello world..." $(DIST_QA_MSX1_BIN)/ahello2.out +MB_DOC_HELP_TARGET += $(call mb_doc_target,$(DIST_QA_MSX1_BIN)/@assert,"Assert binaries on MSX1 machine.") +.PHONY: $(DIST_QA_MSX1_BIN)/@assert diff --git a/src/dist-qa-msxhub/0module.mk b/src/dist-qa-msxhub/0module.mk index 83a61a0..7a40961 100644 --- a/src/dist-qa-msxhub/0module.mk +++ b/src/dist-qa-msxhub/0module.mk @@ -3,12 +3,13 @@ DIST_QA_MSXHUB_MACHINE ?= Boosted_MSX2+_JP DIST_QA_MSXHUB_MOD := dist-qa-msxhub DIST_QA_MSXHUB_SRC := $(PATH_SRC)/$(DIST_QA_MSXHUB_MOD) DIST_QA_MSXHUB_BIN := $(PATH_BIN)/$(DIST_QA_MSXHUB_MOD) -DIST_QA_MSXHUB_DEPS := $(subst dist,$(DIST_QA_MSXHUB_MOD),$(DIST_DEPS)) +DIST_QA_MSXHUB_DEPS := $(subst dist,$(DIST_QA_MSXHUB_MOD),$(DIST_DEPS)) +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,DIST_QA_MSXHUB_MACHINE,"The machine to run the $(DIST_QA_MSXHUB_MOD) module.",$(DIST_QA_MSXHUB_MACHINE)) -$(DIST_QA_MSXHUB_BIN): +$(DIST_QA_MSXHUB_BIN): | $(PATH_BIN)/@prepare $(call mb_mkdir,$(DIST_QA_MSXHUB_BIN)) $(call mb_mkdir,$(DIST_QA_MSXHUB_BIN)/utils) - $(call mb_msxhub_get_dos2_utils,$(DIST_QA_MSXHUB_BIN)/utils) + $(call mb_msxhub_get_msxdos2_utils,$(DIST_QA_MSXHUB_BIN)/utils) $(call mb_msxhub_get_nextor_utils,$(DIST_QA_MSXHUB_BIN)/utils) $(call mb_msxhub_get_macro80,$(DIST_QA_MSXHUB_BIN)/utils) $(call mb_msxhub_get_z80asmuk,$(DIST_QA_MSXHUB_BIN)/utils) @@ -33,14 +34,12 @@ $(DIST_QA_MSXHUB_BIN): $(DIST_QA_MSXHUB_BIN)/%: $(DIST_BIN)/% | $(DIST_QA_MSXHUB_BIN) $(DIST_DEPS) $(call mb_copy,$<,$@) -BUILD_HELP += \\n\\t* $(DIST_QA_MSXHUB_BIN)/@run -.PHONY: $(DIST_QA_MSXHUB_BIN)/@run $(DIST_QA_MSXHUB_BIN)/@run: | $(DIST_QA_MSXHUB_DEPS) $(call mb_autoexec_show_gui80,$(DIST_QA_MSXHUB_BIN)) $(call mb_openmsx_dosctl,$(DIST_QA_MSXHUB_BIN),$(DIST_QA_MSXHUB_MACHINE)) +MB_DOC_HELP_TARGET += $(call mb_doc_target,$(DIST_QA_MSXHUB_BIN)/@run,"Run the dist with all msxhub packages.") +.PHONY: $(DIST_QA_MSXHUB_BIN)/@run -BUILD_HELP += \\n\\t* $(DIST_QA_MSXHUB_BIN)/@assert -.PHONY: $(DIST_QA_MSXHUB_BIN)/@assert $(DIST_QA_MSXHUB_BIN)/@assert: | $(DIST_QA_MSXHUB_DEPS) $(call mb_delete,$(DIST_QA_MSXHUB_BIN)/ahello1.out) $(call mb_delete,$(DIST_QA_MSXHUB_BIN)/ahello2.out) @@ -55,3 +54,5 @@ $(DIST_QA_MSXHUB_BIN)/@assert: | $(DIST_QA_MSXHUB_DEPS) grep "SDCC: Hello world..." $(DIST_QA_MSXHUB_BIN)/ahello2.out grep "KONPASS COM" $(DIST_QA_MSXHUB_BIN)/utils.out grep "MAKE COM" $(DIST_QA_MSXHUB_BIN)/utils.out +MB_DOC_HELP_TARGET += $(call mb_doc_target,$(DIST_QA_MSXHUB_BIN)/@assert,"Asserts that all dist artifacts execute results.") +.PHONY: $(DIST_QA_MSXHUB_BIN)/@assert diff --git a/src/dist/0module.mk b/src/dist/0module.mk index 191adac..4118118 100644 --- a/src/dist/0module.mk +++ b/src/dist/0module.mk @@ -5,7 +5,7 @@ DIST_BIN := $(PATH_BIN)/$(DIST_MOD) DIST_OUT := $(PATH_BIN)/$(DIST_MOD).tar.gz DIST_DEPS := $(DIST_BIN)/readme.txt $(DIST_BIN)/ahello1.com $(DIST_BIN)/ahello2.com -$(DIST_BIN): +$(DIST_BIN): | $(PATH_BIN)/@prepare $(call mb_mkdir,$(DIST_BIN)) $(DIST_BIN)/readme.txt: $(DIST_SRC)/readme.txt | $(DIST_BIN) @@ -17,10 +17,10 @@ $(DIST_BIN)/ahello1.com: bin/ahello-m80/ahello.com | $(DIST_BIN) $(DIST_BIN)/ahello2.com: bin/ahello-sdcc/ahello.com | $(DIST_BIN) $(call mb_copy,$<,$@) -BUILD_HELP += \\n\\t* $(DIST_BIN)/@prepare -.PHONY: $(DIST_BIN)/@prepare $(DIST_BIN)/@prepare: | $(DIST_DEPS) +MB_DOC_HELP_TARGET += $(call mb_doc_target,$(DIST_BIN)/@prepare,"Prepare folder with binaries for distribution.") +.PHONY: $(DIST_BIN)/@prepare -BUILD_HELP += \\n\\t* $(DIST_OUT) $(DIST_OUT): | $(DIST_BIN)/@prepare $(call mb_create_dist,$(DIST_BIN),$@) +MB_DOC_HELP_TARGET += $(call mb_doc_target,$(DIST_OUT),"Build archive distribution artifact.") diff --git a/src/make-on-msx/0module.mk b/src/make-on-msx/0module.mk index 23617ad..c8de67c 100644 --- a/src/make-on-msx/0module.mk +++ b/src/make-on-msx/0module.mk @@ -3,7 +3,7 @@ MAKE_ON_MSX_MOD := make-on-msx MAKE_ON_MSX_SRC := $(PATH_SRC)/$(MAKE_ON_MSX_MOD) MAKE_ON_MSX_BIN := $(PATH_BIN)/$(MAKE_ON_MSX_MOD) -$(MAKE_ON_MSX_BIN): +$(MAKE_ON_MSX_BIN): | $(PATH_BIN)/@prepare $(call mb_mkdir,$(MAKE_ON_MSX_BIN)) $(call mb_mkdir,$(MAKE_ON_MSX_BIN)/utils) $(call mb_msxhub_get_make,$(MAKE_ON_MSX_BIN)/utils) @@ -19,18 +19,19 @@ $(MAKE_ON_MSX_BIN)/nfbsshot.mf: $(MAKE_ON_MSX_SRC)/nfbsshot.mf | $(MAKE_ON_MSX_B $(MAKE_ON_MSX_BIN)/build.bat: $(MAKE_ON_MSX_SRC)/build.bat | $(MAKE_ON_MSX_BIN)/nfbsshot.mf $(call mb_unix2dos,$<,$@) -BUILD_HELP += \\n\\t* $(MAKE_ON_MSX_BIN)/nfbsshot.bin $(MAKE_ON_MSX_BIN)/nfbsshot.bin: | $(MAKE_ON_MSX_BIN)/build.bat $(call mb_autoexec_safe_cmd,$(MAKE_ON_MSX_BIN),build shutdown) $(call mb_openmsx_dosctl,$(MAKE_ON_MSX_BIN)) +MB_DOC_HELP_TARGET += $(call mb_doc_target,$(MAKE_ON_MSX_BIN)/nfbsshot.bin,"Compiles binary with make on msx.") -BUILD_HELP += \\n\\t* $(MAKE_ON_MSX_BIN)/@assert -.PHONY: $(MAKE_ON_MSX_BIN)/@assert $(MAKE_ON_MSX_BIN)/@assert: | $(MAKE_ON_MSX_BIN)/nfbsshot.bin grep -U "screenshot" $(MAKE_ON_MSX_BIN)/nfbsshot.bin +MB_DOC_HELP_TARGET += $(call mb_doc_target,$(MAKE_ON_MSX_BIN)/@assert,"Asserts that binary contains screenshot string.") +.PHONY: $(MAKE_ON_MSX_BIN)/@assert -BUILD_HELP += \\n\\t* $(MAKE_ON_MSX_BIN)/@run -.PHONY: $(MAKE_ON_MSX_BIN)/@run $(MAKE_ON_MSX_BIN)/@run: | $(MAKE_ON_MSX_BIN)/build.bat $(call mb_autoexec_show_gui80,$(MAKE_ON_MSX_BIN)) $(call mb_openmsx_dosctl,$(MAKE_ON_MSX_BIN)) +MB_DOC_HELP_TARGET += $(call mb_doc_target,$(MAKE_ON_MSX_BIN)/@run,"Run the make build manually.") +.PHONY: $(MAKE_ON_MSX_BIN)/@run + From da3558330759a9c9f7d5cab648c92720988b69b3 Mon Sep 17 00:00:00 2001 From: Willem Date: Tue, 2 Jul 2024 04:11:13 +0200 Subject: [PATCH 100/274] White space and added doc for autoexec. --- lib/make/mb_autoexec.mk | 53 ++++++++++++++++++++++++++++++----------- lib/make/mb_doc.mk | 5 ++-- lib/make/mb_flight.mk | 22 ++++++++--------- lib/make/mb_msxhub.mk | 8 +++---- lib/make/mb_msxrom.mk | 8 +++---- lib/make/mb_openmsx.mk | 44 +++++++++++++++++----------------- lib/make/mb_sdcc.mk | 20 ++++++++-------- lib/make/msxbuild.mk | 1 - 8 files changed, 93 insertions(+), 68 deletions(-) diff --git a/lib/make/mb_autoexec.mk b/lib/make/mb_autoexec.mk index e0f4475..36c8e7d 100644 --- a/lib/make/mb_autoexec.mk +++ b/lib/make/mb_autoexec.mk @@ -1,11 +1,36 @@ -MB_AUTOEXEC_VERSION ?= on -MB_AUTOEXEC_STARTUP_TIMEOUT ?= 60 -MB_AUTOEXEC_STARTUP_EXITCODE ?= 124 -MB_AUTOEXEC_TEST_TIMEOUT ?= 120 -MB_AUTOEXEC_TEST_EXITCODE ?= 1 -MB_AUTOEXEC_CMD_TIMEOUT ?= 300 -MB_AUTOEXEC_CMD_EXITCODE ?= 1 +MB_AUTOEXEC_SHOW_VERSION ?= on +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_SHOW_VERSION,"Print OS version on boot.",$(MB_AUTOEXEC_SHOW_VERSION)) + +MB_AUTOEXEC_SHOW_PATH ?= on +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_SHOW_PATH,"Print search path on boot.",$(MB_AUTOEXEC_SHOW_PATH)) + +MB_AUTOEXEC_SHOW_CONFIG ?= on +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_SHOW_CONFIG,"Print host config on boot.",$(MB_AUTOEXEC_SHOW_CONFIG)) + +MB_AUTOEXEC_SHOW_FOLDER ?= on +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_SHOW_FOLDER,"Print host folder on boot.",$(MB_AUTOEXEC_SHOW_FOLDER)) + +MB_AUTOEXEC_SHOW_TARGET ?= on +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_SHOW_TARGET,"Print host target on boot.",$(MB_AUTOEXEC_SHOW_TARGET)) + +MB_AUTOEXEC_STARTUP_TIMEOUT ?= 60 +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_STARTUP_TIMEOUT,"Startup failure timeout of autoexec.",$(MB_AUTOEXEC_STARTUP_TIMEOUT)) + +MB_AUTOEXEC_STARTUP_EXITCODE ?= 124 +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_STARTUP_EXITCODE,"Startup failure exit code of autoexec.",$(MB_AUTOEXEC_STARTUP_EXITCODE)) + +MB_AUTOEXEC_SAFE_TEST_TIMEOUT ?= 120 +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_SAFE_TEST_TIMEOUT,"Safe test execution failure timeout.",$(MB_AUTOEXEC_SAFE_TEST_TIMEOUT)) + +MB_AUTOEXEC_SAFE_TEST_EXITCODE ?= 1 +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_SAFE_TEST_EXITCODE,"Safe test execution failure exit code.",$(MB_AUTOEXEC_SAFE_TEST_EXITCODE)) + +MB_AUTOEXEC_SAFE_CMD_TIMEOUT ?= 300 +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_SAFE_CMD_TIMEOUT,"Safe command execution failure timeout.",$(MB_AUTOEXEC_SAFE_CMD_TIMEOUT)) + +MB_AUTOEXEC_SAFE_CMD_EXITCODE ?= 1 +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_SAFE_CMD_EXITCODE,"Safe command execution failure exit code.",$(MB_AUTOEXEC_SAFE_CMD_EXITCODE)) define mb_autoexec_append_cmd echo "$(2)\r" >> $(1)/autoexec.bat @@ -54,14 +79,14 @@ endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_autoexec_append_save_video,"Appends save video command."," [prefix]") define mb_autoexec_append_safe_test - $(call mb_autoexec_append_cmd,$(1),omsxctl fail_after $(if $(3),$(3),$(MB_AUTOEXEC_TEST_TIMEOUT)) seconds failed_test $(if $(4),$(4),$(MB_AUTOEXEC_TEST_EXITCODE))) + $(call mb_autoexec_append_cmd,$(1),omsxctl fail_after $(if $(3),$(3),$(MB_AUTOEXEC_SAFE_TEST_TIMEOUT)) seconds failed_test $(if $(4),$(4),$(MB_AUTOEXEC_SAFE_TEST_EXITCODE))) $(call mb_autoexec_append_echo,$(1),Running test: $(2)) $(call mb_autoexec_append_cmd,$(1),$(2)) endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_autoexec_append_safe_test,"Appends running a safe test."," [timeout] [exit-code]") define mb_autoexec_append_safe_cmd - $(call mb_autoexec_append_cmd,$(1),omsxctl fail_after $(if $(3),$(3),$(MB_AUTOEXEC_CMD_TIMEOUT)) seconds failed_cmd $(if $(4),$(4),$(MB_AUTOEXEC_CMD_EXITCODE))) + $(call mb_autoexec_append_cmd,$(1),omsxctl fail_after $(if $(3),$(3),$(MB_AUTOEXEC_SAFE_CMD_TIMEOUT)) seconds failed_cmd $(if $(4),$(4),$(MB_AUTOEXEC_SAFE_CMD_EXITCODE))) $(call mb_autoexec_append_echo,$(1),Running command: $(2)) $(call mb_autoexec_append_cmd,$(1),$(2)) endef @@ -76,12 +101,12 @@ define mb_autoexec_write_default $(call mb_autoexec_append_cmd,$(1),omsxctl boot_exec_color_vdp) $(if $(filter on,$(MB_FLIGHT_VIDEO)),$(call mb_autoexec_append_save_video,$(1))) $(call mb_autoexec_append_cmd,$(1),omsxctl fail_after $(MB_AUTOEXEC_STARTUP_TIMEOUT) seconds failed_exec $(MB_AUTOEXEC_STARTUP_EXITCODE)) - $(if $(filter on,$(MB_AUTOEXEC_VERSION)),$(call mb_autoexec_append_cmd,$(1),ver)) + $(if $(filter on,$(MB_AUTOEXEC_SHOW_VERSION)),$(call mb_autoexec_append_cmd,$(1),ver)) $(if $(wildcard $(1)/utils),$(call mb_autoexec_append_cmd,$(1),PATH + A:\; A:\UTILS)) - $(if $(wildcard $(1)/utils),$(call mb_autoexec_append_cmd,$(1),PATH)) - $(if $(filter on,$(MB_AUTOEXEC_VERSION)),$(call mb_autoexec_append_cmd,$(1),omsxctl boot_exec_config_info)) - $(call mb_autoexec_append_echo,$(1),Run folder $(1)) - $(call mb_autoexec_append_echo,$(1),Run target $@) + $(if $(filter on,$(MB_AUTOEXEC_SHOW_PATH)),$(call mb_autoexec_append_cmd,$(1),PATH)) + $(if $(filter on,$(MB_AUTOEXEC_SHOW_CONFIG)),$(call mb_autoexec_append_cmd,$(1),omsxctl boot_exec_config_info)) + $(if $(filter on,$(MB_AUTOEXEC_SHOW_FOLDER)),$(call mb_autoexec_append_echo,$(1),Run folder $(1))) + $(if $(filter on,$(MB_AUTOEXEC_SHOW_TARGET)),$(call mb_autoexec_append_echo,$(1),Run target $@)) endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_autoexec_write_default,"Write a default autoexec.bat file."," [text-mode]") diff --git a/lib/make/mb_doc.mk b/lib/make/mb_doc.mk index 5b43e40..3c1ecdb 100644 --- a/lib/make/mb_doc.mk +++ b/lib/make/mb_doc.mk @@ -8,8 +8,9 @@ MB_DOC_TXT_BUILD_PROJECT := "Welcome to the MSXBUILD help system.\\n\\nFor deta MB_DOC_TXT_BUILD_TARGET := "Build one of the following make targets;\\n" MB_DOC_TXT_BUILD_VARIABLE := "Documention of the MSXBUILD variables;\\n" MB_DOC_TXT_BUILD_FUNCTION := "Documention of the MSXBUILD functions;\\n" -MB_DOC_FORMAT ?= txt -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_DOC_FORMAT,"Output format of documention$(MB_CHAR_COMMA) only 'txt' is supported currently.",$(MB_DOC_FORMAT)) + +MB_DOC_FORMAT ?= txt +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_DOC_FORMAT,"Output format of documention$(MB_CHAR_COMMA) only 'txt' is supported currently.",$(MB_DOC_FORMAT)) define _mb_doc_xml_open diff --git a/lib/make/mb_flight.mk b/lib/make/mb_flight.mk index c0c828b..ad363af 100644 --- a/lib/make/mb_flight.mk +++ b/lib/make/mb_flight.mk @@ -1,21 +1,21 @@ -MB_FLIGHT_SCREEN ?= off -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_FLIGHT_SCREEN,"Creates screenshots on exit of openMSX.",$(MB_FLIGHT_SCREEN)) +MB_FLIGHT_SCREEN ?= off +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_FLIGHT_SCREEN,"Creates screenshots on exit of openMSX.",$(MB_FLIGHT_SCREEN)) -MB_FLIGHT_VIDEO ?= off -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_FLIGHT_VIDEO,"Create video of every build pipe session.",$(MB_FLIGHT_VIDEO)) +MB_FLIGHT_VIDEO ?= off +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_FLIGHT_VIDEO,"Create video of every build pipe session.",$(MB_FLIGHT_VIDEO)) -MB_FLIGHT_PREFIX ?= msxbuild -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_FLIGHT_PREFIX,"Prefix for video and screenshot flight files.",$(MB_FLIGHT_PREFIX)) +MB_FLIGHT_PREFIX ?= msxbuild +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_FLIGHT_PREFIX,"Prefix for video and screenshot flight files.",$(MB_FLIGHT_PREFIX)) -MB_FLIGHT_SEPERATOR ?= - -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_FLIGHT_SEPERATOR,"File and index number seperator for flight files.",$(MB_FLIGHT_SEPERATOR)) +MB_FLIGHT_SEPERATOR ?= - +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_FLIGHT_SEPERATOR,"File and index number seperator for flight files.",$(MB_FLIGHT_SEPERATOR)) MB_FLIGHT_RECORD_FLAG ?= -doublesize -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_FLIGHT_RECORD_FLAG,"Command parameter flag of the video recorder.",$(MB_FLIGHT_RECORD_FLAG)) +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_FLIGHT_RECORD_FLAG,"Command parameter flag of the video recorder.",$(MB_FLIGHT_RECORD_FLAG)) -MB_FLIGHT_VIDEO_NAME ?= flight-video -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_FLIGHT_VIDEO_NAME,"Video file name of the final result merged video.",$(MB_FLIGHT_VIDEO_NAME)) +MB_FLIGHT_VIDEO_NAME ?= flight-video +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_FLIGHT_VIDEO_NAME,"Video file name of the final result merged video.",$(MB_FLIGHT_VIDEO_NAME)) define mb_flight_video_merge @echo === Indexing flight videos diff --git a/lib/make/mb_msxhub.mk b/lib/make/mb_msxhub.mk index 83ac7f0..3c29e46 100644 --- a/lib/make/mb_msxhub.mk +++ b/lib/make/mb_msxhub.mk @@ -1,9 +1,9 @@ -MB_MSXHUB_API ?= https://msxhub.com/api -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_MSXHUB_API,"Resource identifier for msxhub package rest api.",$(MB_MSXHUB_API)) +MB_MSXHUB_API ?= https://msxhub.com/api +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_MSXHUB_API,"Resource identifier for msxhub package rest api.",$(MB_MSXHUB_API)) -MB_MSXHUB_CACHE ?= $(MB_CACHE)/msxbuild/msxhub -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_MSXHUB_CACHE,"Cache storage location.",$(MB_MSXHUB_CACHE)) +MB_MSXHUB_CACHE ?= $(MB_CACHE)/msxbuild/msxhub +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_MSXHUB_CACHE,"Cache storage location.",$(MB_MSXHUB_CACHE)) define _mb_msxhub_file_fetch @echo === Fetch msxhub file diff --git a/lib/make/mb_msxrom.mk b/lib/make/mb_msxrom.mk index 795f737..246ffa6 100644 --- a/lib/make/mb_msxrom.mk +++ b/lib/make/mb_msxrom.mk @@ -1,10 +1,10 @@ # TODO: Convert pi MSX number data, the named pie slices to hyperdrive storage for distribution over red sea -MB_MSXROM_API ?= https://msxrom.distributedrebirth.love/calc-pi/v19.1 -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_MSXROM_API,"Resource identifier for msxrom pi pie named slices data.",$(MB_MSXROM_API)) +MB_MSXROM_API ?= https://msxrom.distributedrebirth.love/calc-pi/v19.1 +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_MSXROM_API,"Resource identifier for msxrom pi pie named slices data.",$(MB_MSXROM_API)) -MB_MSXROM_CACHE ?= $(MB_CACHE)/msxbuild/msxrom -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_MSXROM_CACHE,"Cache storage location.",$(MB_MSXROM_CACHE)) +MB_MSXROM_CACHE ?= $(MB_CACHE)/msxbuild/msxrom +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_MSXROM_CACHE,"Cache storage location.",$(MB_MSXROM_CACHE)) define _mb_msxrom_file_fetch @echo === Fetch msxrom file diff --git a/lib/make/mb_openmsx.mk b/lib/make/mb_openmsx.mk index 1621a36..5aeaad2 100644 --- a/lib/make/mb_openmsx.mk +++ b/lib/make/mb_openmsx.mk @@ -1,36 +1,36 @@ -MB_OPENMSX_BOOT_TIMEOUT ?= 25 -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_OPENMSX_BOOT_TIMEOUT,"Timeout in seconds to guard for boot failures.",$(MB_OPENMSX_BOOT_TIMEOUT)) +MB_OPENMSX_BOOT_TIMEOUT ?= 25 +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_OPENMSX_BOOT_TIMEOUT,"Timeout in seconds to guard for boot failures.",$(MB_OPENMSX_BOOT_TIMEOUT)) -MB_OPENMSX_BOOT_OS ?= nextor -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_OPENMSX_BOOT_OS,"The default OS to run$(MB_CHAR_COMMA) valid values are; nextor$(MB_CHAR_COMMA)msxdos1$(MB_CHAR_COMMA)msxdos2",$(MB_OPENMSX_BOOT_OS)) +MB_OPENMSX_BOOT_OS ?= nextor +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_OPENMSX_BOOT_OS,"The default OS to run$(MB_CHAR_COMMA) valid values are; nextor$(MB_CHAR_COMMA)msxdos1$(MB_CHAR_COMMA)msxdos2",$(MB_OPENMSX_BOOT_OS)) -MB_OPENMSX_MACHINE ?= Philips_NMS_8250 -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_OPENMSX_MACHINE,"The default MSX machine to use.",$(MB_OPENMSX_MACHINE)) +MB_OPENMSX_MACHINE ?= Philips_NMS_8250 +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_OPENMSX_MACHINE,"The default MSX machine to use.",$(MB_OPENMSX_MACHINE)) -MB_OPENMSX_MACHINE_RAM ?= ram1mb -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_OPENMSX_MACHINE_RAM,"Extra ram extension for machine allowed: off$(MB_CHAR_COMMA)ram16k$(MB_CHAR_COMMA)ram64k$(MB_CHAR_COMMA)ram512k$(MB_CHAR_COMMA)ram1mb$(MB_CHAR_COMMA)ram2mb$(MB_CHAR_COMMA)ram4mb",$(MB_OPENMSX_MACHINE_RAM)) +MB_OPENMSX_MACHINE_RAM ?= ram1mb +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_OPENMSX_MACHINE_RAM,"Extra ram extension for machine allowed: off$(MB_CHAR_COMMA)ram16k$(MB_CHAR_COMMA)ram64k$(MB_CHAR_COMMA)ram512k$(MB_CHAR_COMMA)ram1mb$(MB_CHAR_COMMA)ram2mb$(MB_CHAR_COMMA)ram4mb",$(MB_OPENMSX_MACHINE_RAM)) -MB_OPENMSX_SPEED ?= $(if $(findstring turboR,$(MB_OPENMSX_MACHINE)),100,333) -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_OPENMSX_SPEED,"The throttled MSX emulation speed.",$(MB_OPENMSX_SPEED)) +MB_OPENMSX_SPEED ?= $(if $(findstring turboR,$(MB_OPENMSX_MACHINE)),100,333) +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_OPENMSX_SPEED,"The throttled MSX emulation speed.",$(MB_OPENMSX_SPEED)) -MB_OPENMSX_ARGS ?= -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_OPENMSX_ARGS,"Extra arguments to invoke openMSX with.",$(MB_OPENMSX_ARGS)) +MB_OPENMSX_ARGS ?= +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_OPENMSX_ARGS,"Extra arguments to invoke openMSX with.",$(MB_OPENMSX_ARGS)) -MB_OPENMSX_HDD_SIZE ?= 4m -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_OPENMSX_HDD_SIZE,"The default fire-hdd disk image size.",$(MB_OPENMSX_HDD_SIZE)) +MB_OPENMSX_HDD_SIZE ?= 4m +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_OPENMSX_HDD_SIZE,"The default fire-hdd disk image size.",$(MB_OPENMSX_HDD_SIZE)) -MB_OPENMSX_SCALE_FACTOR ?= 3 -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_OPENMSX_SCALE_FACTOR,"The gui scale factor to display the emulation.",$(MB_OPENMSX_SCALE_FACTOR)) +MB_OPENMSX_SCALE_FACTOR ?= 3 +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_OPENMSX_SCALE_FACTOR,"The gui scale factor to display the emulation.",$(MB_OPENMSX_SCALE_FACTOR)) -MB_OPENMSX_THROTTLE ?= off -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_OPENMSX_THROTTLE,"An on/off flag to control throttling globally.",$(MB_OPENMSX_THROTTLE)) +MB_OPENMSX_THROTTLE ?= off +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_OPENMSX_THROTTLE,"An on/off flag to control throttling globally.",$(MB_OPENMSX_THROTTLE)) -MB_OPENMSX_HEADLESS ?= on -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_OPENMSX_HEADLESS,"Controls if openMSX starts headless.",$(MB_OPENMSX_HEADLESS)) +MB_OPENMSX_HEADLESS ?= on +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_OPENMSX_HEADLESS,"Controls if openMSX starts headless.",$(MB_OPENMSX_HEADLESS)) -MB_OPENMSX_RENDERER ?= SDLGL-PP -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_OPENMSX_RENDERER,"The gui renderer used to display the window head with.",$(MB_OPENMSX_RENDERER)) +MB_OPENMSX_RENDERER ?= SDLGL-PP +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_OPENMSX_RENDERER,"The gui renderer used to display the window head with.",$(MB_OPENMSX_RENDERER)) MB_OPENMSX_VDP_FG ?= 151 MB_OPENMSX_VDP_BG ?= 000 diff --git a/lib/make/mb_sdcc.mk b/lib/make/mb_sdcc.mk index 7bf06c1..1648e97 100644 --- a/lib/make/mb_sdcc.mk +++ b/lib/make/mb_sdcc.mk @@ -1,18 +1,18 @@ -MB_SDCC_FLAG_CPU ?= -mz80 -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_SDCC_FLAG_CPU,"The SDCC cpu target option.",$(MB_SDCC_FLAG_CPU)) +MB_SDCC_FLAG_CPU ?= -mz80 +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_SDCC_FLAG_CPU,"The SDCC cpu target option.",$(MB_SDCC_FLAG_CPU)) -MB_SDCC_FLAG_LD ?= --nostdinc -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_SDCC_FLAG_LD,"The SDCC linker option.",$(MB_SDCC_FLAG_LD)) +MB_SDCC_FLAG_LD ?= --nostdinc +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_SDCC_FLAG_LD,"The SDCC linker option.",$(MB_SDCC_FLAG_LD)) -MB_SDCC_ASZ80_FLAGS ?= -g -l -c -o -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_SDCC_ASZ80_FLAGS,"The SDCC z80 asm compiler flags.",$(MB_SDCC_ASZ80_FLAGS)) +MB_SDCC_ASZ80_FLAGS ?= -g -l -c -o +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_SDCC_ASZ80_FLAGS,"The SDCC z80 asm compiler flags.",$(MB_SDCC_ASZ80_FLAGS)) -MB_SDCC_CC_FLAGS ?= -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_SDCC_CC_FLAGS,"The SDCC C compiler flags.",$(MB_SDCC_CC_FLAGS)) +MB_SDCC_CC_FLAGS ?= +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_SDCC_CC_FLAGS,"The SDCC C compiler flags.",$(MB_SDCC_CC_FLAGS)) -MB_SDCC_AR_FLAGS ?= -rc -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_SDCC_AR_FLAGS,"The SDCC module archive flags.",$(MB_SDCC_AR_FLAGS)) +MB_SDCC_AR_FLAGS ?= -rc +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_SDCC_AR_FLAGS,"The SDCC module archive flags.",$(MB_SDCC_AR_FLAGS)) # TODO: Add C + mixed support; diff --git a/lib/make/msxbuild.mk b/lib/make/msxbuild.mk index acb6dc5..0aee36a 100644 --- a/lib/make/msxbuild.mk +++ b/lib/make/msxbuild.mk @@ -10,7 +10,6 @@ PATH_MSXBUILD_REAL := $(if $(realpath $(PATH_MSXBUILD)),$(realpath $(PATH_MSXBUI # Include extra features include $(PATH_MSXBUILD)/lib/make/mb_doc.mk - include $(PATH_MSXBUILD)/lib/make/mb_sdcc.mk include $(PATH_MSXBUILD)/lib/make/mb_flight.mk include $(PATH_MSXBUILD)/lib/make/mb_msxrom.mk From 8d84a1e65caa2c0db48a6a9b64b974ef11945ce4 Mon Sep 17 00:00:00 2001 From: Willem Date: Tue, 2 Jul 2024 15:34:26 +0200 Subject: [PATCH 101/274] Fixed mode 80 on msx1 and cleaned boot messages. --- README.md | 14 +++--- lib/emuctl/mbboot80.com | Bin 0 -> 147 bytes lib/make/mb_autoexec.mk | 33 ++++++++----- lib/make/mb_msxpipe.mk | 4 +- lib/make/mb_openmsx.mk | 3 +- lib/openmsx/share/scripts/boot_exec.tcl | 12 +++-- lib/openmsx/share/scripts/fail_after.tcl | 4 +- lib/openmsx/share/scripts/headless.tcl | 8 ++-- lib/openmsx/share/scripts/night_flight.tcl | 4 +- src/0module/assert-all/0module.mk | 3 +- src/mbboot80-test/0module.mk | 24 ++++++++++ src/mbboot80/0module.mk | 28 +++++++++++ src/mbboot80/mbboot80.asm | 51 +++++++++++++++++++++ 13 files changed, 154 insertions(+), 34 deletions(-) create mode 100644 lib/emuctl/mbboot80.com create mode 100644 src/mbboot80-test/0module.mk create mode 100644 src/mbboot80/0module.mk create mode 100644 src/mbboot80/mbboot80.asm diff --git a/README.md b/README.md index 437141e..a8b28b0 100644 --- a/README.md +++ b/README.md @@ -44,27 +44,27 @@ TODO When you want to see whats happening do a debug run; normal run; - time make assert-all + time make bin/@assert-all real 0m3.223s debug run at 11 Mhz; - time MB_OPENMSX_HEADLESS=off MB_OPENMSX_THROTTLE=on make assert-all + time MB_OPENMSX_HEADLESS=off MB_OPENMSX_THROTTLE=on make vassert-all real 1m4.856s debug run real msx speed; - time MB_OPENMSX_HEADLESS=off MB_OPENMSX_THROTTLE=on MB_OPENMSX_SPEED=100 make assert-all + time MB_OPENMSX_HEADLESS=off MB_OPENMSX_THROTTLE=on MB_OPENMSX_SPEED=100 make bin/@assert-all real 2m37.379s debug run with screenshot on exit; (black screens without throttle) - time MB_OPENMSX_HEADLESS=off MB_OPENMSX_THROTTLE=on MB_FLIGHT_SCREEN=on make -s assert-all + time MB_OPENMSX_HEADLESS=off MB_OPENMSX_THROTTLE=on MB_FLIGHT_SCREEN=on make -s bin/@assert-all real 1m14.043s debug run with video recorder and merge to one video file; - time MB_OPENMSX_HEADLESS=off MB_FLIGHT_VIDEO=on make -s assert-all + time MB_OPENMSX_HEADLESS=off MB_FLIGHT_VIDEO=on make -s bin/@assert-all real 0m7.136s build parallel; - time make -s -j4 assert-all + time make -s -j4 bin/@assert-all real 0m1.817s manual test msxhub on machine; @@ -86,6 +86,7 @@ Current set is WIP. ## Errata * Doesn't work on windows +* TODO: mbboot80+add arg prefix and move to other repro + msxhub package * TODO: Missing C and mixed support * TODO: Use TCL for BASIC/ASM/C lcov (Cobertura) code coverage @@ -104,6 +105,7 @@ Current set is WIP. * run instance-id or run/process-label to replace hardcoded "untitled1" path * Remove msg or add ignore sram-fully or blank setting: SRAM file nms8250.cmos not found, assuming blank SRAM content. * Video record append mode + optional multiple previds to glue videos as one +* Video record remove blue start frame on start of recording * (FIXED) Allow for `set renderer none` in TCL or command argument for headless mode * SDL-NULL as window less renderer so that screenshot and/or video works headless * DocUpdate: Add speed note because it is slow + add example "after quit {export hdd}" diff --git a/lib/emuctl/mbboot80.com b/lib/emuctl/mbboot80.com new file mode 100644 index 0000000000000000000000000000000000000000..2dbd2e1b176ccbf37ffa8cde6f905258bf7948e9 GIT binary patch literal 147 zcmX?X&dAHl^2)6e_MTR4A@2E=kQT z*5l=pQq*OzI{4=-4})SIpt5t{M9=6=dNNr!&w;y#cam9f3hdy`Iw%b cWo3A%Eb%cn$;v7T$$VZeSyUmQD-3~x05eK4KmY&$ literal 0 HcmV?d00001 diff --git a/lib/make/mb_autoexec.mk b/lib/make/mb_autoexec.mk index 36c8e7d..00e8994 100644 --- a/lib/make/mb_autoexec.mk +++ b/lib/make/mb_autoexec.mk @@ -5,6 +5,9 @@ MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_SHOW_VERSION,"Print O MB_AUTOEXEC_SHOW_PATH ?= on MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_SHOW_PATH,"Print search path on boot.",$(MB_AUTOEXEC_SHOW_PATH)) +MB_AUTOEXEC_SHOW_PROLOG ?= on +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_SHOW_PROLOG,"Print msxbuild prolog on boot.",$(MB_AUTOEXEC_SHOW_PROLOG)) + MB_AUTOEXEC_SHOW_CONFIG ?= on MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_SHOW_CONFIG,"Print host config on boot.",$(MB_AUTOEXEC_SHOW_CONFIG)) @@ -32,6 +35,9 @@ MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_SAFE_CMD_TIMEOUT,"Saf MB_AUTOEXEC_SAFE_CMD_EXITCODE ?= 1 MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_SAFE_CMD_EXITCODE,"Safe command execution failure exit code.",$(MB_AUTOEXEC_SAFE_CMD_EXITCODE)) +MB_AUTOEXEC_STARTUP_PROLOG ?= mb::boot MSXBUILD UID $(USER) +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_STARTUP_PROLOG,"Boot prolog user postfix.",$(MB_AUTOEXEC_STARTUP_PROLOG)) + define mb_autoexec_append_cmd echo "$(2)\r" >> $(1)/autoexec.bat endef @@ -80,33 +86,36 @@ MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_autoexec_append_save_video,"Ap define mb_autoexec_append_safe_test $(call mb_autoexec_append_cmd,$(1),omsxctl fail_after $(if $(3),$(3),$(MB_AUTOEXEC_SAFE_TEST_TIMEOUT)) seconds failed_test $(if $(4),$(4),$(MB_AUTOEXEC_SAFE_TEST_EXITCODE))) - $(call mb_autoexec_append_echo,$(1),Running test: $(2)) + $(call mb_autoexec_append_echo,$(1),mb::safe test $(2)) $(call mb_autoexec_append_cmd,$(1),$(2)) endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_autoexec_append_safe_test,"Appends running a safe test."," [timeout] [exit-code]") define mb_autoexec_append_safe_cmd $(call mb_autoexec_append_cmd,$(1),omsxctl fail_after $(if $(3),$(3),$(MB_AUTOEXEC_SAFE_CMD_TIMEOUT)) seconds failed_cmd $(if $(4),$(4),$(MB_AUTOEXEC_SAFE_CMD_EXITCODE))) - $(call mb_autoexec_append_echo,$(1),Running command: $(2)) + $(call mb_autoexec_append_echo,$(1),mb::safe command $(2)) $(call mb_autoexec_append_cmd,$(1),$(2)) endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_autoexec_append_safe_cmd,"Appends running a safe command."," [timeout] [exit-code]") +define _mb_autoexec_write_preboot + $(call mb_autoexec_append_cmd,$(1),$(2)mbboot80) + $(call mb_autoexec_append_cmd,$(1),$(2)omsxctl boot_exec_color_vdp) + $(if $(filter on,$(MB_FLIGHT_VIDEO)),$(call mb_autoexec_append_save_video,$(1))) + $(call mb_autoexec_append_cmd,$(1),$(2)omsxctl fail_after $(MB_AUTOEXEC_STARTUP_TIMEOUT) seconds failed_exec $(MB_AUTOEXEC_STARTUP_EXITCODE)) +endef + define mb_autoexec_write_default @echo === Writing autoexec.bat for $@ @echo -n "" > $(1)/autoexec.bat - $(if $(filter 40,$(2)),$(call mb_autoexec_append_cmd,$(1),mode 40),$(call mb_autoexec_append_cmd,$(1),mode 80)) - $(call mb_autoexec_append_echo,$(1),==== MSXBUILD ====) - $(if $(filter 40,$(2)),$(call mb_autoexec_append_echo,$(1),Boot mode 40),$(call mb_autoexec_append_echo,$(1),Boot mode 80)) - $(call mb_autoexec_append_cmd,$(1),omsxctl boot_exec_color_vdp) - $(if $(filter on,$(MB_FLIGHT_VIDEO)),$(call mb_autoexec_append_save_video,$(1))) - $(call mb_autoexec_append_cmd,$(1),omsxctl fail_after $(MB_AUTOEXEC_STARTUP_TIMEOUT) seconds failed_exec $(MB_AUTOEXEC_STARTUP_EXITCODE)) + $(if $(wildcard $(1)/utils),$(call _mb_autoexec_write_preboot,$(1),utils\),$(call _mb_autoexec_write_preboot,$(1))) $(if $(filter on,$(MB_AUTOEXEC_SHOW_VERSION)),$(call mb_autoexec_append_cmd,$(1),ver)) - $(if $(wildcard $(1)/utils),$(call mb_autoexec_append_cmd,$(1),PATH + A:\; A:\UTILS)) + $(if $(wildcard $(1)/utils),$(call mb_autoexec_append_cmd,$(1),PATH + A:\UTILS)) $(if $(filter on,$(MB_AUTOEXEC_SHOW_PATH)),$(call mb_autoexec_append_cmd,$(1),PATH)) + $(if $(filter on,$(MB_AUTOEXEC_SHOW_PROLOG)),$(call mb_autoexec_append_echo,$(1),$(MB_AUTOEXEC_STARTUP_PROLOG))) $(if $(filter on,$(MB_AUTOEXEC_SHOW_CONFIG)),$(call mb_autoexec_append_cmd,$(1),omsxctl boot_exec_config_info)) - $(if $(filter on,$(MB_AUTOEXEC_SHOW_FOLDER)),$(call mb_autoexec_append_echo,$(1),Run folder $(1))) - $(if $(filter on,$(MB_AUTOEXEC_SHOW_TARGET)),$(call mb_autoexec_append_echo,$(1),Run target $@)) + $(if $(filter on,$(MB_AUTOEXEC_SHOW_FOLDER)),$(call mb_autoexec_append_echo,$(1),mb::path $(1))) + $(if $(filter on,$(MB_AUTOEXEC_SHOW_TARGET)),$(call mb_autoexec_append_echo,$(1),mb::pipe $@)) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_autoexec_write_default,"Write a default autoexec.bat file."," [text-mode]") +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_autoexec_write_default,"Write a default autoexec.bat file.","") diff --git a/lib/make/mb_msxpipe.mk b/lib/make/mb_msxpipe.mk index 6ab0272..37d47e6 100644 --- a/lib/make/mb_msxpipe.mk +++ b/lib/make/mb_msxpipe.mk @@ -20,8 +20,8 @@ define _mb_autoexec_show_gui $(call mb_autoexec_append_stop_fail,$(1)) $(call mb_autoexec_append_show_gui,$(1)) $(if $(filter mouse,$(4)),$(call mb_autoexec_append_joyporta_mouse,$(1))) - $(call mb_autoexec_append_echo,$(1),Type shutdown to stop emulation) - $(if $(3),$(call mb_autoexec_append_echo,$(1),Running command: $(3))) + $(call mb_autoexec_append_echo,$(1),mb::exit Type shutdown to stop emulation) + $(if $(3),$(call mb_autoexec_append_echo,$(1),mb::show command $(3))) $(if $(3),$(call mb_autoexec_append_cmd,$(1),$(3))) endef diff --git a/lib/make/mb_openmsx.mk b/lib/make/mb_openmsx.mk index 5aeaad2..c902180 100644 --- a/lib/make/mb_openmsx.mk +++ b/lib/make/mb_openmsx.mk @@ -92,12 +92,13 @@ define _mb_openmsx_dosctl_bat $(if $(wildcard $(1)/z80.bat),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/emuctl/z80.bat,$(1))) $(if $(wildcard $(1)/reboot.bat),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/emuctl/reboot.bat,$(1))) $(if $(wildcard $(1)/shutdown.bat),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/emuctl/shutdown.bat,$(1))) + $(if $(wildcard $(1)/mbboot80.com),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/emuctl/mbboot80.com,$(1))) + $(if $(wildcard $(1)/omsxctl.com),,$(call mb_msxhub_file,$(1),OMSXCTL/1.0-1/get/OMSXCTL/omsxctl.com)) endef define mb_openmsx_dosctl $(call mb_openmsx_setup,$(1),$(if $(2),$(2),$(MB_OPENMSX_MACHINE))) $(if $(wildcard $(1)/utils),$(call _mb_openmsx_dosctl_bat,$(1)/utils),$(call _mb_openmsx_dosctl_bat,$(1))) - $(if $(wildcard $(1)/omsxctl.com),,$(call mb_msxhub_file,$(1),OMSXCTL/1.0-1/get/OMSXCTL/omsxctl.com)) $(if $(wildcard $(1)/command.com),,$(if $(wildcard $(1)/command2.com),,$(call mb_msxhub_get_$(MB_OPENMSX_BOOT_OS)_boot,$(1)))) $(call _mb_openmsx_run,$(1),$(if $(2),$(2),$(MB_OPENMSX_MACHINE)),$(if $(3),$(3),$(MB_OPENMSX_MACHINE_RAM))) endef diff --git a/lib/openmsx/share/scripts/boot_exec.tcl b/lib/openmsx/share/scripts/boot_exec.tcl index 20eadec..3463c57 100644 --- a/lib/openmsx/share/scripts/boot_exec.tcl +++ b/lib/openmsx/share/scripts/boot_exec.tcl @@ -33,13 +33,17 @@ proc boot_exec_color_vdp {{vdp_fg 0} {vdp_bg 0}} { } else { set color_vdp_bg $boot_exec_color_vdp_bg } - setcolor 15 $color_vdp_fg - setcolor 4 $color_vdp_bg - return "Boot color vdp fg $color_vdp_fg bg $color_vdp_bg" + if {([vdpreg 8] != 0) || ([vdpreg 16] != 0)} { + setcolor 15 $color_vdp_fg + setcolor 4 $color_vdp_bg + return "mb::boot color vdp fg $color_vdp_fg bg $color_vdp_bg" + } else { + return "mb::boot color MSX1" + } } proc boot_exec_config_info {} { - set result "Run machine " + set result "mb::host " append result [machine_info config_name] append result " on " append result [openmsx_info version] diff --git a/lib/openmsx/share/scripts/fail_after.tcl b/lib/openmsx/share/scripts/fail_after.tcl index 9b4fda6..e8fd7b2 100644 --- a/lib/openmsx/share/scripts/fail_after.tcl +++ b/lib/openmsx/share/scripts/fail_after.tcl @@ -41,7 +41,7 @@ proc fail_after { timeout {time_unit "time"} {fail_id "fail_after"} {fail_code 2 set msg "" if {$fail_after_prev_timer != 0} { after cancel $fail_after_prev_timer - set msg "$fail_after_prev_id: Stopped attempt" + set msg "mb::fail canceled $fail_after_prev_id" } set fail_after_prev_id $fail_id if {$time_unit != "time"} { @@ -52,7 +52,7 @@ proc fail_after { timeout {time_unit "time"} {fail_id "fail_after"} {fail_code 2 puts stderr "error: $err_msg" fail_after_exit fail_after_timer_error 1 } - set msg "$msg\n$fail_id: Failure in $timeout $time_unit sec" + set msg "$msg\nmb::fail after $timeout $time_unit $fail_id" } else { set fail_after_prev_timer 0 } diff --git a/lib/openmsx/share/scripts/headless.tcl b/lib/openmsx/share/scripts/headless.tcl index fee8cda..ba33157 100644 --- a/lib/openmsx/share/scripts/headless.tcl +++ b/lib/openmsx/share/scripts/headless.tcl @@ -31,12 +31,12 @@ set headless_renderer_done 0 proc headless_exit {} { after time 1 "exit 0" - return "Shutdown openMSX" + return "mb::head shutdown openMSX" } proc headless_reset {} { after time 1 "reset" - return "Reboot openMSX" + return "mb::head reboot openMSX" } proc headless_show_gui {} { @@ -45,7 +45,7 @@ proc headless_show_gui {} { global headless_renderer_type global headless_renderer_done if {$headless_renderer_done != 0} { - return "Requested GUI already showing" + return "mb::head GUI already showing" } if {$headless_renderer_type != 0} { after time 1 "set renderer $headless_renderer_type" @@ -55,7 +55,7 @@ proc headless_show_gui {} { puts stderr "error: Requested show gui but env.RENDERER is missing." exit 1 } - return "Requested GUI with $headless_renderer_type" + return "mb::head GUI renderer $headless_renderer_type" } if {[info exists ::env(RENDERER)] && ([string trim $::env(RENDERER)] != "")} { diff --git a/lib/openmsx/share/scripts/night_flight.tcl b/lib/openmsx/share/scripts/night_flight.tcl index 2d895d6..b27d0ee 100644 --- a/lib/openmsx/share/scripts/night_flight.tcl +++ b/lib/openmsx/share/scripts/night_flight.tcl @@ -33,7 +33,7 @@ proc night_flight_save_screenshot {{prefix_id 0}} { set file_prefix "$night_flight_prefix$night_flight_seperator" } screenshot -prefix $file_prefix - return "Flight screenshot saved" + return "mb::save flight screenshot" } proc night_flight_save_video {{prefix_id 0}} { @@ -47,7 +47,7 @@ proc night_flight_save_video {{prefix_id 0}} { } after quit "record stop" after time 1 "record start $night_flight_record_flag -prefix $file_prefix" - return "Flight video started" + return "mb::save flight video" } if {[info exists ::env(NF_PREFIX)] && ([string trim $::env(NF_PREFIX)] != "")} { diff --git a/src/0module/assert-all/0module.mk b/src/0module/assert-all/0module.mk index 9f9b4d1..638aa8a 100644 --- a/src/0module/assert-all/0module.mk +++ b/src/0module/assert-all/0module.mk @@ -6,7 +6,8 @@ bin/dist-qa-dos1/@assert \ bin/dist-qa-dos2/@assert \ bin/dist-qa-msx1/@assert \ bin/dist-qa-msxhub/@assert \ -bin/make-on-msx/@assert +bin/make-on-msx/@assert \ +bin/mbboot80-test/@assert $(PATH_BIN)/@assert-all: | $(ASSERT_ALL_DEPS) @echo === All assertions completed diff --git a/src/mbboot80-test/0module.mk b/src/mbboot80-test/0module.mk new file mode 100644 index 0000000..ee52b70 --- /dev/null +++ b/src/mbboot80-test/0module.mk @@ -0,0 +1,24 @@ + +MBBOOT80_TEST_MOD := mbboot80-test +MBBOOT80_TEST_SRC := $(PATH_SRC)/$(MBBOOT80_TEST_MOD) +MBBOOT80_TEST_BIN := $(PATH_BIN)/$(MBBOOT80_TEST_MOD) + +$(MBBOOT80_TEST_BIN): | $(PATH_BIN)/@prepare + $(call mb_mkdir,$(MBBOOT80_TEST_BIN)) + +$(MBBOOT80_TEST_BIN)/mbboot80.com: $(MBBOOT80_BIN)/mbboot80.com | $(MBBOOT80_TEST_BIN) + $(call mb_copy,$<,$@) + +$(MBBOOT80_TEST_BIN)/@run: | $(MBBOOT80_TEST_BIN)/mbboot80.com + $(call mb_autoexec_show_gui80,$(MBBOOT80_TEST_BIN)) + $(call mb_openmsx_dosctl,$(MBBOOT80_TEST_BIN)) +MB_DOC_HELP_TARGET += $(call mb_doc_target,$(MBBOOT80_TEST_BIN)/@run,"Run binaries from mbboot80.") +.PHONY: $(MBBOOT80_TEST_BIN)/@run + +$(MBBOOT80_TEST_BIN)/@assert: | $(MBBOOT80_TEST_BIN)/mbboot80.com + $(call mb_delete,$(MBBOOT80_TEST_BIN)/ahello.out) + $(call mb_autoexec_safe_test,$(MBBOOT80_TEST_BIN),mbboot80 > mbboot80.out) + $(call mb_openmsx_dosctl,$(MBBOOT80_TEST_BIN)) + grep "mb::boot mode 80" $(MBBOOT80_TEST_BIN)/mbboot80.out +MB_DOC_HELP_TARGET += $(call mb_doc_target,$(MBBOOT80_TEST_BIN)/@assert,"Assert binaries from mbboot80.") +.PHONY: $(MBBOOT80_TEST_BIN)/@assert \ No newline at end of file diff --git a/src/mbboot80/0module.mk b/src/mbboot80/0module.mk new file mode 100644 index 0000000..f703fea --- /dev/null +++ b/src/mbboot80/0module.mk @@ -0,0 +1,28 @@ + +MBBOOT80_MOD := mbboot80 +MBBOOT80_SRC := $(PATH_SRC)/$(MBBOOT80_MOD) +MBBOOT80_BIN := $(PATH_BIN)/$(MBBOOT80_MOD) + +$(MBBOOT80_BIN): | $(PATH_BIN)/@prepare + $(call mb_mkdir,$(MBBOOT80_BIN)) + +$(MBBOOT80_BIN)/%.rel: $(MBBOOT80_SRC)/%.asm | $(MBBOOT80_BIN) + $(call mb_sdcc_compile_asm,$<,$@) + +$(MBBOOT80_BIN)/mbboot80.hex: $(MBBOOT80_BIN)/mbboot80.rel + $(call mb_sdcc_link_asm_bdos,$<,$@) + +$(MBBOOT80_BIN)/mbboot80.com: $(MBBOOT80_BIN)/mbboot80.hex + $(call mb_sdcc_hex2bin,$<,$@) +MB_DOC_HELP_TARGET += $(call mb_doc_target,$(MBBOOT80_BIN)/mbboot80.com,"Compiles mbboot80.") + +$(MBBOOT80_BIN)/@run: | $(MBBOOT80_BIN)/mbboot80.com + $(call mb_autoexec_show_gui80,$(MBBOOT80_BIN)) + $(call mb_openmsx_dosctl,$(MBBOOT80_BIN)) +MB_DOC_HELP_TARGET += $(call mb_doc_target,$(MBBOOT80_BIN)/@run,"Run the compiled mbboot80.") +.PHONY: $(MBBOOT80_BIN)/@run + +$(MBBOOT80_BIN)/@release-local: | $(MBBOOT80_TEST_BIN)/@assert + $(call mb_copy,$(MBBOOT80_BIN)/mbboot80.com,lib/emuctl//mbboot80.com) +MB_DOC_HELP_TARGET += $(call mb_doc_target,$(MBBOOT80_BIN)/@release-local,"Updates binary copy in lib/emuctl folder.") +.PHONY: $(MBBOOT80_BIN)/@release-local diff --git a/src/mbboot80/mbboot80.asm b/src/mbboot80/mbboot80.asm new file mode 100644 index 0000000..1654669 --- /dev/null +++ b/src/mbboot80/mbboot80.asm @@ -0,0 +1,51 @@ + +.area _CODE + JP MAIN + .db 0x0D + .db 0x0D,0x0A + .str "MSXBUILD Boot mode 80 to support msx1 systems." + .db 0x0D,0x0A + .db 0x1A + +MAIN: + LD HL,#0x002D + LD A,(0xFCC1) + CALL 0x0C + LD HL,#TXT_MODE80_MSX1 + OR A + JP Z,PUT_TXT + + LD A,#80 + LD (0xF3AE),A + LD A,#0 + LD IY,(0xFCC1) + LD IX,#0x5F + CALL 0x1C + + LD HL,#TXT_MODE80 + +PUT_TXT: + LD A,(HL) + CP #0x1D + RET Z + LD E,A + LD C,#2 + PUSH HL + CALL 0x5 + POP HL + INC HL + JR PUT_TXT + +;=========== MSX_TYPE TXT'S + +TXT_MODE80: + .str "mb::boot mode 80" + .db 0x0D,0x0A + .db 0x1D + +TXT_MODE80_MSX1: + .str "mb::boot mode MSX1" + .db 0x0D,0x0A + .db 0x1D + +.area _DATA From a84972e96020a3b434ffdd7bead0e5f927dfe67e Mon Sep 17 00:00:00 2001 From: Willem Date: Tue, 2 Jul 2024 16:31:42 +0200 Subject: [PATCH 102/274] Done msxpipe build steps. --- README.md | 53 ++++++++++++++++++++++++--------- lib/make/mb_doc.mk | 4 +-- lib/make/mb_msxpipe.mk | 46 +++++++++++++--------------- src/ahello-m80-test/0module.mk | 6 ++-- src/ahello-m80/0module.mk | 12 +++----- src/ahello-sdcc-test/0module.mk | 6 ++-- src/ahello-sdcc/0module.mk | 3 +- src/dist-qa-dos1/0module.mk | 3 +- src/dist-qa-dos2/0module.mk | 3 +- src/dist-qa-msx1/0module.mk | 3 +- src/dist-qa-msxhub/0module.mk | 5 ++-- src/make-on-msx/0module.mk | 6 ++-- src/mbboot80-test/0module.mk | 6 ++-- src/mbboot80/0module.mk | 3 +- 14 files changed, 80 insertions(+), 79 deletions(-) diff --git a/README.md b/README.md index a8b28b0..58af410 100644 --- a/README.md +++ b/README.md @@ -39,6 +39,44 @@ Include the `lib/make/msxbuild.mk` and override the paths if needed; TODO +## Usage Help + +Running: `make` + + Use 'make help' for possible targets and documention. + +Running: `make help` + + Welcome to the MSXBUILD help system. + + For detailed help use one of the following targets; + + * help@target + * help@function + * help@variable + + +Running: `make help@function` + + Documention of the make functions; + + * mb_doc_function [desc] [args] + Prints formatted documention of an function. + + * mb_doc_variable [desc] [value] + Prints formatted documention of an variable. + + * mb_doc_target [desc] + Prints formatted documention of an target. + + * mb_doc_project + Prints formatted documention of an project (help). + + * mb_doc_show_help@all + Displays text for 'make' + + ... + ## Example Project When you want to see whats happening do a debug run; @@ -48,7 +86,7 @@ When you want to see whats happening do a debug run; real 0m3.223s debug run at 11 Mhz; - time MB_OPENMSX_HEADLESS=off MB_OPENMSX_THROTTLE=on make vassert-all + time MB_OPENMSX_HEADLESS=off MB_OPENMSX_THROTTLE=on make bin/@assert-all real 1m4.856s debug run real msx speed; @@ -70,19 +108,6 @@ When you want to see whats happening do a debug run; manual test msxhub on machine; make DIST_QA_MSXHUB_MACHINE=Philips_VG_8000 bin/dist-qa-msxhub/@run -## Functions - -Current set is WIP. - -* mb_autoexec_write_default ` [text-mode]` -* mb_autoexec_show_gui40 ` [cmd]` -* mb_autoexec_show_gui40_mouse ` [cmd]` -* mb_autoexec_show_gui80 ` [cmd]` -* mb_autoexec_show_gui80_mouse ` [cmd]` -* mb_autoexec_safe_cmd ` [text-mode]` -* mb_autoexec_safe_test ` [text-mode]` - - ## Errata * Doesn't work on windows diff --git a/lib/make/mb_doc.mk b/lib/make/mb_doc.mk index 3c1ecdb..532b57a 100644 --- a/lib/make/mb_doc.mk +++ b/lib/make/mb_doc.mk @@ -6,8 +6,8 @@ MB_DOC_HELP_FUNCTION ?= "" MB_DOC_TXT_BUILD_ALL := "Use 'make help' for possible targets and documention." MB_DOC_TXT_BUILD_PROJECT := "Welcome to the MSXBUILD help system.\\n\\nFor detailed help use one of the following targets;\\n" MB_DOC_TXT_BUILD_TARGET := "Build one of the following make targets;\\n" -MB_DOC_TXT_BUILD_VARIABLE := "Documention of the MSXBUILD variables;\\n" -MB_DOC_TXT_BUILD_FUNCTION := "Documention of the MSXBUILD functions;\\n" +MB_DOC_TXT_BUILD_VARIABLE := "Documention of the make variables;\\n" +MB_DOC_TXT_BUILD_FUNCTION := "Documention of the make functions;\\n" MB_DOC_FORMAT ?= txt MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_DOC_FORMAT,"Output format of documention$(MB_CHAR_COMMA) only 'txt' is supported currently.",$(MB_DOC_FORMAT)) diff --git a/lib/make/mb_msxpipe.mk b/lib/make/mb_msxpipe.mk index 37d47e6..3dcd622 100644 --- a/lib/make/mb_msxpipe.mk +++ b/lib/make/mb_msxpipe.mk @@ -1,44 +1,38 @@ -# TODO: rename - -define mb_autoexec_safe_cmd - $(call mb_autoexec_write_default,$(1),$(3)) +define mb_msxpipe_safe_cmd + $(call mb_autoexec_write_default,$(1)) $(call mb_autoexec_append_safe_cmd,$(1),$(2)) $(call mb_autoexec_append_exit,$(1)) + $(call mb_openmsx_dosctl,$(1),$(3)) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_autoexec_safe_cmd,"Runs openMSX and safely executes a command."," [text-mode]") +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxpipe_safe_cmd,"Runs openMSX and safely executes one command."," [machine]") -define mb_autoexec_safe_test - $(call mb_autoexec_write_default,$(1),$(3)) +define mb_msxpipe_safe_test + $(call mb_autoexec_write_default,$(1)) $(call mb_autoexec_append_safe_test,$(1),$(2)) $(call mb_autoexec_append_exit,$(1)) + $(call mb_openmsx_dosctl,$(1),$(3)) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_autoexec_safe_test,"Runs openMSX and safely executes a test."," [text-mode]") +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxpipe_safe_test,"Runs openMSX and safely executes one test."," [machine]") -define _mb_autoexec_show_gui - $(call mb_autoexec_write_default,$(1),$(2)) +define _mb_msxpipe_show_gui + $(call mb_autoexec_write_default,$(1)) $(call mb_autoexec_append_stop_fail,$(1)) $(call mb_autoexec_append_show_gui,$(1)) $(if $(filter mouse,$(4)),$(call mb_autoexec_append_joyporta_mouse,$(1))) - $(call mb_autoexec_append_echo,$(1),mb::exit Type shutdown to stop emulation) - $(if $(3),$(call mb_autoexec_append_echo,$(1),mb::show command $(3))) - $(if $(3),$(call mb_autoexec_append_cmd,$(1),$(3))) + $(call mb_autoexec_append_echo,$(1),mb::help Run shutdown to stop emulation) + $(if $(2),$(call mb_autoexec_append_echo,$(1),mb::show command $(2))) + $(if $(2),$(call mb_autoexec_append_cmd,$(1),$(2))) + $(call mb_openmsx_dosctl,$(1),$(3)) endef -define mb_autoexec_show_gui40 - $(call _mb_autoexec_show_gui,$(1),40,$(2)) +define mb_msxpipe_show_gui + $(call _mb_msxpipe_show_gui,$(1),$(2),$(3)) endef +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxpipe_show_gui,"Runs openMSX with renderer and optionals."," [cmd] [machine]") -define mb_autoexec_show_gui40_mouse - $(call _mb_autoexec_show_gui,$(1),40,$(2),mouse) +define mb_msxpipe_show_gui_mouse + $(call _mb_msxpipe_show_gui,$(1),$(2),$(3),mouse) endef - -define mb_autoexec_show_gui80 - $(call _mb_autoexec_show_gui,$(1),80,$(2)) -endef - -define mb_autoexec_show_gui80_mouse - $(call _mb_autoexec_show_gui,$(1),80,$(2),mouse) -endef - +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxpipe_show_gui_mouse,"Runs openMSX with renderer and mouse and optionals."," [cmd] [machine]") diff --git a/src/ahello-m80-test/0module.mk b/src/ahello-m80-test/0module.mk index 25bc2cf..5a08ae0 100644 --- a/src/ahello-m80-test/0module.mk +++ b/src/ahello-m80-test/0module.mk @@ -10,15 +10,13 @@ $(AHELLO_M80_TEST_BIN)/ahello.com: $(AHELLO_M80_BIN)/ahello.com | $(AHELLO_M80_T $(call mb_copy,$<,$@) $(AHELLO_M80_TEST_BIN)/@run: | $(AHELLO_M80_TEST_BIN)/ahello.com - $(call mb_autoexec_show_gui80,$(AHELLO_M80_TEST_BIN)) - $(call mb_openmsx_dosctl,$(AHELLO_M80_TEST_BIN)) + $(call mb_msxpipe_show_gui,$(AHELLO_M80_TEST_BIN)) MB_DOC_HELP_TARGET += $(call mb_doc_target,$(AHELLO_M80_TEST_BIN)/@run,"Run binaries from ahello-m80.") .PHONY: $(AHELLO_M80_TEST_BIN)/@run $(AHELLO_M80_TEST_BIN)/@assert: | $(AHELLO_M80_TEST_BIN)/ahello.com $(call mb_delete,$(AHELLO_M80_TEST_BIN)/ahello.out) - $(call mb_autoexec_safe_test,$(AHELLO_M80_TEST_BIN),ahello > ahello.out) - $(call mb_openmsx_dosctl,$(AHELLO_M80_TEST_BIN)) + $(call mb_msxpipe_safe_test,$(AHELLO_M80_TEST_BIN),ahello > ahello.out) grep "M80: Hello world..." $(AHELLO_M80_TEST_BIN)/ahello.out MB_DOC_HELP_TARGET += $(call mb_doc_target,$(AHELLO_M80_TEST_BIN)/@assert,"Assert binaries from ahello-m80.") .PHONY: $(AHELLO_M80_TEST_BIN)/@assert diff --git a/src/ahello-m80/0module.mk b/src/ahello-m80/0module.mk index a500043..3b3d791 100644 --- a/src/ahello-m80/0module.mk +++ b/src/ahello-m80/0module.mk @@ -13,20 +13,16 @@ $(AHELLO_M80_BIN)/%.mac: $(AHELLO_M80_SRC)/%.mac | $(AHELLO_M80_BIN) $(call mb_unix2dos,$<,$@) $(AHELLO_M80_BIN)/ahello.rel: $(AHELLO_M80_BIN)/ahello.mac - $(call mb_autoexec_safe_cmd,$(AHELLO_M80_BIN),m80 =ahello/Z) - $(call mb_openmsx_dosctl,$(AHELLO_M80_BIN)) + $(call mb_msxpipe_safe_cmd,$(AHELLO_M80_BIN),m80 =ahello/Z) $(AHELLO_M80_BIN)/ahello.hex: $(AHELLO_M80_BIN)/ahello.rel - $(call mb_autoexec_safe_cmd,$(AHELLO_M80_BIN),l80 ahello$(MB_CHAR_COMMA)ahello/N/X/Y/E) - $(call mb_openmsx_dosctl,$(AHELLO_M80_BIN)) + $(call mb_msxpipe_safe_cmd,$(AHELLO_M80_BIN),l80 ahello$(MB_CHAR_COMMA)ahello/N/X/Y/E) $(AHELLO_M80_BIN)/ahello.com: | $(AHELLO_M80_BIN)/ahello.hex - $(call mb_autoexec_safe_cmd,$(AHELLO_M80_BIN),hextocom ahello) - $(call mb_openmsx_dosctl,$(AHELLO_M80_BIN)) + $(call mb_msxpipe_safe_cmd,$(AHELLO_M80_BIN),hextocom ahello) MB_DOC_HELP_TARGET += $(call mb_doc_target,$(AHELLO_M80_BIN)/ahello.com,"Compiles hello world with macro80.") $(AHELLO_M80_BIN)/@run: | $(AHELLO_M80_BIN)/ahello.com - $(call mb_autoexec_show_gui80,$(AHELLO_M80_BIN)) - $(call mb_openmsx_dosctl,$(AHELLO_M80_BIN)) + $(call mb_msxpipe_show_gui,$(AHELLO_M80_BIN)) MB_DOC_HELP_TARGET += $(call mb_doc_target,$(AHELLO_M80_BIN)/@run,"Run the compiled hello world from macro80.") .PHONY: $(AHELLO_M80_BIN)/@run diff --git a/src/ahello-sdcc-test/0module.mk b/src/ahello-sdcc-test/0module.mk index ae5f64b..dcbdd08 100644 --- a/src/ahello-sdcc-test/0module.mk +++ b/src/ahello-sdcc-test/0module.mk @@ -10,15 +10,13 @@ $(AHELLO_SDCC_TEST_BIN)/ahello.com: $(AHELLO_SDCC_BIN)/ahello.com | $(AHELLO_SDC $(call mb_copy,$<,$@) $(AHELLO_SDCC_TEST_BIN)/@run: | $(AHELLO_SDCC_TEST_BIN)/ahello.com - $(call mb_autoexec_show_gui80,$(AHELLO_SDCC_TEST_BIN)) - $(call mb_openmsx_dosctl,$(AHELLO_SDCC_TEST_BIN)) + $(call mb_msxpipe_show_gui,$(AHELLO_SDCC_TEST_BIN)) MB_DOC_HELP_TARGET += $(call mb_doc_target,$(AHELLO_SDCC_TEST_BIN)/@run,"Run binaries from ahello-sdcc.") .PHONY: $(AHELLO_SDCC_TEST_BIN)/@run $(AHELLO_SDCC_TEST_BIN)/@assert: | $(AHELLO_SDCC_TEST_BIN)/ahello.com $(call mb_delete,$(AHELLO_SDCC_TEST_BIN)/ahello.out) - $(call mb_autoexec_safe_test,$(AHELLO_SDCC_TEST_BIN),ahello > ahello.out) - $(call mb_openmsx_dosctl,$(AHELLO_SDCC_TEST_BIN)) + $(call mb_msxpipe_safe_test,$(AHELLO_SDCC_TEST_BIN),ahello > ahello.out) grep "SDCC: Hello world..." $(AHELLO_SDCC_TEST_BIN)/ahello.out MB_DOC_HELP_TARGET += $(call mb_doc_target,$(AHELLO_SDCC_TEST_BIN)/@assert,"Assert binaries from ahello-sdcc.") .PHONY: $(AHELLO_SDCC_TEST_BIN)/@assert \ No newline at end of file diff --git a/src/ahello-sdcc/0module.mk b/src/ahello-sdcc/0module.mk index 9f573d0..02476dd 100644 --- a/src/ahello-sdcc/0module.mk +++ b/src/ahello-sdcc/0module.mk @@ -17,7 +17,6 @@ $(AHELLO_SDCC_BIN)/ahello.com: $(AHELLO_SDCC_BIN)/ahello.hex MB_DOC_HELP_TARGET += $(call mb_doc_target,$(AHELLO_SDCC_BIN)/ahello.com,"Compiles hello world with sdcc.") $(AHELLO_SDCC_BIN)/@run: | $(AHELLO_SDCC_BIN)/ahello.com - $(call mb_autoexec_show_gui80,$(AHELLO_SDCC_BIN)) - $(call mb_openmsx_dosctl,$(AHELLO_SDCC_BIN)) + $(call mb_msxpipe_show_gui,$(AHELLO_SDCC_BIN)) MB_DOC_HELP_TARGET += $(call mb_doc_target,$(AHELLO_SDCC_BIN)/@run,"Run the compiled hello world from sdcc.") .PHONY: $(AHELLO_SDCC_BIN)/@run diff --git a/src/dist-qa-dos1/0module.mk b/src/dist-qa-dos1/0module.mk index 64ab7c3..82a6afd 100644 --- a/src/dist-qa-dos1/0module.mk +++ b/src/dist-qa-dos1/0module.mk @@ -12,8 +12,7 @@ $(DIST_QA_DOS1_BIN)/%: $(DIST_BIN)/% | $(DIST_QA_DOS1_BIN) $(DIST_DEPS) $(call mb_copy,$<,$@) $(DIST_QA_DOS1_BIN)/@run: | $(DIST_QA_DOS1_DEPS) - $(call mb_autoexec_show_gui80,$(DIST_QA_DOS1_BIN)) - $(call mb_openmsx_dosctl,$(DIST_QA_DOS1_BIN)) + $(call mb_msxpipe_show_gui,$(DIST_QA_DOS1_BIN)) MB_DOC_HELP_TARGET += $(call mb_doc_target,$(DIST_QA_DOS1_BIN)/@run,"Run binaries on msxdos1 machine.") .PHONY: $(DIST_QA_DOS1_BIN)/@run diff --git a/src/dist-qa-dos2/0module.mk b/src/dist-qa-dos2/0module.mk index 30b231f..597f1cb 100644 --- a/src/dist-qa-dos2/0module.mk +++ b/src/dist-qa-dos2/0module.mk @@ -12,8 +12,7 @@ $(DIST_QA_DOS2_BIN)/%: $(DIST_BIN)/% | $(DIST_QA_DOS2_BIN) $(DIST_DEPS) $(call mb_copy,$<,$@) $(DIST_QA_DOS2_BIN)/@run: | $(DIST_QA_DOS2_DEPS) - $(call mb_autoexec_show_gui80,$(DIST_QA_DOS2_BIN)) - $(call mb_openmsx_dosctl,$(DIST_QA_DOS2_BIN)) + $(call mb_msxpipe_show_gui,$(DIST_QA_DOS2_BIN)) MB_DOC_HELP_TARGET += $(call mb_doc_target,$(DIST_QA_DOS2_BIN)/@run,"Run binaries on msxdos2 machine.") .PHONY: $(DIST_QA_DOS2_BIN)/@run diff --git a/src/dist-qa-msx1/0module.mk b/src/dist-qa-msx1/0module.mk index cc01c60..a6b1b08 100644 --- a/src/dist-qa-msx1/0module.mk +++ b/src/dist-qa-msx1/0module.mk @@ -13,8 +13,7 @@ $(DIST_QA_MSX1_BIN)/%: $(DIST_BIN)/% | $(DIST_QA_MSX1_BIN) $(DIST_DEPS) $(call mb_copy,$<,$@) $(DIST_QA_MSX1_BIN)/@run: | $(DIST_QA_MSX1_DEPS) - $(call mb_autoexec_show_gui40,$(DIST_QA_MSX1_BIN)) - $(call mb_openmsx_dosctl,$(DIST_QA_MSX1_BIN),$(DIST_QA_MSX1_MACHINE)) + $(call mb_msxpipe_show_gui,$(DIST_QA_MSX1_BIN),,$(DIST_QA_MSX1_MACHINE)) MB_DOC_HELP_TARGET += $(call mb_doc_target,$(DIST_QA_MSX1_BIN)/@run,"Run binaries on MSX1 machine.") .PHONY: $(DIST_QA_MSX1_BIN)/@run diff --git a/src/dist-qa-msxhub/0module.mk b/src/dist-qa-msxhub/0module.mk index 7a40961..af573eb 100644 --- a/src/dist-qa-msxhub/0module.mk +++ b/src/dist-qa-msxhub/0module.mk @@ -35,8 +35,7 @@ $(DIST_QA_MSXHUB_BIN)/%: $(DIST_BIN)/% | $(DIST_QA_MSXHUB_BIN) $(DIST_DEPS) $(call mb_copy,$<,$@) $(DIST_QA_MSXHUB_BIN)/@run: | $(DIST_QA_MSXHUB_DEPS) - $(call mb_autoexec_show_gui80,$(DIST_QA_MSXHUB_BIN)) - $(call mb_openmsx_dosctl,$(DIST_QA_MSXHUB_BIN),$(DIST_QA_MSXHUB_MACHINE)) + $(call mb_msxpipe_show_gui,$(DIST_QA_MSXHUB_BIN),,$(DIST_QA_MSXHUB_MACHINE)) MB_DOC_HELP_TARGET += $(call mb_doc_target,$(DIST_QA_MSXHUB_BIN)/@run,"Run the dist with all msxhub packages.") .PHONY: $(DIST_QA_MSXHUB_BIN)/@run @@ -44,7 +43,7 @@ $(DIST_QA_MSXHUB_BIN)/@assert: | $(DIST_QA_MSXHUB_DEPS) $(call mb_delete,$(DIST_QA_MSXHUB_BIN)/ahello1.out) $(call mb_delete,$(DIST_QA_MSXHUB_BIN)/ahello2.out) $(call mb_delete,$(DIST_QA_MSXHUB_BIN)/utils.out) - $(call mb_autoexec_write_default,$(DIST_QA_MSXHUB_BIN),80) + $(call mb_autoexec_write_default,$(DIST_QA_MSXHUB_BIN)) $(call mb_autoexec_append_safe_test,$(DIST_QA_MSXHUB_BIN),ahello1 > ahello1.out) $(call mb_autoexec_append_safe_test,$(DIST_QA_MSXHUB_BIN),ahello2 > ahello2.out) $(call mb_autoexec_append_safe_test,$(DIST_QA_MSXHUB_BIN),dir utils > utils.out) diff --git a/src/make-on-msx/0module.mk b/src/make-on-msx/0module.mk index c8de67c..56ec458 100644 --- a/src/make-on-msx/0module.mk +++ b/src/make-on-msx/0module.mk @@ -20,8 +20,7 @@ $(MAKE_ON_MSX_BIN)/build.bat: $(MAKE_ON_MSX_SRC)/build.bat | $(MAKE_ON_MSX_BIN)/ $(call mb_unix2dos,$<,$@) $(MAKE_ON_MSX_BIN)/nfbsshot.bin: | $(MAKE_ON_MSX_BIN)/build.bat - $(call mb_autoexec_safe_cmd,$(MAKE_ON_MSX_BIN),build shutdown) - $(call mb_openmsx_dosctl,$(MAKE_ON_MSX_BIN)) + $(call mb_msxpipe_safe_cmd,$(MAKE_ON_MSX_BIN),build shutdown) MB_DOC_HELP_TARGET += $(call mb_doc_target,$(MAKE_ON_MSX_BIN)/nfbsshot.bin,"Compiles binary with make on msx.") $(MAKE_ON_MSX_BIN)/@assert: | $(MAKE_ON_MSX_BIN)/nfbsshot.bin @@ -30,8 +29,7 @@ MB_DOC_HELP_TARGET += $(call mb_doc_target,$(MAKE_ON_MSX_BIN)/@assert,"Asserts t .PHONY: $(MAKE_ON_MSX_BIN)/@assert $(MAKE_ON_MSX_BIN)/@run: | $(MAKE_ON_MSX_BIN)/build.bat - $(call mb_autoexec_show_gui80,$(MAKE_ON_MSX_BIN)) - $(call mb_openmsx_dosctl,$(MAKE_ON_MSX_BIN)) + $(call mb_msxpipe_show_gui,$(MAKE_ON_MSX_BIN)) MB_DOC_HELP_TARGET += $(call mb_doc_target,$(MAKE_ON_MSX_BIN)/@run,"Run the make build manually.") .PHONY: $(MAKE_ON_MSX_BIN)/@run diff --git a/src/mbboot80-test/0module.mk b/src/mbboot80-test/0module.mk index ee52b70..df38341 100644 --- a/src/mbboot80-test/0module.mk +++ b/src/mbboot80-test/0module.mk @@ -10,15 +10,13 @@ $(MBBOOT80_TEST_BIN)/mbboot80.com: $(MBBOOT80_BIN)/mbboot80.com | $(MBBOOT80_TES $(call mb_copy,$<,$@) $(MBBOOT80_TEST_BIN)/@run: | $(MBBOOT80_TEST_BIN)/mbboot80.com - $(call mb_autoexec_show_gui80,$(MBBOOT80_TEST_BIN)) - $(call mb_openmsx_dosctl,$(MBBOOT80_TEST_BIN)) + $(call mb_msxpipe_show_gui,$(MBBOOT80_TEST_BIN)) MB_DOC_HELP_TARGET += $(call mb_doc_target,$(MBBOOT80_TEST_BIN)/@run,"Run binaries from mbboot80.") .PHONY: $(MBBOOT80_TEST_BIN)/@run $(MBBOOT80_TEST_BIN)/@assert: | $(MBBOOT80_TEST_BIN)/mbboot80.com $(call mb_delete,$(MBBOOT80_TEST_BIN)/ahello.out) - $(call mb_autoexec_safe_test,$(MBBOOT80_TEST_BIN),mbboot80 > mbboot80.out) - $(call mb_openmsx_dosctl,$(MBBOOT80_TEST_BIN)) + $(call mb_msxpipe_safe_test,$(MBBOOT80_TEST_BIN),mbboot80 > mbboot80.out) grep "mb::boot mode 80" $(MBBOOT80_TEST_BIN)/mbboot80.out MB_DOC_HELP_TARGET += $(call mb_doc_target,$(MBBOOT80_TEST_BIN)/@assert,"Assert binaries from mbboot80.") .PHONY: $(MBBOOT80_TEST_BIN)/@assert \ No newline at end of file diff --git a/src/mbboot80/0module.mk b/src/mbboot80/0module.mk index f703fea..babb627 100644 --- a/src/mbboot80/0module.mk +++ b/src/mbboot80/0module.mk @@ -17,8 +17,7 @@ $(MBBOOT80_BIN)/mbboot80.com: $(MBBOOT80_BIN)/mbboot80.hex MB_DOC_HELP_TARGET += $(call mb_doc_target,$(MBBOOT80_BIN)/mbboot80.com,"Compiles mbboot80.") $(MBBOOT80_BIN)/@run: | $(MBBOOT80_BIN)/mbboot80.com - $(call mb_autoexec_show_gui80,$(MBBOOT80_BIN)) - $(call mb_openmsx_dosctl,$(MBBOOT80_BIN)) + $(call mb_msxpipe_show_gui,$(MBBOOT80_BIN)) MB_DOC_HELP_TARGET += $(call mb_doc_target,$(MBBOOT80_BIN)/@run,"Run the compiled mbboot80.") .PHONY: $(MBBOOT80_BIN)/@run From e7be4296c507d8e8b25f38017453fc1859fe4b62 Mon Sep 17 00:00:00 2001 From: Willem Date: Tue, 2 Jul 2024 16:56:21 +0200 Subject: [PATCH 103/274] Added missing deps. --- README.md | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/README.md b/README.md index 58af410..1456e92 100644 --- a/README.md +++ b/README.md @@ -18,14 +18,14 @@ But as always, feel free to extend it for your specific needs or contribute a fi ## Dependencies -* make + wget + tar + dos2unix +* make + wget + tar + awk + grep + dos2unix * sdcc * openmsx * ffmpeg For debian use; - apt-get install make wget tar dos2unix sdcc openmsx ffmpeg + apt-get install make wget tar gawk grep dos2unix sdcc openmsx ffmpeg ## Usage Classic From aaa62970d046ce818d2ab53b9f8aad9090506d2b Mon Sep 17 00:00:00 2001 From: Willem Date: Tue, 2 Jul 2024 18:20:46 +0200 Subject: [PATCH 104/274] Renamed show gui to run gui. --- lib/make/mb_autoexec.mk | 2 +- lib/make/mb_msxpipe.mk | 14 +++++++------- src/ahello-m80-test/0module.mk | 2 +- src/ahello-m80/0module.mk | 2 +- src/ahello-sdcc-test/0module.mk | 2 +- src/ahello-sdcc/0module.mk | 2 +- src/dist-qa-dos1/0module.mk | 2 +- src/dist-qa-dos2/0module.mk | 2 +- src/dist-qa-msx1/0module.mk | 2 +- src/dist-qa-msxhub/0module.mk | 2 +- src/make-on-msx/0module.mk | 2 +- src/mbboot80-test/0module.mk | 2 +- src/mbboot80/0module.mk | 2 +- 13 files changed, 19 insertions(+), 19 deletions(-) diff --git a/lib/make/mb_autoexec.mk b/lib/make/mb_autoexec.mk index 00e8994..a5ff089 100644 --- a/lib/make/mb_autoexec.mk +++ b/lib/make/mb_autoexec.mk @@ -61,7 +61,7 @@ MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_autoexec_append_show_gui,"Appe define mb_autoexec_append_stop_fail $(call mb_autoexec_append_cmd,$(1),omsxctl fail_after 0) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_autoexec_append_stop_fail,"Appends stop automatic failure comand.","") +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_autoexec_append_stop_fail,"Appends stop automatic failure command.","") define mb_autoexec_append_exit $(if $(filter on,$(MB_FLIGHT_SCREEN)),$(call mb_autoexec_append_save_screenshot,$(1))) diff --git a/lib/make/mb_msxpipe.mk b/lib/make/mb_msxpipe.mk index 3dcd622..643279d 100644 --- a/lib/make/mb_msxpipe.mk +++ b/lib/make/mb_msxpipe.mk @@ -15,7 +15,7 @@ define mb_msxpipe_safe_test endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxpipe_safe_test,"Runs openMSX and safely executes one test."," [machine]") -define _mb_msxpipe_show_gui +define _mb_msxpipe_run_gui $(call mb_autoexec_write_default,$(1)) $(call mb_autoexec_append_stop_fail,$(1)) $(call mb_autoexec_append_show_gui,$(1)) @@ -26,13 +26,13 @@ define _mb_msxpipe_show_gui $(call mb_openmsx_dosctl,$(1),$(3)) endef -define mb_msxpipe_show_gui - $(call _mb_msxpipe_show_gui,$(1),$(2),$(3)) +define mb_msxpipe_run_gui + $(call _mb_msxpipe_run_gui,$(1),$(2),$(3)) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxpipe_show_gui,"Runs openMSX with renderer and optionals."," [cmd] [machine]") +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxpipe_run_gui,"Runs openMSX with renderer and optionals."," [cmd] [machine]") -define mb_msxpipe_show_gui_mouse - $(call _mb_msxpipe_show_gui,$(1),$(2),$(3),mouse) +define mb_msxpipe_run_gui_mouse + $(call _mb_msxpipe_run_gui,$(1),$(2),$(3),mouse) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxpipe_show_gui_mouse,"Runs openMSX with renderer and mouse and optionals."," [cmd] [machine]") +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxpipe_run_gui_mouse,"Runs openMSX with renderer and mouse and optionals."," [cmd] [machine]") diff --git a/src/ahello-m80-test/0module.mk b/src/ahello-m80-test/0module.mk index 5a08ae0..03659c3 100644 --- a/src/ahello-m80-test/0module.mk +++ b/src/ahello-m80-test/0module.mk @@ -10,7 +10,7 @@ $(AHELLO_M80_TEST_BIN)/ahello.com: $(AHELLO_M80_BIN)/ahello.com | $(AHELLO_M80_T $(call mb_copy,$<,$@) $(AHELLO_M80_TEST_BIN)/@run: | $(AHELLO_M80_TEST_BIN)/ahello.com - $(call mb_msxpipe_show_gui,$(AHELLO_M80_TEST_BIN)) + $(call mb_msxpipe_run_gui,$(AHELLO_M80_TEST_BIN)) MB_DOC_HELP_TARGET += $(call mb_doc_target,$(AHELLO_M80_TEST_BIN)/@run,"Run binaries from ahello-m80.") .PHONY: $(AHELLO_M80_TEST_BIN)/@run diff --git a/src/ahello-m80/0module.mk b/src/ahello-m80/0module.mk index 3b3d791..c6a2a71 100644 --- a/src/ahello-m80/0module.mk +++ b/src/ahello-m80/0module.mk @@ -23,6 +23,6 @@ $(AHELLO_M80_BIN)/ahello.com: | $(AHELLO_M80_BIN)/ahello.hex MB_DOC_HELP_TARGET += $(call mb_doc_target,$(AHELLO_M80_BIN)/ahello.com,"Compiles hello world with macro80.") $(AHELLO_M80_BIN)/@run: | $(AHELLO_M80_BIN)/ahello.com - $(call mb_msxpipe_show_gui,$(AHELLO_M80_BIN)) + $(call mb_msxpipe_run_gui,$(AHELLO_M80_BIN)) MB_DOC_HELP_TARGET += $(call mb_doc_target,$(AHELLO_M80_BIN)/@run,"Run the compiled hello world from macro80.") .PHONY: $(AHELLO_M80_BIN)/@run diff --git a/src/ahello-sdcc-test/0module.mk b/src/ahello-sdcc-test/0module.mk index dcbdd08..bd4d43d 100644 --- a/src/ahello-sdcc-test/0module.mk +++ b/src/ahello-sdcc-test/0module.mk @@ -10,7 +10,7 @@ $(AHELLO_SDCC_TEST_BIN)/ahello.com: $(AHELLO_SDCC_BIN)/ahello.com | $(AHELLO_SDC $(call mb_copy,$<,$@) $(AHELLO_SDCC_TEST_BIN)/@run: | $(AHELLO_SDCC_TEST_BIN)/ahello.com - $(call mb_msxpipe_show_gui,$(AHELLO_SDCC_TEST_BIN)) + $(call mb_msxpipe_run_gui,$(AHELLO_SDCC_TEST_BIN)) MB_DOC_HELP_TARGET += $(call mb_doc_target,$(AHELLO_SDCC_TEST_BIN)/@run,"Run binaries from ahello-sdcc.") .PHONY: $(AHELLO_SDCC_TEST_BIN)/@run diff --git a/src/ahello-sdcc/0module.mk b/src/ahello-sdcc/0module.mk index 02476dd..c613809 100644 --- a/src/ahello-sdcc/0module.mk +++ b/src/ahello-sdcc/0module.mk @@ -17,6 +17,6 @@ $(AHELLO_SDCC_BIN)/ahello.com: $(AHELLO_SDCC_BIN)/ahello.hex MB_DOC_HELP_TARGET += $(call mb_doc_target,$(AHELLO_SDCC_BIN)/ahello.com,"Compiles hello world with sdcc.") $(AHELLO_SDCC_BIN)/@run: | $(AHELLO_SDCC_BIN)/ahello.com - $(call mb_msxpipe_show_gui,$(AHELLO_SDCC_BIN)) + $(call mb_msxpipe_run_gui,$(AHELLO_SDCC_BIN)) MB_DOC_HELP_TARGET += $(call mb_doc_target,$(AHELLO_SDCC_BIN)/@run,"Run the compiled hello world from sdcc.") .PHONY: $(AHELLO_SDCC_BIN)/@run diff --git a/src/dist-qa-dos1/0module.mk b/src/dist-qa-dos1/0module.mk index 82a6afd..d90f8fd 100644 --- a/src/dist-qa-dos1/0module.mk +++ b/src/dist-qa-dos1/0module.mk @@ -12,7 +12,7 @@ $(DIST_QA_DOS1_BIN)/%: $(DIST_BIN)/% | $(DIST_QA_DOS1_BIN) $(DIST_DEPS) $(call mb_copy,$<,$@) $(DIST_QA_DOS1_BIN)/@run: | $(DIST_QA_DOS1_DEPS) - $(call mb_msxpipe_show_gui,$(DIST_QA_DOS1_BIN)) + $(call mb_msxpipe_run_gui,$(DIST_QA_DOS1_BIN)) MB_DOC_HELP_TARGET += $(call mb_doc_target,$(DIST_QA_DOS1_BIN)/@run,"Run binaries on msxdos1 machine.") .PHONY: $(DIST_QA_DOS1_BIN)/@run diff --git a/src/dist-qa-dos2/0module.mk b/src/dist-qa-dos2/0module.mk index 597f1cb..79f8dfe 100644 --- a/src/dist-qa-dos2/0module.mk +++ b/src/dist-qa-dos2/0module.mk @@ -12,7 +12,7 @@ $(DIST_QA_DOS2_BIN)/%: $(DIST_BIN)/% | $(DIST_QA_DOS2_BIN) $(DIST_DEPS) $(call mb_copy,$<,$@) $(DIST_QA_DOS2_BIN)/@run: | $(DIST_QA_DOS2_DEPS) - $(call mb_msxpipe_show_gui,$(DIST_QA_DOS2_BIN)) + $(call mb_msxpipe_run_gui,$(DIST_QA_DOS2_BIN)) MB_DOC_HELP_TARGET += $(call mb_doc_target,$(DIST_QA_DOS2_BIN)/@run,"Run binaries on msxdos2 machine.") .PHONY: $(DIST_QA_DOS2_BIN)/@run diff --git a/src/dist-qa-msx1/0module.mk b/src/dist-qa-msx1/0module.mk index a6b1b08..c253f94 100644 --- a/src/dist-qa-msx1/0module.mk +++ b/src/dist-qa-msx1/0module.mk @@ -13,7 +13,7 @@ $(DIST_QA_MSX1_BIN)/%: $(DIST_BIN)/% | $(DIST_QA_MSX1_BIN) $(DIST_DEPS) $(call mb_copy,$<,$@) $(DIST_QA_MSX1_BIN)/@run: | $(DIST_QA_MSX1_DEPS) - $(call mb_msxpipe_show_gui,$(DIST_QA_MSX1_BIN),,$(DIST_QA_MSX1_MACHINE)) + $(call mb_msxpipe_run_gui,$(DIST_QA_MSX1_BIN),,$(DIST_QA_MSX1_MACHINE)) MB_DOC_HELP_TARGET += $(call mb_doc_target,$(DIST_QA_MSX1_BIN)/@run,"Run binaries on MSX1 machine.") .PHONY: $(DIST_QA_MSX1_BIN)/@run diff --git a/src/dist-qa-msxhub/0module.mk b/src/dist-qa-msxhub/0module.mk index af573eb..223f516 100644 --- a/src/dist-qa-msxhub/0module.mk +++ b/src/dist-qa-msxhub/0module.mk @@ -35,7 +35,7 @@ $(DIST_QA_MSXHUB_BIN)/%: $(DIST_BIN)/% | $(DIST_QA_MSXHUB_BIN) $(DIST_DEPS) $(call mb_copy,$<,$@) $(DIST_QA_MSXHUB_BIN)/@run: | $(DIST_QA_MSXHUB_DEPS) - $(call mb_msxpipe_show_gui,$(DIST_QA_MSXHUB_BIN),,$(DIST_QA_MSXHUB_MACHINE)) + $(call mb_msxpipe_run_gui,$(DIST_QA_MSXHUB_BIN),,$(DIST_QA_MSXHUB_MACHINE)) MB_DOC_HELP_TARGET += $(call mb_doc_target,$(DIST_QA_MSXHUB_BIN)/@run,"Run the dist with all msxhub packages.") .PHONY: $(DIST_QA_MSXHUB_BIN)/@run diff --git a/src/make-on-msx/0module.mk b/src/make-on-msx/0module.mk index 56ec458..fa304a7 100644 --- a/src/make-on-msx/0module.mk +++ b/src/make-on-msx/0module.mk @@ -29,7 +29,7 @@ MB_DOC_HELP_TARGET += $(call mb_doc_target,$(MAKE_ON_MSX_BIN)/@assert,"Asserts t .PHONY: $(MAKE_ON_MSX_BIN)/@assert $(MAKE_ON_MSX_BIN)/@run: | $(MAKE_ON_MSX_BIN)/build.bat - $(call mb_msxpipe_show_gui,$(MAKE_ON_MSX_BIN)) + $(call mb_msxpipe_run_gui,$(MAKE_ON_MSX_BIN)) MB_DOC_HELP_TARGET += $(call mb_doc_target,$(MAKE_ON_MSX_BIN)/@run,"Run the make build manually.") .PHONY: $(MAKE_ON_MSX_BIN)/@run diff --git a/src/mbboot80-test/0module.mk b/src/mbboot80-test/0module.mk index df38341..2527507 100644 --- a/src/mbboot80-test/0module.mk +++ b/src/mbboot80-test/0module.mk @@ -10,7 +10,7 @@ $(MBBOOT80_TEST_BIN)/mbboot80.com: $(MBBOOT80_BIN)/mbboot80.com | $(MBBOOT80_TES $(call mb_copy,$<,$@) $(MBBOOT80_TEST_BIN)/@run: | $(MBBOOT80_TEST_BIN)/mbboot80.com - $(call mb_msxpipe_show_gui,$(MBBOOT80_TEST_BIN)) + $(call mb_msxpipe_run_gui,$(MBBOOT80_TEST_BIN)) MB_DOC_HELP_TARGET += $(call mb_doc_target,$(MBBOOT80_TEST_BIN)/@run,"Run binaries from mbboot80.") .PHONY: $(MBBOOT80_TEST_BIN)/@run diff --git a/src/mbboot80/0module.mk b/src/mbboot80/0module.mk index babb627..bacdb77 100644 --- a/src/mbboot80/0module.mk +++ b/src/mbboot80/0module.mk @@ -17,7 +17,7 @@ $(MBBOOT80_BIN)/mbboot80.com: $(MBBOOT80_BIN)/mbboot80.hex MB_DOC_HELP_TARGET += $(call mb_doc_target,$(MBBOOT80_BIN)/mbboot80.com,"Compiles mbboot80.") $(MBBOOT80_BIN)/@run: | $(MBBOOT80_BIN)/mbboot80.com - $(call mb_msxpipe_show_gui,$(MBBOOT80_BIN)) + $(call mb_msxpipe_run_gui,$(MBBOOT80_BIN)) MB_DOC_HELP_TARGET += $(call mb_doc_target,$(MBBOOT80_BIN)/@run,"Run the compiled mbboot80.") .PHONY: $(MBBOOT80_BIN)/@run From 055a8f3b36b64da9e287e4838cf1e5e2f652142c Mon Sep 17 00:00:00 2001 From: Willem Date: Tue, 2 Jul 2024 18:22:13 +0200 Subject: [PATCH 105/274] Added run_gui forever documentation keyword in the makefile. --- lib/make/mb_msxpipe.mk | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/lib/make/mb_msxpipe.mk b/lib/make/mb_msxpipe.mk index 643279d..2674e30 100644 --- a/lib/make/mb_msxpipe.mk +++ b/lib/make/mb_msxpipe.mk @@ -29,10 +29,10 @@ endef define mb_msxpipe_run_gui $(call _mb_msxpipe_run_gui,$(1),$(2),$(3)) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxpipe_run_gui,"Runs openMSX with renderer and optionals."," [cmd] [machine]") +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxpipe_run_gui,"Runs openMSX forever with renderer and optionals."," [cmd] [machine]") define mb_msxpipe_run_gui_mouse $(call _mb_msxpipe_run_gui,$(1),$(2),$(3),mouse) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxpipe_run_gui_mouse,"Runs openMSX with renderer and mouse and optionals."," [cmd] [machine]") +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxpipe_run_gui_mouse,"Runs openMSX forever with renderer and mouse and optionals."," [cmd] [machine]") From 0ed79edd7747e9c2a766963b8dc65f5d04be5109 Mon Sep 17 00:00:00 2001 From: Willem Date: Tue, 2 Jul 2024 21:04:16 +0200 Subject: [PATCH 106/274] Shorted failure ids for no wrap on 40 column mode. --- lib/make/mb_autoexec.mk | 6 +++--- lib/make/mb_msxpipe.mk | 2 +- lib/openmsx/share/scripts/fail_after.tcl | 2 +- 3 files changed, 5 insertions(+), 5 deletions(-) diff --git a/lib/make/mb_autoexec.mk b/lib/make/mb_autoexec.mk index a5ff089..18d015e 100644 --- a/lib/make/mb_autoexec.mk +++ b/lib/make/mb_autoexec.mk @@ -85,14 +85,14 @@ endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_autoexec_append_save_video,"Appends save video command."," [prefix]") define mb_autoexec_append_safe_test - $(call mb_autoexec_append_cmd,$(1),omsxctl fail_after $(if $(3),$(3),$(MB_AUTOEXEC_SAFE_TEST_TIMEOUT)) seconds failed_test $(if $(4),$(4),$(MB_AUTOEXEC_SAFE_TEST_EXITCODE))) + $(call mb_autoexec_append_cmd,$(1),omsxctl fail_after $(if $(3),$(3),$(MB_AUTOEXEC_SAFE_TEST_TIMEOUT)) seconds err_test $(if $(4),$(4),$(MB_AUTOEXEC_SAFE_TEST_EXITCODE))) $(call mb_autoexec_append_echo,$(1),mb::safe test $(2)) $(call mb_autoexec_append_cmd,$(1),$(2)) endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_autoexec_append_safe_test,"Appends running a safe test."," [timeout] [exit-code]") define mb_autoexec_append_safe_cmd - $(call mb_autoexec_append_cmd,$(1),omsxctl fail_after $(if $(3),$(3),$(MB_AUTOEXEC_SAFE_CMD_TIMEOUT)) seconds failed_cmd $(if $(4),$(4),$(MB_AUTOEXEC_SAFE_CMD_EXITCODE))) + $(call mb_autoexec_append_cmd,$(1),omsxctl fail_after $(if $(3),$(3),$(MB_AUTOEXEC_SAFE_CMD_TIMEOUT)) seconds err_cmd $(if $(4),$(4),$(MB_AUTOEXEC_SAFE_CMD_EXITCODE))) $(call mb_autoexec_append_echo,$(1),mb::safe command $(2)) $(call mb_autoexec_append_cmd,$(1),$(2)) endef @@ -102,7 +102,7 @@ define _mb_autoexec_write_preboot $(call mb_autoexec_append_cmd,$(1),$(2)mbboot80) $(call mb_autoexec_append_cmd,$(1),$(2)omsxctl boot_exec_color_vdp) $(if $(filter on,$(MB_FLIGHT_VIDEO)),$(call mb_autoexec_append_save_video,$(1))) - $(call mb_autoexec_append_cmd,$(1),$(2)omsxctl fail_after $(MB_AUTOEXEC_STARTUP_TIMEOUT) seconds failed_exec $(MB_AUTOEXEC_STARTUP_EXITCODE)) + $(call mb_autoexec_append_cmd,$(1),$(2)omsxctl fail_after $(MB_AUTOEXEC_STARTUP_TIMEOUT) seconds err_exec $(MB_AUTOEXEC_STARTUP_EXITCODE)) endef define mb_autoexec_write_default diff --git a/lib/make/mb_msxpipe.mk b/lib/make/mb_msxpipe.mk index 2674e30..2576ab3 100644 --- a/lib/make/mb_msxpipe.mk +++ b/lib/make/mb_msxpipe.mk @@ -20,7 +20,7 @@ define _mb_msxpipe_run_gui $(call mb_autoexec_append_stop_fail,$(1)) $(call mb_autoexec_append_show_gui,$(1)) $(if $(filter mouse,$(4)),$(call mb_autoexec_append_joyporta_mouse,$(1))) - $(call mb_autoexec_append_echo,$(1),mb::help Run shutdown to stop emulation) + $(call mb_autoexec_append_echo,$(1),mb::help Run shutdown to exit) $(if $(2),$(call mb_autoexec_append_echo,$(1),mb::show command $(2))) $(if $(2),$(call mb_autoexec_append_cmd,$(1),$(2))) $(call mb_openmsx_dosctl,$(1),$(3)) diff --git a/lib/openmsx/share/scripts/fail_after.tcl b/lib/openmsx/share/scripts/fail_after.tcl index e8fd7b2..8ea3fdd 100644 --- a/lib/openmsx/share/scripts/fail_after.tcl +++ b/lib/openmsx/share/scripts/fail_after.tcl @@ -62,7 +62,7 @@ proc fail_after { timeout {time_unit "time"} {fail_id "fail_after"} {fail_code 2 proc fail_after_reboot_watchdog {} { global fail_after_boot_timeout if {$fail_after_boot_timeout != 0} { - fail_after $fail_after_boot_timeout realtime failed_boot 124 + fail_after $fail_after_boot_timeout realtime err_boot 124 after boot "fail_after_reboot_watchdog" } } From 93cb9fd5d6e48b5359b6eb959500dc6dc59a0439 Mon Sep 17 00:00:00 2001 From: Willem Date: Tue, 2 Jul 2024 22:56:17 +0200 Subject: [PATCH 107/274] Small fixed and added color options for different things. --- lib/make/mb_autoexec.mk | 44 ++++++++++++++++--------- lib/make/mb_flight.mk | 2 +- lib/make/mb_msxpipe.mk | 25 ++++++++++++-- lib/make/mb_openmsx.mk | 6 ---- lib/openmsx/share/scripts/boot_exec.tcl | 42 ++++++++--------------- src/dist-qa-msx1/0module.mk | 3 +- src/dist-qa-msxhub/0module.mk | 3 +- src/make-on-msx/build.bat | 4 +-- 8 files changed, 71 insertions(+), 58 deletions(-) diff --git a/lib/make/mb_autoexec.mk b/lib/make/mb_autoexec.mk index 18d015e..32eff52 100644 --- a/lib/make/mb_autoexec.mk +++ b/lib/make/mb_autoexec.mk @@ -5,11 +5,14 @@ MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_SHOW_VERSION,"Print O MB_AUTOEXEC_SHOW_PATH ?= on MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_SHOW_PATH,"Print search path on boot.",$(MB_AUTOEXEC_SHOW_PATH)) -MB_AUTOEXEC_SHOW_PROLOG ?= on -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_SHOW_PROLOG,"Print msxbuild prolog on boot.",$(MB_AUTOEXEC_SHOW_PROLOG)) +MB_AUTOEXEC_SHOW_INIT ?= on +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_SHOW_INIT,"Print msxbuild init message on boot.",$(MB_AUTOEXEC_SHOW_INIT)) -MB_AUTOEXEC_SHOW_CONFIG ?= on -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_SHOW_CONFIG,"Print host config on boot.",$(MB_AUTOEXEC_SHOW_CONFIG)) +MB_AUTOEXEC_SHOW_HOST ?= on +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_SHOW_HOST,"Print host machine on boot.",$(MB_AUTOEXEC_SHOW_HOST)) + +MB_AUTOEXEC_SHOW_USER ?= on +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_SHOW_USER,"Print host user on boot.",$(MB_AUTOEXEC_SHOW_USER)) MB_AUTOEXEC_SHOW_FOLDER ?= on MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_SHOW_FOLDER,"Print host folder on boot.",$(MB_AUTOEXEC_SHOW_FOLDER)) @@ -35,8 +38,15 @@ MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_SAFE_CMD_TIMEOUT,"Saf MB_AUTOEXEC_SAFE_CMD_EXITCODE ?= 1 MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_SAFE_CMD_EXITCODE,"Safe command execution failure exit code.",$(MB_AUTOEXEC_SAFE_CMD_EXITCODE)) -MB_AUTOEXEC_STARTUP_PROLOG ?= mb::boot MSXBUILD UID $(USER) -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_STARTUP_PROLOG,"Boot prolog user postfix.",$(MB_AUTOEXEC_STARTUP_PROLOG)) +MB_AUTOEXEC_STARTUP_NOTE ?= +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_STARTUP_NOTE,"When set this startup note message is shown.",$(MB_AUTOEXEC_STARTUP_NOTE)) + +MB_AUTOEXEC_COLOR_FG ?= 151 +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_COLOR_FG,"Default foreground color if none is given.",$(MB_AUTOEXEC_COLOR_FG)) + +MB_AUTOEXEC_COLOR_BG ?= 000 +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_COLOR_BG,"Default background color if none if given.",$(MB_AUTOEXEC_COLOR_BG)) + define mb_autoexec_append_cmd echo "$(2)\r" >> $(1)/autoexec.bat @@ -100,22 +110,24 @@ MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_autoexec_append_safe_cmd,"Appe define _mb_autoexec_write_preboot $(call mb_autoexec_append_cmd,$(1),$(2)mbboot80) - $(call mb_autoexec_append_cmd,$(1),$(2)omsxctl boot_exec_color_vdp) - $(if $(filter on,$(MB_FLIGHT_VIDEO)),$(call mb_autoexec_append_save_video,$(1))) + $(call mb_autoexec_append_cmd,$(1),$(2)omsxctl boot_exec_setcolor $(if $(3),$(3),$(MB_AUTOEXEC_COLOR_FG)) $(if $(4),$(4),$(MB_AUTOEXEC_COLOR_BG))) $(call mb_autoexec_append_cmd,$(1),$(2)omsxctl fail_after $(MB_AUTOEXEC_STARTUP_TIMEOUT) seconds err_exec $(MB_AUTOEXEC_STARTUP_EXITCODE)) + $(if $(filter on,$(MB_AUTOEXEC_SHOW_INIT)),$(call mb_autoexec_append_cmd,$(1),$(2)omsxctl boot_exec_show_init)) + $(if $(filter on,$(MB_AUTOEXEC_SHOW_VERSION)),$(call mb_autoexec_append_cmd,$(1),ver)) + $(if $(wildcard $(1)/utils),$(call mb_autoexec_append_cmd,$(1),PATH + A:\UTILS)) endef define mb_autoexec_write_default @echo === Writing autoexec.bat for $@ @echo -n "" > $(1)/autoexec.bat - $(if $(wildcard $(1)/utils),$(call _mb_autoexec_write_preboot,$(1),utils\),$(call _mb_autoexec_write_preboot,$(1))) - $(if $(filter on,$(MB_AUTOEXEC_SHOW_VERSION)),$(call mb_autoexec_append_cmd,$(1),ver)) - $(if $(wildcard $(1)/utils),$(call mb_autoexec_append_cmd,$(1),PATH + A:\UTILS)) - $(if $(filter on,$(MB_AUTOEXEC_SHOW_PATH)),$(call mb_autoexec_append_cmd,$(1),PATH)) - $(if $(filter on,$(MB_AUTOEXEC_SHOW_PROLOG)),$(call mb_autoexec_append_echo,$(1),$(MB_AUTOEXEC_STARTUP_PROLOG))) - $(if $(filter on,$(MB_AUTOEXEC_SHOW_CONFIG)),$(call mb_autoexec_append_cmd,$(1),omsxctl boot_exec_config_info)) - $(if $(filter on,$(MB_AUTOEXEC_SHOW_FOLDER)),$(call mb_autoexec_append_echo,$(1),mb::path $(1))) + $(if $(wildcard $(1)/utils),$(call _mb_autoexec_write_preboot,$(1),utils\,$(2),$(3)),$(call _mb_autoexec_write_preboot,$(1),,$(2),$(3))) + $(if $(MB_AUTOEXEC_STARTUP_NOTE),$(call mb_autoexec_append_echo,$(1),mb::note $(MB_AUTOEXEC_STARTUP_NOTE))) + $(if $(filter on,$(MB_FLIGHT_VIDEO)),$(call mb_autoexec_append_save_video,$(1))) + $(if $(filter on,$(MB_AUTOEXEC_SHOW_HOST)),$(call mb_autoexec_append_cmd,$(1),omsxctl boot_exec_show_host)) + $(if $(filter on,$(MB_AUTOEXEC_SHOW_PATH)),$(call mb_autoexec_append_cmd,$(1),echo mb::path %PATH%)) + $(if $(filter on,$(MB_AUTOEXEC_SHOW_USER)),$(call mb_autoexec_append_echo,$(1),mb::user $(USER))) + $(if $(filter on,$(MB_AUTOEXEC_SHOW_FOLDER)),$(call mb_autoexec_append_echo,$(1),mb::bath $(1))) $(if $(filter on,$(MB_AUTOEXEC_SHOW_TARGET)),$(call mb_autoexec_append_echo,$(1),mb::pipe $@)) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_autoexec_write_default,"Write a default autoexec.bat file.","") +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_autoexec_write_default,"Write a default autoexec.bat file."," [fg-color] [bg-color]") diff --git a/lib/make/mb_flight.mk b/lib/make/mb_flight.mk index ad363af..edcd35e 100644 --- a/lib/make/mb_flight.mk +++ b/lib/make/mb_flight.mk @@ -14,7 +14,7 @@ MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_FLIGHT_SEPERATOR,"File and ind MB_FLIGHT_RECORD_FLAG ?= -doublesize MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_FLIGHT_RECORD_FLAG,"Command parameter flag of the video recorder.",$(MB_FLIGHT_RECORD_FLAG)) -MB_FLIGHT_VIDEO_NAME ?= flight-video +MB_FLIGHT_VIDEO_NAME ?= night-flight MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_FLIGHT_VIDEO_NAME,"Video file name of the final result merged video.",$(MB_FLIGHT_VIDEO_NAME)) define mb_flight_video_merge diff --git a/lib/make/mb_msxpipe.mk b/lib/make/mb_msxpipe.mk index 2576ab3..fe924ff 100644 --- a/lib/make/mb_msxpipe.mk +++ b/lib/make/mb_msxpipe.mk @@ -1,6 +1,25 @@ +MB_MSXPIPE_COLOR_FG_SAFE_CMD ?= 116 +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_MSXPIPE_COLOR_FG_SAFE_CMD,"Foreground color of safe command session pipe.",$(MB_MSXPIPE_COLOR_FG_SAFE_CMD)) + +MB_MSXPIPE_COLOR_BG_SAFE_CMD ?= 000 +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_MSXPIPE_COLOR_BG_SAFE_CMD,"Background color of safe command session pipe.",$(MB_MSXPIPE_COLOR_BG_SAFE_CMD)) + +MB_MSXPIPE_COLOR_FG_SAFE_TEST ?= 511 +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_MSXPIPE_COLOR_FG_SAFE_TEST,"Foreground color of safe test session pipe.",$(MB_MSXPIPE_COLOR_FG_SAFE_TEST)) + +MB_MSXPIPE_COLOR_BG_SAFE_TEST ?= 000 +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_MSXPIPE_COLOR_BG_SAFE_TEST,"Background color of safe test session pipe.",$(MB_MSXPIPE_COLOR_BG_SAFE_TEST)) + +MB_MSXPIPE_COLOR_FG_RUN_GUI ?= 151 +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_MSXPIPE_COLOR_FG_RUN_GUI,"Foreground color of run gui session pipe.",$(MB_MSXPIPE_COLOR_FG_RUN_GUI)) + +MB_MSXPIPE_COLOR_BG_RUN_GUI ?= 000 +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_MSXPIPE_COLOR_BG_RUN_GUI,"Background color of run gui session pipe.",$(MB_MSXPIPE_COLOR_BG_RUN_GUI)) + + define mb_msxpipe_safe_cmd - $(call mb_autoexec_write_default,$(1)) + $(call mb_autoexec_write_default,$(1),$(MB_MSXPIPE_COLOR_FG_SAFE_CMD),$(MB_MSXPIPE_COLOR_BG_SAFE_CMD)) $(call mb_autoexec_append_safe_cmd,$(1),$(2)) $(call mb_autoexec_append_exit,$(1)) $(call mb_openmsx_dosctl,$(1),$(3)) @@ -8,7 +27,7 @@ endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxpipe_safe_cmd,"Runs openMSX and safely executes one command."," [machine]") define mb_msxpipe_safe_test - $(call mb_autoexec_write_default,$(1)) + $(call mb_autoexec_write_default,$(1),$(MB_MSXPIPE_COLOR_FG_SAFE_TEST),$(MB_MSXPIPE_COLOR_BG_SAFE_TEST)) $(call mb_autoexec_append_safe_test,$(1),$(2)) $(call mb_autoexec_append_exit,$(1)) $(call mb_openmsx_dosctl,$(1),$(3)) @@ -16,7 +35,7 @@ endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxpipe_safe_test,"Runs openMSX and safely executes one test."," [machine]") define _mb_msxpipe_run_gui - $(call mb_autoexec_write_default,$(1)) + $(call mb_autoexec_write_default,$(1),$(MB_MSXPIPE_COLOR_FG_RUN_GUI),$(MB_MSXPIPE_COLOR_BG_RUN_GUI)) $(call mb_autoexec_append_stop_fail,$(1)) $(call mb_autoexec_append_show_gui,$(1)) $(if $(filter mouse,$(4)),$(call mb_autoexec_append_joyporta_mouse,$(1))) diff --git a/lib/make/mb_openmsx.mk b/lib/make/mb_openmsx.mk index c902180..662b76a 100644 --- a/lib/make/mb_openmsx.mk +++ b/lib/make/mb_openmsx.mk @@ -32,10 +32,6 @@ MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_OPENMSX_HEADLESS,"Controls if MB_OPENMSX_RENDERER ?= SDLGL-PP MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_OPENMSX_RENDERER,"The gui renderer used to display the window head with.",$(MB_OPENMSX_RENDERER)) -MB_OPENMSX_VDP_FG ?= 151 -MB_OPENMSX_VDP_BG ?= 000 - - define mb_openmsx_setup $(if $(wildcard $(1)-omsx),,$(call mb_mkdir,$(1)-omsx)) $(if $(wildcard $(1)-omsx/stdio.xml),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/openmsx/stdio.xml,$(1)-omsx)) @@ -70,8 +66,6 @@ define _mb_openmsx_run NF_PREFIX=$(MB_FLIGHT_PREFIX) \ NF_SEPERATOR=$(MB_FLIGHT_SEPERATOR) \ NF_RECORD_FLAG=$(MB_FLIGHT_RECORD_FLAG) \ - VDP_FG=$(MB_OPENMSX_VDP_FG) \ - VDP_BG=$(MB_OPENMSX_VDP_BG) \ OPENMSX_HOME="$(1)-omsx" \ $(PATH_OPENMSX)/openmsx \ -machine $(2) \ diff --git a/lib/openmsx/share/scripts/boot_exec.tcl b/lib/openmsx/share/scripts/boot_exec.tcl index 3463c57..01ef116 100644 --- a/lib/openmsx/share/scripts/boot_exec.tcl +++ b/lib/openmsx/share/scripts/boot_exec.tcl @@ -2,58 +2,44 @@ # # Adds the following commands to openMSX; # -# 'boot_exec_color_vdp ' +# 'boot_exec_setcolor ' # Sets the VDP colors to defaults or supplied colors. # -# 'boot_exec_config_info' -# Displays machine and openMSX version on one line. +# 'boot_exec_show_host' +# Displays machine boot line message. # -# Supported environment variables by this script; -# -# VDP_FG=111 -# Override the default vdp foreground color. -# -# VDP_BG=369 -# Override the default vdp background color. +# 'boot_exec_show_init' +# Displays MSXBUILD openMSX version boot line message. # -set boot_exec_color_vdp_fg "777" -set boot_exec_color_vdp_bg "000" - -proc boot_exec_color_vdp {{vdp_fg 0} {vdp_bg 0}} { - global boot_exec_color_vdp_fg - global boot_exec_color_vdp_bg +proc boot_exec_setcolor {{vdp_fg 0} {vdp_bg 0}} { if {$vdp_fg != 0} { set color_vdp_fg $vdp_fg } else { - set color_vdp_fg $boot_exec_color_vdp_fg + set color_vdp_fg "777" } if {$vdp_bg != 0} { set color_vdp_bg $vdp_bg } else { - set color_vdp_bg $boot_exec_color_vdp_bg + set color_vdp_bg "000" } if {([vdpreg 8] != 0) || ([vdpreg 16] != 0)} { setcolor 15 $color_vdp_fg setcolor 4 $color_vdp_bg - return "mb::boot color vdp fg $color_vdp_fg bg $color_vdp_bg" + return "mb::boot color fg $color_vdp_fg bg $color_vdp_bg" } else { return "mb::boot color MSX1" } } -proc boot_exec_config_info {} { +proc boot_exec_show_host {} { set result "mb::host " append result [machine_info config_name] - append result " on " - append result [openmsx_info version] return $result } -if {[info exists ::env(VDP_FG)] && ([string trim $::env(VDP_FG)] != "")} { - set boot_exec_color_vdp_fg [string trim $::env(VDP_FG)] -} - -if {[info exists ::env(VDP_BG)] && ([string trim $::env(VDP_BG)] != "")} { - set boot_exec_color_vdp_bg [string trim $::env(VDP_BG)] +proc boot_exec_show_init {} { + set result "mb::init MSXBUILD on " + append result [openmsx_info version] + return $result } diff --git a/src/dist-qa-msx1/0module.mk b/src/dist-qa-msx1/0module.mk index c253f94..d708011 100644 --- a/src/dist-qa-msx1/0module.mk +++ b/src/dist-qa-msx1/0module.mk @@ -1,10 +1,11 @@ DIST_QA_MSX1_MACHINE ?= Canon_V-20 +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,DIST_QA_MSX1_MACHINE,"The machine to run the $(DIST_QA_MSX1_MOD) module.",$(DIST_QA_MSX1_MACHINE)) + DIST_QA_MSX1_MOD := dist-qa-msx1 DIST_QA_MSX1_SRC := $(PATH_SRC)/$(DIST_QA_MSX1_MOD) DIST_QA_MSX1_BIN := $(PATH_BIN)/$(DIST_QA_MSX1_MOD) DIST_QA_MSX1_DEPS := $(subst dist,$(DIST_QA_MSX1_MOD),$(DIST_DEPS)) -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,DIST_QA_MSX1_MACHINE,"The machine to run the $(DIST_QA_MSX1_MOD) module.",$(DIST_QA_MSX1_MACHINE)) $(DIST_QA_MSX1_BIN): | $(PATH_BIN)/@prepare $(call mb_mkdir,$(DIST_QA_MSX1_BIN)) diff --git a/src/dist-qa-msxhub/0module.mk b/src/dist-qa-msxhub/0module.mk index 223f516..9d3b0c7 100644 --- a/src/dist-qa-msxhub/0module.mk +++ b/src/dist-qa-msxhub/0module.mk @@ -1,10 +1,11 @@ DIST_QA_MSXHUB_MACHINE ?= Boosted_MSX2+_JP +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,DIST_QA_MSXHUB_MACHINE,"The machine to run the $(DIST_QA_MSXHUB_MOD) module.",$(DIST_QA_MSXHUB_MACHINE)) + DIST_QA_MSXHUB_MOD := dist-qa-msxhub DIST_QA_MSXHUB_SRC := $(PATH_SRC)/$(DIST_QA_MSXHUB_MOD) DIST_QA_MSXHUB_BIN := $(PATH_BIN)/$(DIST_QA_MSXHUB_MOD) DIST_QA_MSXHUB_DEPS := $(subst dist,$(DIST_QA_MSXHUB_MOD),$(DIST_DEPS)) -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,DIST_QA_MSXHUB_MACHINE,"The machine to run the $(DIST_QA_MSXHUB_MOD) module.",$(DIST_QA_MSXHUB_MACHINE)) $(DIST_QA_MSXHUB_BIN): | $(PATH_BIN)/@prepare $(call mb_mkdir,$(DIST_QA_MSXHUB_BIN)) diff --git a/src/make-on-msx/build.bat b/src/make-on-msx/build.bat index 1289d6e..c9eb234 100644 --- a/src/make-on-msx/build.bat +++ b/src/make-on-msx/build.bat @@ -12,7 +12,7 @@ copy /T NFBSSHOT.MAC NFBSSHOT.BAK dir/w utils copy /T NFBSSHOT.BAK NFBSSHOT.MAC echo === Running make -omsxctl fail_after 300 seconds failed_make 1 +omsxctl fail_after 300 seconds err_make 1 echo "dir" > build1.bat make NFBSSHOT.MF /x > build2.bat echo === Concat shutdown @@ -27,5 +27,5 @@ concat build1.bat + build2.bat + build3.bat + build4.bat buildrun.bat echo === Final script type buildrun.bat echo === Running build -omsxctl fail_after 300 seconds failed_build 1 +omsxctl fail_after 300 seconds err_build 1 buildrun From a0efbc4c29bc8be8d0212cb591de8a82a9247ea6 Mon Sep 17 00:00:00 2001 From: Willem Date: Tue, 2 Jul 2024 23:10:13 +0200 Subject: [PATCH 108/274] Moved to dynamic default in variable doc function. --- lib/make/mb_autoexec.mk | 32 ++++++++++++++++---------------- lib/make/mb_doc.mk | 6 +++--- lib/make/mb_flight.mk | 12 ++++++------ lib/make/mb_msxhub.mk | 4 ++-- lib/make/mb_msxpipe.mk | 12 ++++++------ lib/make/mb_msxrom.mk | 4 ++-- lib/make/mb_openmsx.mk | 20 ++++++++++---------- lib/make/mb_sdcc.mk | 10 +++++----- src/dist-qa-msx1/0module.mk | 2 +- src/dist-qa-msxhub/0module.mk | 2 +- 10 files changed, 52 insertions(+), 52 deletions(-) diff --git a/lib/make/mb_autoexec.mk b/lib/make/mb_autoexec.mk index 32eff52..0f923df 100644 --- a/lib/make/mb_autoexec.mk +++ b/lib/make/mb_autoexec.mk @@ -1,51 +1,51 @@ MB_AUTOEXEC_SHOW_VERSION ?= on -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_SHOW_VERSION,"Print OS version on boot.",$(MB_AUTOEXEC_SHOW_VERSION)) +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_SHOW_VERSION,"Print OS version on boot.") MB_AUTOEXEC_SHOW_PATH ?= on -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_SHOW_PATH,"Print search path on boot.",$(MB_AUTOEXEC_SHOW_PATH)) +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_SHOW_PATH,"Print search path on boot.") MB_AUTOEXEC_SHOW_INIT ?= on -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_SHOW_INIT,"Print msxbuild init message on boot.",$(MB_AUTOEXEC_SHOW_INIT)) +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_SHOW_INIT,"Print msxbuild init message on boot.") MB_AUTOEXEC_SHOW_HOST ?= on -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_SHOW_HOST,"Print host machine on boot.",$(MB_AUTOEXEC_SHOW_HOST)) +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_SHOW_HOST,"Print host machine on boot.") MB_AUTOEXEC_SHOW_USER ?= on -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_SHOW_USER,"Print host user on boot.",$(MB_AUTOEXEC_SHOW_USER)) +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_SHOW_USER,"Print host user on boot.") MB_AUTOEXEC_SHOW_FOLDER ?= on -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_SHOW_FOLDER,"Print host folder on boot.",$(MB_AUTOEXEC_SHOW_FOLDER)) +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_SHOW_FOLDER,"Print host folder on boot.") MB_AUTOEXEC_SHOW_TARGET ?= on -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_SHOW_TARGET,"Print host target on boot.",$(MB_AUTOEXEC_SHOW_TARGET)) +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_SHOW_TARGET,"Print host target on boot.") MB_AUTOEXEC_STARTUP_TIMEOUT ?= 60 -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_STARTUP_TIMEOUT,"Startup failure timeout of autoexec.",$(MB_AUTOEXEC_STARTUP_TIMEOUT)) +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_STARTUP_TIMEOUT,"Startup failure timeout of autoexec.") MB_AUTOEXEC_STARTUP_EXITCODE ?= 124 -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_STARTUP_EXITCODE,"Startup failure exit code of autoexec.",$(MB_AUTOEXEC_STARTUP_EXITCODE)) +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_STARTUP_EXITCODE,"Startup failure exit code of autoexec.") MB_AUTOEXEC_SAFE_TEST_TIMEOUT ?= 120 -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_SAFE_TEST_TIMEOUT,"Safe test execution failure timeout.",$(MB_AUTOEXEC_SAFE_TEST_TIMEOUT)) +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_SAFE_TEST_TIMEOUT,"Safe test execution failure timeout.") MB_AUTOEXEC_SAFE_TEST_EXITCODE ?= 1 -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_SAFE_TEST_EXITCODE,"Safe test execution failure exit code.",$(MB_AUTOEXEC_SAFE_TEST_EXITCODE)) +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_SAFE_TEST_EXITCODE,"Safe test execution failure exit code.") MB_AUTOEXEC_SAFE_CMD_TIMEOUT ?= 300 -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_SAFE_CMD_TIMEOUT,"Safe command execution failure timeout.",$(MB_AUTOEXEC_SAFE_CMD_TIMEOUT)) +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_SAFE_CMD_TIMEOUT,"Safe command execution failure timeout.") MB_AUTOEXEC_SAFE_CMD_EXITCODE ?= 1 -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_SAFE_CMD_EXITCODE,"Safe command execution failure exit code.",$(MB_AUTOEXEC_SAFE_CMD_EXITCODE)) +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_SAFE_CMD_EXITCODE,"Safe command execution failure exit code.") MB_AUTOEXEC_STARTUP_NOTE ?= -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_STARTUP_NOTE,"When set this startup note message is shown.",$(MB_AUTOEXEC_STARTUP_NOTE)) +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_STARTUP_NOTE,"When set this startup note message is shown.") MB_AUTOEXEC_COLOR_FG ?= 151 -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_COLOR_FG,"Default foreground color if none is given.",$(MB_AUTOEXEC_COLOR_FG)) +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_COLOR_FG,"Default foreground color if none is given.") MB_AUTOEXEC_COLOR_BG ?= 000 -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_COLOR_BG,"Default background color if none if given.",$(MB_AUTOEXEC_COLOR_BG)) +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_COLOR_BG,"Default background color if none if given.") define mb_autoexec_append_cmd diff --git a/lib/make/mb_doc.mk b/lib/make/mb_doc.mk index 532b57a..50c6244 100644 --- a/lib/make/mb_doc.mk +++ b/lib/make/mb_doc.mk @@ -10,7 +10,7 @@ MB_DOC_TXT_BUILD_VARIABLE := "Documention of the make variables;\\n" MB_DOC_TXT_BUILD_FUNCTION := "Documention of the make functions;\\n" MB_DOC_FORMAT ?= txt -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_DOC_FORMAT,"Output format of documention$(MB_CHAR_COMMA) only 'txt' is supported currently.",$(MB_DOC_FORMAT)) +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_DOC_FORMAT,"Output format of documention$(MB_CHAR_COMMA) only 'txt' is supported currently.") define _mb_doc_xml_open @@ -43,9 +43,9 @@ define _mb_doc_variable_xml \\n\\t$(call _mb_doc_xml_open,variable,name,$(1))\\n\\t\\t$(call _mb_doc_xml_tag_value,value,$(3))\\n\\t\\t$(call _mb_doc_xml_tag_value,desc,$(2))\\n\\t$(call _mb_doc_xml_close,variable) endef define mb_doc_variable - $(call _mb_doc_variable_$(MB_DOC_FORMAT),$(1),$(2),$(3)) + $(call _mb_doc_variable_$(MB_DOC_FORMAT),$(1),$(2),$($(1))) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_doc_variable,"Prints formatted documention of an variable."," [desc] [value]") +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_doc_variable,"Prints formatted documention of an variable."," [desc]") # "* "$(1)$(if $(2),\\n\\t$(2))$(if $(3),\\n\\t\\tDEPS: $(3))\\n define _mb_doc_target_txt diff --git a/lib/make/mb_flight.mk b/lib/make/mb_flight.mk index edcd35e..47d0d57 100644 --- a/lib/make/mb_flight.mk +++ b/lib/make/mb_flight.mk @@ -1,21 +1,21 @@ MB_FLIGHT_SCREEN ?= off -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_FLIGHT_SCREEN,"Creates screenshots on exit of openMSX.",$(MB_FLIGHT_SCREEN)) +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_FLIGHT_SCREEN,"Creates screenshots on exit of openMSX.") MB_FLIGHT_VIDEO ?= off -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_FLIGHT_VIDEO,"Create video of every build pipe session.",$(MB_FLIGHT_VIDEO)) +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_FLIGHT_VIDEO,"Create video of every build pipe session.") MB_FLIGHT_PREFIX ?= msxbuild -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_FLIGHT_PREFIX,"Prefix for video and screenshot flight files.",$(MB_FLIGHT_PREFIX)) +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_FLIGHT_PREFIX,"Prefix for video and screenshot flight files.") MB_FLIGHT_SEPERATOR ?= - -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_FLIGHT_SEPERATOR,"File and index number seperator for flight files.",$(MB_FLIGHT_SEPERATOR)) +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_FLIGHT_SEPERATOR,"File and index number seperator for flight files.") MB_FLIGHT_RECORD_FLAG ?= -doublesize -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_FLIGHT_RECORD_FLAG,"Command parameter flag of the video recorder.",$(MB_FLIGHT_RECORD_FLAG)) +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_FLIGHT_RECORD_FLAG,"Command parameter flag of the video recorder.") MB_FLIGHT_VIDEO_NAME ?= night-flight -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_FLIGHT_VIDEO_NAME,"Video file name of the final result merged video.",$(MB_FLIGHT_VIDEO_NAME)) +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_FLIGHT_VIDEO_NAME,"Video file name of the final result merged video.") define mb_flight_video_merge @echo === Indexing flight videos diff --git a/lib/make/mb_msxhub.mk b/lib/make/mb_msxhub.mk index 3c29e46..80f13b2 100644 --- a/lib/make/mb_msxhub.mk +++ b/lib/make/mb_msxhub.mk @@ -1,9 +1,9 @@ MB_MSXHUB_API ?= https://msxhub.com/api -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_MSXHUB_API,"Resource identifier for msxhub package rest api.",$(MB_MSXHUB_API)) +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_MSXHUB_API,"Resource identifier for msxhub package rest api.") MB_MSXHUB_CACHE ?= $(MB_CACHE)/msxbuild/msxhub -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_MSXHUB_CACHE,"Cache storage location.",$(MB_MSXHUB_CACHE)) +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_MSXHUB_CACHE,"Cache storage location.") define _mb_msxhub_file_fetch @echo === Fetch msxhub file diff --git a/lib/make/mb_msxpipe.mk b/lib/make/mb_msxpipe.mk index fe924ff..f31865a 100644 --- a/lib/make/mb_msxpipe.mk +++ b/lib/make/mb_msxpipe.mk @@ -1,21 +1,21 @@ MB_MSXPIPE_COLOR_FG_SAFE_CMD ?= 116 -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_MSXPIPE_COLOR_FG_SAFE_CMD,"Foreground color of safe command session pipe.",$(MB_MSXPIPE_COLOR_FG_SAFE_CMD)) +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_MSXPIPE_COLOR_FG_SAFE_CMD,"Foreground color of safe command session pipe.") MB_MSXPIPE_COLOR_BG_SAFE_CMD ?= 000 -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_MSXPIPE_COLOR_BG_SAFE_CMD,"Background color of safe command session pipe.",$(MB_MSXPIPE_COLOR_BG_SAFE_CMD)) +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_MSXPIPE_COLOR_BG_SAFE_CMD,"Background color of safe command session pipe.") MB_MSXPIPE_COLOR_FG_SAFE_TEST ?= 511 -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_MSXPIPE_COLOR_FG_SAFE_TEST,"Foreground color of safe test session pipe.",$(MB_MSXPIPE_COLOR_FG_SAFE_TEST)) +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_MSXPIPE_COLOR_FG_SAFE_TEST,"Foreground color of safe test session pipe.") MB_MSXPIPE_COLOR_BG_SAFE_TEST ?= 000 -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_MSXPIPE_COLOR_BG_SAFE_TEST,"Background color of safe test session pipe.",$(MB_MSXPIPE_COLOR_BG_SAFE_TEST)) +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_MSXPIPE_COLOR_BG_SAFE_TEST,"Background color of safe test session pipe.") MB_MSXPIPE_COLOR_FG_RUN_GUI ?= 151 -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_MSXPIPE_COLOR_FG_RUN_GUI,"Foreground color of run gui session pipe.",$(MB_MSXPIPE_COLOR_FG_RUN_GUI)) +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_MSXPIPE_COLOR_FG_RUN_GUI,"Foreground color of run gui session pipe.") MB_MSXPIPE_COLOR_BG_RUN_GUI ?= 000 -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_MSXPIPE_COLOR_BG_RUN_GUI,"Background color of run gui session pipe.",$(MB_MSXPIPE_COLOR_BG_RUN_GUI)) +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_MSXPIPE_COLOR_BG_RUN_GUI,"Background color of run gui session pipe.") define mb_msxpipe_safe_cmd diff --git a/lib/make/mb_msxrom.mk b/lib/make/mb_msxrom.mk index 246ffa6..00dc867 100644 --- a/lib/make/mb_msxrom.mk +++ b/lib/make/mb_msxrom.mk @@ -1,10 +1,10 @@ # TODO: Convert pi MSX number data, the named pie slices to hyperdrive storage for distribution over red sea MB_MSXROM_API ?= https://msxrom.distributedrebirth.love/calc-pi/v19.1 -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_MSXROM_API,"Resource identifier for msxrom pi pie named slices data.",$(MB_MSXROM_API)) +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_MSXROM_API,"Resource identifier for msxrom pi pie named slices data.") MB_MSXROM_CACHE ?= $(MB_CACHE)/msxbuild/msxrom -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_MSXROM_CACHE,"Cache storage location.",$(MB_MSXROM_CACHE)) +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_MSXROM_CACHE,"Cache storage location.") define _mb_msxrom_file_fetch @echo === Fetch msxrom file diff --git a/lib/make/mb_openmsx.mk b/lib/make/mb_openmsx.mk index 662b76a..b161b83 100644 --- a/lib/make/mb_openmsx.mk +++ b/lib/make/mb_openmsx.mk @@ -1,36 +1,36 @@ MB_OPENMSX_BOOT_TIMEOUT ?= 25 -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_OPENMSX_BOOT_TIMEOUT,"Timeout in seconds to guard for boot failures.",$(MB_OPENMSX_BOOT_TIMEOUT)) +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_OPENMSX_BOOT_TIMEOUT,"Timeout in seconds to guard for boot failures.") MB_OPENMSX_BOOT_OS ?= nextor -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_OPENMSX_BOOT_OS,"The default OS to run$(MB_CHAR_COMMA) valid values are; nextor$(MB_CHAR_COMMA)msxdos1$(MB_CHAR_COMMA)msxdos2",$(MB_OPENMSX_BOOT_OS)) +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_OPENMSX_BOOT_OS,"The default OS to run$(MB_CHAR_COMMA) valid values are; nextor$(MB_CHAR_COMMA)msxdos1$(MB_CHAR_COMMA)msxdos2") MB_OPENMSX_MACHINE ?= Philips_NMS_8250 MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_OPENMSX_MACHINE,"The default MSX machine to use.",$(MB_OPENMSX_MACHINE)) MB_OPENMSX_MACHINE_RAM ?= ram1mb -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_OPENMSX_MACHINE_RAM,"Extra ram extension for machine allowed: off$(MB_CHAR_COMMA)ram16k$(MB_CHAR_COMMA)ram64k$(MB_CHAR_COMMA)ram512k$(MB_CHAR_COMMA)ram1mb$(MB_CHAR_COMMA)ram2mb$(MB_CHAR_COMMA)ram4mb",$(MB_OPENMSX_MACHINE_RAM)) +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_OPENMSX_MACHINE_RAM,"Extra ram extension for machine allowed: off$(MB_CHAR_COMMA)ram16k$(MB_CHAR_COMMA)ram64k$(MB_CHAR_COMMA)ram512k$(MB_CHAR_COMMA)ram1mb$(MB_CHAR_COMMA)ram2mb$(MB_CHAR_COMMA)ram4mb") MB_OPENMSX_SPEED ?= $(if $(findstring turboR,$(MB_OPENMSX_MACHINE)),100,333) -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_OPENMSX_SPEED,"The throttled MSX emulation speed.",$(MB_OPENMSX_SPEED)) +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_OPENMSX_SPEED,"The throttled MSX emulation speed.") MB_OPENMSX_ARGS ?= -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_OPENMSX_ARGS,"Extra arguments to invoke openMSX with.",$(MB_OPENMSX_ARGS)) +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_OPENMSX_ARGS,"Extra arguments to invoke openMSX with.") MB_OPENMSX_HDD_SIZE ?= 4m -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_OPENMSX_HDD_SIZE,"The default fire-hdd disk image size.",$(MB_OPENMSX_HDD_SIZE)) +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_OPENMSX_HDD_SIZE,"The default fire-hdd disk image size.") MB_OPENMSX_SCALE_FACTOR ?= 3 -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_OPENMSX_SCALE_FACTOR,"The gui scale factor to display the emulation.",$(MB_OPENMSX_SCALE_FACTOR)) +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_OPENMSX_SCALE_FACTOR,"The gui scale factor to display the emulation.") MB_OPENMSX_THROTTLE ?= off -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_OPENMSX_THROTTLE,"An on/off flag to control throttling globally.",$(MB_OPENMSX_THROTTLE)) +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_OPENMSX_THROTTLE,"An on/off flag to control throttling globally.") MB_OPENMSX_HEADLESS ?= on -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_OPENMSX_HEADLESS,"Controls if openMSX starts headless.",$(MB_OPENMSX_HEADLESS)) +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_OPENMSX_HEADLESS,"Controls if openMSX starts headless.") MB_OPENMSX_RENDERER ?= SDLGL-PP -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_OPENMSX_RENDERER,"The gui renderer used to display the window head with.",$(MB_OPENMSX_RENDERER)) +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_OPENMSX_RENDERER,"The gui renderer used to display the window head with.") define mb_openmsx_setup $(if $(wildcard $(1)-omsx),,$(call mb_mkdir,$(1)-omsx)) diff --git a/lib/make/mb_sdcc.mk b/lib/make/mb_sdcc.mk index 1648e97..a2bc311 100644 --- a/lib/make/mb_sdcc.mk +++ b/lib/make/mb_sdcc.mk @@ -1,18 +1,18 @@ MB_SDCC_FLAG_CPU ?= -mz80 -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_SDCC_FLAG_CPU,"The SDCC cpu target option.",$(MB_SDCC_FLAG_CPU)) +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_SDCC_FLAG_CPU,"The SDCC cpu target option.") MB_SDCC_FLAG_LD ?= --nostdinc -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_SDCC_FLAG_LD,"The SDCC linker option.",$(MB_SDCC_FLAG_LD)) +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_SDCC_FLAG_LD,"The SDCC linker option.") MB_SDCC_ASZ80_FLAGS ?= -g -l -c -o -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_SDCC_ASZ80_FLAGS,"The SDCC z80 asm compiler flags.",$(MB_SDCC_ASZ80_FLAGS)) +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_SDCC_ASZ80_FLAGS,"The SDCC z80 asm compiler flags.") MB_SDCC_CC_FLAGS ?= -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_SDCC_CC_FLAGS,"The SDCC C compiler flags.",$(MB_SDCC_CC_FLAGS)) +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_SDCC_CC_FLAGS,"The SDCC C compiler flags.") MB_SDCC_AR_FLAGS ?= -rc -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_SDCC_AR_FLAGS,"The SDCC module archive flags.",$(MB_SDCC_AR_FLAGS)) +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_SDCC_AR_FLAGS,"The SDCC module archive flags.") # TODO: Add C + mixed support; diff --git a/src/dist-qa-msx1/0module.mk b/src/dist-qa-msx1/0module.mk index d708011..c95f006 100644 --- a/src/dist-qa-msx1/0module.mk +++ b/src/dist-qa-msx1/0module.mk @@ -1,6 +1,6 @@ DIST_QA_MSX1_MACHINE ?= Canon_V-20 -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,DIST_QA_MSX1_MACHINE,"The machine to run the $(DIST_QA_MSX1_MOD) module.",$(DIST_QA_MSX1_MACHINE)) +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,DIST_QA_MSX1_MACHINE,"The machine to run the $(DIST_QA_MSX1_MOD) module.") DIST_QA_MSX1_MOD := dist-qa-msx1 DIST_QA_MSX1_SRC := $(PATH_SRC)/$(DIST_QA_MSX1_MOD) diff --git a/src/dist-qa-msxhub/0module.mk b/src/dist-qa-msxhub/0module.mk index 9d3b0c7..287f2c3 100644 --- a/src/dist-qa-msxhub/0module.mk +++ b/src/dist-qa-msxhub/0module.mk @@ -1,6 +1,6 @@ DIST_QA_MSXHUB_MACHINE ?= Boosted_MSX2+_JP -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,DIST_QA_MSXHUB_MACHINE,"The machine to run the $(DIST_QA_MSXHUB_MOD) module.",$(DIST_QA_MSXHUB_MACHINE)) +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,DIST_QA_MSXHUB_MACHINE,"The machine to run the $(DIST_QA_MSXHUB_MOD) module.") DIST_QA_MSXHUB_MOD := dist-qa-msxhub DIST_QA_MSXHUB_SRC := $(PATH_SRC)/$(DIST_QA_MSXHUB_MOD) From 932d4235440fa789536542a1c7d5ec45c22d2a1e Mon Sep 17 00:00:00 2001 From: Willem Date: Tue, 2 Jul 2024 23:18:20 +0200 Subject: [PATCH 109/274] Converted to dubble white spaced code format. --- lib/make/mb_autoexec.mk | 12 ++++++++++++ lib/make/mb_doc.mk | 6 +++++- lib/make/mb_flight.mk | 1 + lib/make/mb_msxhub.mk | 27 +++++++++++++++++++++++++++ lib/make/mb_msxpipe.mk | 5 ++++- lib/make/mb_msxrom.mk | 26 +++++++++++++++++++++++++- lib/make/mb_openmsx.mk | 4 ++++ lib/make/mb_sdcc.mk | 10 ++++++++++ lib/make/msxbuild.mk | 13 +++++++++++-- 9 files changed, 99 insertions(+), 5 deletions(-) diff --git a/lib/make/mb_autoexec.mk b/lib/make/mb_autoexec.mk index 0f923df..d1f0ecf 100644 --- a/lib/make/mb_autoexec.mk +++ b/lib/make/mb_autoexec.mk @@ -53,47 +53,56 @@ define mb_autoexec_append_cmd endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_autoexec_append_cmd,"Appends an command."," ") + define mb_autoexec_append_echo $(call mb_autoexec_append_cmd,$(1),echo $(subst >,>,$(2))) endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_autoexec_append_echo,"Appends an echo message."," ") + define mb_autoexec_append_rem $(call mb_autoexec_append_cmd,$(1),rem $(2)) endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_autoexec_append_rem,"Appends an script remark."," ") + define mb_autoexec_append_show_gui $(call mb_autoexec_append_cmd,$(1),omsxctl headless_show_gui) endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_autoexec_append_show_gui,"Appends headless show gui command.","") + define mb_autoexec_append_stop_fail $(call mb_autoexec_append_cmd,$(1),omsxctl fail_after 0) endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_autoexec_append_stop_fail,"Appends stop automatic failure command.","") + define mb_autoexec_append_exit $(if $(filter on,$(MB_FLIGHT_SCREEN)),$(call mb_autoexec_append_save_screenshot,$(1))) $(call mb_autoexec_append_cmd,$(1),omsxctl headless_exit) endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_autoexec_append_exit,"Appends exit emulation command.","") + define mb_autoexec_append_joyporta_mouse $(call mb_autoexec_append_cmd,$(1),omsxctl plug joyporta mouse) endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_autoexec_append_joyporta_mouse,"Appends plug mouse in joyporta command.","") + define mb_autoexec_append_save_screenshot $(call mb_autoexec_append_cmd,$(1),omsxctl night_flight_save_screenshot $(2)) endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_autoexec_append_save_screenshot,"Appends save screenshot command."," [prefix]") + define mb_autoexec_append_save_video $(call mb_autoexec_append_cmd,$(1),omsxctl night_flight_save_video $(2)) endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_autoexec_append_save_video,"Appends save video command."," [prefix]") + define mb_autoexec_append_safe_test $(call mb_autoexec_append_cmd,$(1),omsxctl fail_after $(if $(3),$(3),$(MB_AUTOEXEC_SAFE_TEST_TIMEOUT)) seconds err_test $(if $(4),$(4),$(MB_AUTOEXEC_SAFE_TEST_EXITCODE))) $(call mb_autoexec_append_echo,$(1),mb::safe test $(2)) @@ -101,6 +110,7 @@ define mb_autoexec_append_safe_test endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_autoexec_append_safe_test,"Appends running a safe test."," [timeout] [exit-code]") + define mb_autoexec_append_safe_cmd $(call mb_autoexec_append_cmd,$(1),omsxctl fail_after $(if $(3),$(3),$(MB_AUTOEXEC_SAFE_CMD_TIMEOUT)) seconds err_cmd $(if $(4),$(4),$(MB_AUTOEXEC_SAFE_CMD_EXITCODE))) $(call mb_autoexec_append_echo,$(1),mb::safe command $(2)) @@ -108,6 +118,7 @@ define mb_autoexec_append_safe_cmd endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_autoexec_append_safe_cmd,"Appends running a safe command."," [timeout] [exit-code]") + define _mb_autoexec_write_preboot $(call mb_autoexec_append_cmd,$(1),$(2)mbboot80) $(call mb_autoexec_append_cmd,$(1),$(2)omsxctl boot_exec_setcolor $(if $(3),$(3),$(MB_AUTOEXEC_COLOR_FG)) $(if $(4),$(4),$(MB_AUTOEXEC_COLOR_BG))) @@ -117,6 +128,7 @@ define _mb_autoexec_write_preboot $(if $(wildcard $(1)/utils),$(call mb_autoexec_append_cmd,$(1),PATH + A:\UTILS)) endef + define mb_autoexec_write_default @echo === Writing autoexec.bat for $@ @echo -n "" > $(1)/autoexec.bat diff --git a/lib/make/mb_doc.mk b/lib/make/mb_doc.mk index 50c6244..9bef57f 100644 --- a/lib/make/mb_doc.mk +++ b/lib/make/mb_doc.mk @@ -47,7 +47,7 @@ define mb_doc_variable endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_doc_variable,"Prints formatted documention of an variable."," [desc]") -# "* "$(1)$(if $(2),\\n\\t$(2))$(if $(3),\\n\\t\\tDEPS: $(3))\\n + define _mb_doc_target_txt "* "$(1)$(if $(2),\\n\\t$(2))\\n\\n endef @@ -77,12 +77,14 @@ define mb_doc_show_help@all endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_doc_show_help@all,"Displays text for 'make'") + define mb_doc_show_help@project @echo $(MB_DOC_TXT_BUILD_PROJECT) @echo $(MB_DOC_HELP_PROJECT) endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_doc_show_help@project,"Displays text for 'make help'") + define mb_doc_show_help@target $(if $(filter txt,$(MB_DOC_FORMAT)),@echo $(MB_DOC_TXT_BUILD_TARGET)) $(if $(filter xml,$(MB_DOC_FORMAT)),@echo $(call _mb_doc_xml_open,firemake)) @@ -91,6 +93,7 @@ define mb_doc_show_help@target endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_doc_show_help@target,"Displays text for 'make help@target'") + define mb_doc_show_help@variable $(if $(filter txt,$(MB_DOC_FORMAT)),@echo $(MB_DOC_TXT_BUILD_VARIABLE)) $(if $(filter xml,$(MB_DOC_FORMAT)),@echo $(call _mb_doc_xml_open,firemake)) @@ -99,6 +102,7 @@ define mb_doc_show_help@variable endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_doc_show_help@variable,"Displays text for 'make help@variable'") + define mb_doc_show_help@function $(if $(filter txt,$(MB_DOC_FORMAT)),@echo $(MB_DOC_TXT_BUILD_FUNCTION)) $(if $(filter xml,$(MB_DOC_FORMAT)),@echo $(call _mb_doc_xml_open,firemake)) diff --git a/lib/make/mb_flight.mk b/lib/make/mb_flight.mk index 47d0d57..46a5a2c 100644 --- a/lib/make/mb_flight.mk +++ b/lib/make/mb_flight.mk @@ -17,6 +17,7 @@ MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_FLIGHT_RECORD_FLAG,"Command pa MB_FLIGHT_VIDEO_NAME ?= night-flight MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_FLIGHT_VIDEO_NAME,"Video file name of the final result merged video.") + define mb_flight_video_merge @echo === Indexing flight videos ls -1tr $(1)/*-omsx/videos/*.avi | awk -F "$(1)/" -v s="file '" -v e="'" '{print s$$2e}' > $(1)/$(MB_FLIGHT_VIDEO_NAME).lst diff --git a/lib/make/mb_msxhub.mk b/lib/make/mb_msxhub.mk index 80f13b2..e748fef 100644 --- a/lib/make/mb_msxhub.mk +++ b/lib/make/mb_msxhub.mk @@ -5,12 +5,14 @@ MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_MSXHUB_API,"Resource identifie MB_MSXHUB_CACHE ?= $(MB_CACHE)/msxbuild/msxhub MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_MSXHUB_CACHE,"Cache storage location.") + define _mb_msxhub_file_fetch @echo === Fetch msxhub file $(if $(wildcard $(dir $(MB_MSXHUB_CACHE)/$(subst $(MB_MSXHUB_API)/,,$(1)))),,$(call mb_mkdir,$(dir $(MB_MSXHUB_CACHE)/$(subst $(MB_MSXHUB_API)/,,$(1))))) $(if $(wildcard $(MB_MSXHUB_CACHE)/$(subst $(MB_MSXHUB_API)/,,$(1))),,wget -O $(MB_MSXHUB_CACHE)/$(subst $(MB_MSXHUB_API)/,,$(1)) $(1)) endef + # NOTE: with HDD import/export we get duplicate files after openMSX run, so force to lowercase. define mb_msxhub_file $(if $(wildcard $(MB_MSXHUB_CACHE)/$(2)),,$(call _mb_msxhub_file_fetch,$(MB_MSXHUB_API)/$(2))) @@ -18,6 +20,7 @@ define mb_msxhub_file endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxhub_file,"Installs msxsub packages from slug into dir."," ") + # # Operating System (minimal) # @@ -27,18 +30,21 @@ define mb_msxhub_get_msxdos1_boot endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxhub_get_msxdos1_boot,"Installs msxdos1 boot files into dir.","") + define mb_msxhub_get_msxdos2_boot $(call mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/MSXDOS2.SYS) $(call mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/COMMAND2.COM) endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxhub_get_msxdos2_boot,"Installs msxdos2 boot files into dir.","") + define mb_msxhub_get_nextor_boot $(call mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/NEXTOR.SYS) $(call mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/COMMAND2.COM) endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxhub_get_nextor_boot,"Installs nextor boot files into dir.","") + # # Native OS utils # @@ -52,6 +58,8 @@ define mb_msxhub_get_msxdos2_utils $(call mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/KMODE.COM) endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxhub_get_msxdos2_utils,"Installs msxdos2 util files into dir.","") + + define mb_msxhub_get_nextor_utils $(call mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/DELALL.COM) $(call mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/DEVINFO.COM) @@ -69,6 +77,7 @@ define mb_msxhub_get_nextor_utils endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxhub_get_nextor_utils,"Installs nextor util files into dir.","") + # # Compilers # @@ -80,6 +89,7 @@ define mb_msxhub_get_macro80 endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxhub_get_macro80,"Installs macro80 package into dir.","") + define mb_msxhub_get_z80asmuk $(call mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/BINTOASM.COM) $(call mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/DUMP.COM) @@ -93,6 +103,7 @@ define mb_msxhub_get_z80asmuk endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxhub_get_z80asmuk,"Installs z80asmuk package into dir.","") + define mb_msxhub_get_wbass2 $(call mb_msxhub_file,$(1),WBASS2/2.0-1/get/WBASS2/zoekram.bin) $(call mb_msxhub_file,$(1),WBASS2/2.0-1/get/WBASS2/wbass2.bin) @@ -100,12 +111,14 @@ define mb_msxhub_get_wbass2 endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxhub_get_wbass2,"Installs wbass2 package into dir.","") + define mb_msxhub_get_konpass $(call mb_msxhub_file,$(1),KONPASS/1.3.0-1/get/KONPASS/KONPASS.COM) $(call mb_msxhub_file,$(1),KONPASS/1.3.0-1/get/KONPASS/KONPASS.DAT) endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxhub_get_konpass,"Installs konpass package into dir.","") + # # Compressors # @@ -119,31 +132,37 @@ define mb_msxhub_get_pmarc endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxhub_get_pmarc,"Installs pmarc package into dir.","") + define mb_msxhub_get_lhpack $(call mb_msxhub_file,$(1),LHPACK/1.00-1/get/LHPACK/lhpack.com) endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxhub_get_lhpack,"Installs lhpack package into dir.","") + define mb_msxhub_get_lhext $(call mb_msxhub_file,$(1),LHEXT/1.34-2/get/LHEXT/lhext.com) endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxhub_get_lhext,"Installs lhext package into dir.","") + define mb_msxhub_get_gunzip $(call mb_msxhub_file,$(1),GUNZIP/1.1-1/get/GUNZIP/gunzip.com) endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxhub_get_gunzip,"Installs gunzip package into dir.","") + define mb_msxhub_get_tunzip $(call mb_msxhub_file,$(1),TUNZIP/0.91-1/get/TUNZIP/tunzip.com) endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxhub_get_tunzip,"Installs tunzip package into dir.","") + define mb_msxhub_get_popcom $(call mb_msxhub_file,$(1),POPCOM/1.00-2/get/POPCOM/popcom.com) endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxhub_get_popcom,"Installs popcom package into dir.","") + # # Tools # @@ -152,36 +171,43 @@ define mb_msxhub_get_make endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxhub_get_make,"Installs make package into dir.","") + define mb_msxhub_get_adir $(call mb_msxhub_file,$(1),ADIR/1.3-1/get/ADIR/adir.com) endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxhub_get_adir,"Installs adir package into dir.","") + define mb_msxhub_get_turbo $(call mb_msxhub_file,$(1),TURBO/2.1-1/get/TURBO/turbo.com) endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxhub_get_turbo,"Installs turbo package into dir.","") + define mb_msxhub_get_baskom $(call mb_msxhub_file,$(1),BASKOM/1.0-1/get/BASKOM/baskom.com) endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxhub_get_baskom,"Installs baskom package into dir.","") + define mb_msxhub_get_binldr $(call mb_msxhub_file,$(1),BINLDR/1.0.2-1/get/BINLDR/BINLDR.COM) endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxhub_get_binldr,"Installs binldr package into dir.","") + define mb_msxhub_get_dmphex $(call mb_msxhub_file,$(1),DMPHEX/1.00-1/get/DMPHEX/dmphex.com) endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxhub_get_dmphex,"Installs dmphex package into dir.","") + define mb_msxhub_get_zd $(call mb_msxhub_file,$(1),ZD/3.30-1/get/ZD/zd.com) endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxhub_get_zd,"Installs zd package into dir.","") + define mb_msxhub_get_msxdos2t $(call mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/ADDAUX.COM) $(call mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/BEEP.COM) @@ -209,6 +235,7 @@ define mb_msxhub_get_msxdos2t endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxhub_get_msxdos2t,"Installs msxdos2t package into dir.","") + # # Graphics editors # diff --git a/lib/make/mb_msxpipe.mk b/lib/make/mb_msxpipe.mk index f31865a..2888c35 100644 --- a/lib/make/mb_msxpipe.mk +++ b/lib/make/mb_msxpipe.mk @@ -26,6 +26,7 @@ define mb_msxpipe_safe_cmd endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxpipe_safe_cmd,"Runs openMSX and safely executes one command."," [machine]") + define mb_msxpipe_safe_test $(call mb_autoexec_write_default,$(1),$(MB_MSXPIPE_COLOR_FG_SAFE_TEST),$(MB_MSXPIPE_COLOR_BG_SAFE_TEST)) $(call mb_autoexec_append_safe_test,$(1),$(2)) @@ -34,6 +35,7 @@ define mb_msxpipe_safe_test endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxpipe_safe_test,"Runs openMSX and safely executes one test."," [machine]") + define _mb_msxpipe_run_gui $(call mb_autoexec_write_default,$(1),$(MB_MSXPIPE_COLOR_FG_RUN_GUI),$(MB_MSXPIPE_COLOR_BG_RUN_GUI)) $(call mb_autoexec_append_stop_fail,$(1)) @@ -45,13 +47,14 @@ define _mb_msxpipe_run_gui $(call mb_openmsx_dosctl,$(1),$(3)) endef + define mb_msxpipe_run_gui $(call _mb_msxpipe_run_gui,$(1),$(2),$(3)) endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxpipe_run_gui,"Runs openMSX forever with renderer and optionals."," [cmd] [machine]") + define mb_msxpipe_run_gui_mouse $(call _mb_msxpipe_run_gui,$(1),$(2),$(3),mouse) endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxpipe_run_gui_mouse,"Runs openMSX forever with renderer and mouse and optionals."," [cmd] [machine]") - diff --git a/lib/make/mb_msxrom.mk b/lib/make/mb_msxrom.mk index 00dc867..7880264 100644 --- a/lib/make/mb_msxrom.mk +++ b/lib/make/mb_msxrom.mk @@ -6,18 +6,21 @@ MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_MSXROM_API,"Resource identifie MB_MSXROM_CACHE ?= $(MB_CACHE)/msxbuild/msxrom MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_MSXROM_CACHE,"Cache storage location.") + define _mb_msxrom_file_fetch @echo === Fetch msxrom file $(if $(wildcard $(dir $(MB_MSXROM_CACHE)/$(subst $(MB_MSXROM_API)/,,$(1)))),,$(call mb_mkdir,$(dir $(MB_MSXROM_CACHE)/$(subst $(MB_MSXROM_API)/,,$(1))))) $(if $(wildcard $(MB_MSXROM_CACHE)/$(subst $(MB_MSXROM_API)/,,$(1))),,wget -O $(MB_MSXROM_CACHE)/$(subst $(MB_MSXROM_API)/,,$(1)) $(1)) endef + define mb_msxrom_file $(if $(wildcard $(MB_MSXROM_CACHE)/$(2)),,$(call _mb_msxrom_file_fetch,$(MB_MSXROM_API)/$(2))) $(if $(wildcard $(1)/$(notdir $(2))),,$(call mb_copy,$(MB_MSXROM_CACHE)/$(2),$(1)/$(notdir $(2)))) endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxrom_file,"Installs msxroms from slug into an subdir."," ") + define mb_msxrom_setup $(if $(wildcard $(1)-omsx),,$(call mb_mkdir,$(1)-omsx)) $(if $(wildcard $(1)-omsx/share),,$(call mb_mkdir,$(1)-omsx/share)) @@ -27,66 +30,79 @@ define mb_msxrom_setup endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxrom_setup,"Creates needed systemrom folders.","") + define mb_msxrom_extension_ide $(call mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/ide240.dat) endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxrom_extension_ide,"Installs sunrise IDE classic rom.","") + define mb_msxrom_extension_ide_nextor $(call mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/Nextor-2.1.1.SunriseIDE.ROM) endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxrom_extension_ide_nextor,"Installs sunrise IDE nextor rom.","") + define mb_msxrom_extension_scsi_novaxis $(call mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/novaxis.rom) endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxrom_extension_scsi_novaxis,"Installs Gouda SCSI novaxis rom.","") + define mb_msxrom_extension_msxdos22 $(call mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/msxdos22.rom) endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxrom_extension_msxdos22,"Installs msxdos 2.20 rom.","") + define mb_msxrom_extension_rs232 $(call mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/rs232.rom) endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxrom_extension_rs232,"Installs rs232 rom.","") + define mb_msxrom_extension_fmpac $(call mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/fmpac.rom) endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxrom_extension_fmpac,"Installs fmpac rom.","") + define mb_msxrom_extension_fmpac_en $(call mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/fmpac_en.rom) endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxrom_extension_fmpac_en,"Installs fmpac english rom.","") + define mb_msxrom_extension_moonsound $(call mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/yrw801.rom) endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxrom_extension_moonsound,"Installs moonsound rom.","") + define mb_msxrom_extension_basickun $(call mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,machines/sanyo/phc-70fd2_basickun.rom) endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxrom_extension_basickun,"Installs basickun compiler rom.","") + define mb_msxrom_machine_Canon_V-20 $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/canon/v-20_basic-bios1.rom) endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxrom_machine_Canon_V-20,"Installs machine roms.","") + define mb_msxrom_machine_Mitsubishi_ML-F80 $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/mitsubishi/ml-f80_basic-bios1.rom) endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxrom_machine_Mitsubishi_ML-F80,"Installs machine roms.","") + define mb_msxrom_machine_Mitsubishi_ML-FX1 $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/mitsubishi/ml-fx1_basic-bios1.rom) endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxrom_machine_Mitsubishi_ML-FX1,"Installs machine roms.","") + define mb_msxrom_machine_Mitsubishi_ML-G3_ES $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/mitsubishi/ml-g3_es_basic-bios2.rom) $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/mitsubishi/ml-g3_es_disk.rom) @@ -95,11 +111,13 @@ define mb_msxrom_machine_Mitsubishi_ML-G3_ES endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxrom_machine_Mitsubishi_ML-G3_ES,"Installs machine roms.","") + define mb_msxrom_machine_Philips_VG_8000 $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/philips/vg8000_basic-bios1.rom) endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxrom_machine_Philips_VG_8000,"Installs machine roms.","") + define mb_msxrom_machine_Philips_VG_8230 $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/philips/vg8230_basic-bios2.rom) $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/philips/vg8230_disk.rom) @@ -107,6 +125,7 @@ define mb_msxrom_machine_Philips_VG_8230 endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxrom_machine_Philips_VG_8230,"Installs machine roms.","") + define mb_msxrom_machine_Philips_NMS_8250 $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/philips/nms8250_basic-bios2.rom) $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/philips/nms8250_msx2sub.rom) @@ -114,12 +133,14 @@ define mb_msxrom_machine_Philips_NMS_8250 endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxrom_machine_Philips_NMS_8250,"Installs machine roms.","") + define mb_msxrom_machine_Toshiba_HX-21 $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/toshiba/HX21-IC2.BIN) $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/toshiba/HX21-IC3.BIN) endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxrom_machine_Toshiba_HX-21,"Installs machine roms.","") + define mb_msxrom_machine_Yamaha_AX200 $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/yamaha/ax200_basic-bios1.rom) $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/yamaha/ax200_arabic.rom) @@ -127,6 +148,7 @@ define mb_msxrom_machine_Yamaha_AX200 endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxrom_machine_Yamaha_AX200,"Installs machine roms.","") + define mb_msxrom_machine_Yamaha_YIS-503IIIR $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/yamaha/yis503iii_basic-bios2.rom) $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/yamaha/yis503iii_msx2sub.rom) @@ -135,6 +157,7 @@ define mb_msxrom_machine_Yamaha_YIS-503IIIR endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxrom_machine_Yamaha_YIS-503IIIR,"Installs machine roms.","") + define mb_msxrom_machine_Panasonic_FS-A1WSX $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/panasonic/fs-a1wsx_basic-bios2p.rom) $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/panasonic/fs-a1wsx_disk.rom) @@ -146,6 +169,7 @@ define mb_msxrom_machine_Panasonic_FS-A1WSX endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxrom_machine_Panasonic_FS-A1WSX,"Installs machine roms.","") + define mb_msxrom_machine_Boosted_MSX2_EN $(call mb_msxrom_machine_Philips_NMS_8250,$(1)) $(call mb_msxrom_extension_fmpac,$(1)) @@ -154,10 +178,10 @@ define mb_msxrom_machine_Boosted_MSX2_EN endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxrom_machine_Boosted_MSX2_EN,"Installs machine and extensions roms.","") + define mb_msxrom_machine_Boosted_MSX2+_JP $(call mb_msxrom_machine_Panasonic_FS-A1WSX,$(1)) $(call mb_msxrom_extension_moonsound,$(1)) $(call mb_msxrom_extension_basickun,$(1)) endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxrom_machine_Boosted_MSX2+_JP,"Installs machine and extensions roms.","") - diff --git a/lib/make/mb_openmsx.mk b/lib/make/mb_openmsx.mk index b161b83..a1507e3 100644 --- a/lib/make/mb_openmsx.mk +++ b/lib/make/mb_openmsx.mk @@ -32,6 +32,7 @@ MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_OPENMSX_HEADLESS,"Controls if MB_OPENMSX_RENDERER ?= SDLGL-PP MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_OPENMSX_RENDERER,"The gui renderer used to display the window head with.") + define mb_openmsx_setup $(if $(wildcard $(1)-omsx),,$(call mb_mkdir,$(1)-omsx)) $(if $(wildcard $(1)-omsx/stdio.xml),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/openmsx/stdio.xml,$(1)-omsx)) @@ -52,6 +53,7 @@ define mb_openmsx_setup endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_openmsx_setup,"Setup openMSX local build home folder for machine."," ") + define _mb_openmsx_run @echo === openMSX Start for $@ BOOT_WATCHDOG=$(MB_OPENMSX_BOOT_TIMEOUT) \ @@ -82,6 +84,7 @@ define _mb_openmsx_run @echo === openMSX Done for $@ endef + define _mb_openmsx_dosctl_bat $(if $(wildcard $(1)/z80.bat),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/emuctl/z80.bat,$(1))) $(if $(wildcard $(1)/reboot.bat),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/emuctl/reboot.bat,$(1))) @@ -90,6 +93,7 @@ define _mb_openmsx_dosctl_bat $(if $(wildcard $(1)/omsxctl.com),,$(call mb_msxhub_file,$(1),OMSXCTL/1.0-1/get/OMSXCTL/omsxctl.com)) endef + define mb_openmsx_dosctl $(call mb_openmsx_setup,$(1),$(if $(2),$(2),$(MB_OPENMSX_MACHINE))) $(if $(wildcard $(1)/utils),$(call _mb_openmsx_dosctl_bat,$(1)/utils),$(call _mb_openmsx_dosctl_bat,$(1))) diff --git a/lib/make/mb_sdcc.mk b/lib/make/mb_sdcc.mk index a2bc311..87bec26 100644 --- a/lib/make/mb_sdcc.mk +++ b/lib/make/mb_sdcc.mk @@ -26,53 +26,63 @@ define mb_sdcc_compile_asm endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_sdcc_compile_asm,"Compiles asm source code."," ") + define mb_sdcc_link_asm_lib @echo === SDCC Link module asm lib for $(2) $(PATH_SDCC)/sdar $(MB_SDCC_AR_FLAGS) $(1) $(2) endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_sdcc_link_asm_lib,"Link asm lib module."," ") + define mb_sdcc_link_asm @echo === SDCC Link asm module at $(3) for $(2) $(PATH_SDCC)/sdcc $(MB_SDCC_FLAG_CPU) $(MB_SDCC_CC_FLAGS) --no-std-crt0 --code-loc $(3) -o $(2) $(1) endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_sdcc_link_asm,"Links asm to custom code location."," ") + define mb_sdcc_link_asm_0000 $(call mb_sdcc_link_asm,$(1),$(2),0x0000) endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_sdcc_link_asm_0000,"Links asm to 0x0000."," ") + define mb_sdcc_link_asm_0100 $(call mb_sdcc_link_asm,$(1),$(2),0x0100) endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_sdcc_link_asm_0100,"Links asm to 0x0100."," ") + define mb_sdcc_link_asm_1000 $(call mb_sdcc_link_asm,$(1),$(2),0x1000) endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_sdcc_link_asm_1000,"Links asm to 0x1000."," ") + define mb_sdcc_link_asm_4000 $(call mb_sdcc_link_asm,$(1),$(2),0x4000) endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_sdcc_link_asm_4000,"Links asm to 0x4000."," ") + define mb_sdcc_link_asm_8000 $(call mb_sdcc_link_asm,$(1),$(2),0x8000) endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_sdcc_link_asm_8000,"Links asm to 0x8000."," ") + define mb_sdcc_link_asm_C000 $(call mb_sdcc_link_asm,$(1),$(2),0xC000) endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_sdcc_link_asm_C000,"Links asm to 0xC000."," ") + define mb_sdcc_link_asm_bdos $(call mb_sdcc_link_asm_0100,$(1),$(2)) endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_sdcc_link_asm_bdos,"Links asm to BDOS."," ") + define mb_sdcc_hex2bin @echo === SDCC hex2bin for $(2) $(PATH_SDCC)/sdobjcopy -I ihex --output-target=binary $(1) $(2) diff --git a/lib/make/msxbuild.mk b/lib/make/msxbuild.mk index 0aee36a..7e4fed8 100644 --- a/lib/make/msxbuild.mk +++ b/lib/make/msxbuild.mk @@ -47,46 +47,55 @@ MB_CHAR_LT := "<" MB_CHAR_CDATA_START := "" + define mb_rwildcard $(foreach d,$(wildcard $1*),$(call mb_rwildcard,$d/,$2) $(filter $(subst *,%,$2),$d)) endef + # Simple inline ascii lowercase define _mb_lowercase $(subst A,a,$(subst B,b,$(subst C,c,$(subst D,d,$(subst E,e,$(subst F,f,$(subst G,g,$(subst H,h,$(subst I,i,$(subst J,j,$(subst K,k,$(subst L,l,$(subst M,m,$(subst N,n,$(subst O,o,$(subst P,p,$(subst Q,q,$(subst R,r,$(subst S,s,$(subst T,t,$(subst U,u,$(subst V,v,$(subst W,w,$(subst X,x,$(subst Y,y,$(subst Z,z,$(1))))))))))))))))))))))))))) endef + define mb_clean @echo === Cleaning build folder - $(if $(wildcard $(1)),$(MB_RMDIR) $(1)) + $(MB_RMDIR) $(1) endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_clean,"Removed the full folder.","") + define mb_mkdir $(MB_MKDIR) $(1) endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_mkdir,"Creates an folder.","") + define mb_delete - $(if $(wildcard $(1)),$(MB_RM) $(1)) + $(MB_RM) $(1) endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_delete,"Deletes an file.","") + define mb_copy $(MB_COPY) $(1) $(2) endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_copy,"Copy an file."," ") + define mb_unix2dos unix2dos -q -n $(1) $(2) endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_unix2dos,"Converts an unix file to dos."," ") + define mb_dos2unix dos2unix -q -n $(1) $(2) endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_dos2unix,"Converts an dos file to unix."," ") + define mb_create_dist @echo === Creating distribution archive tar -czf $(2) -C $(1) `ls $(1)` From 75defafde91207f4477cf262b1619d031f229dd7 Mon Sep 17 00:00:00 2001 From: Willem Date: Wed, 3 Jul 2024 00:04:21 +0200 Subject: [PATCH 110/274] Improved manual run speed and made remarks correct. --- lib/emuctl/reboot.bat | 2 +- lib/emuctl/shutdown.bat | 2 +- lib/openmsx/share/scripts/headless.tcl | 2 +- 3 files changed, 3 insertions(+), 3 deletions(-) diff --git a/lib/emuctl/reboot.bat b/lib/emuctl/reboot.bat index 5747559..971a989 100644 --- a/lib/emuctl/reboot.bat +++ b/lib/emuctl/reboot.bat @@ -1,2 +1,2 @@ -rem Reboots openMSX +rem Reboot openMSX omsxctl headless_reset \ No newline at end of file diff --git a/lib/emuctl/shutdown.bat b/lib/emuctl/shutdown.bat index a6a6323..b4c35d2 100644 --- a/lib/emuctl/shutdown.bat +++ b/lib/emuctl/shutdown.bat @@ -1,2 +1,2 @@ -rem Exit openMSX +rem Shutdown openMSX omsxctl headless_exit \ No newline at end of file diff --git a/lib/openmsx/share/scripts/headless.tcl b/lib/openmsx/share/scripts/headless.tcl index ba33157..290b028 100644 --- a/lib/openmsx/share/scripts/headless.tcl +++ b/lib/openmsx/share/scripts/headless.tcl @@ -49,7 +49,7 @@ proc headless_show_gui {} { } if {$headless_renderer_type != 0} { after time 1 "set renderer $headless_renderer_type" - set throttle on + after time 2 "set throttle on" set headless_renderer_done 1 } else { puts stderr "error: Requested show gui but env.RENDERER is missing." From ae4b97dbebe01c4e1aaf1043c6e01fe45369e877 Mon Sep 17 00:00:00 2001 From: Willem Date: Wed, 3 Jul 2024 00:14:02 +0200 Subject: [PATCH 111/274] Removed show left over. --- lib/make/mb_msxpipe.mk | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/lib/make/mb_msxpipe.mk b/lib/make/mb_msxpipe.mk index 2888c35..b84f91e 100644 --- a/lib/make/mb_msxpipe.mk +++ b/lib/make/mb_msxpipe.mk @@ -38,11 +38,11 @@ MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxpipe_safe_test,"Runs openMS define _mb_msxpipe_run_gui $(call mb_autoexec_write_default,$(1),$(MB_MSXPIPE_COLOR_FG_RUN_GUI),$(MB_MSXPIPE_COLOR_BG_RUN_GUI)) + $(if $(filter mouse,$(4)),$(call mb_autoexec_append_joyporta_mouse,$(1))) $(call mb_autoexec_append_stop_fail,$(1)) $(call mb_autoexec_append_show_gui,$(1)) - $(if $(filter mouse,$(4)),$(call mb_autoexec_append_joyporta_mouse,$(1))) $(call mb_autoexec_append_echo,$(1),mb::help Run shutdown to exit) - $(if $(2),$(call mb_autoexec_append_echo,$(1),mb::show command $(2))) + $(if $(2),$(call mb_autoexec_append_echo,$(1),mb::auto command $(2))) $(if $(2),$(call mb_autoexec_append_cmd,$(1),$(2))) $(call mb_openmsx_dosctl,$(1),$(3)) endef From f4197c1a14a04df5ec3b1a32b05ec4b9135e5cdb Mon Sep 17 00:00:00 2001 From: Willem Date: Wed, 3 Jul 2024 00:38:25 +0200 Subject: [PATCH 112/274] Improved plug support and added plug result messages. --- lib/make/mb_autoexec.mk | 12 +++++++++--- lib/make/mb_msxpipe.mk | 2 +- lib/openmsx/share/scripts/boot_exec.tcl | 10 ++++++++++ 3 files changed, 20 insertions(+), 4 deletions(-) diff --git a/lib/make/mb_autoexec.mk b/lib/make/mb_autoexec.mk index d1f0ecf..f59e8d2 100644 --- a/lib/make/mb_autoexec.mk +++ b/lib/make/mb_autoexec.mk @@ -85,10 +85,16 @@ endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_autoexec_append_exit,"Appends exit emulation command.","") -define mb_autoexec_append_joyporta_mouse - $(call mb_autoexec_append_cmd,$(1),omsxctl plug joyporta mouse) +define mb_autoexec_append_plug_porta + $(call mb_autoexec_append_cmd,$(1),omsxctl boot_exec_plug_porta $(2)) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_autoexec_append_joyporta_mouse,"Appends plug mouse in joyporta command.","") +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_autoexec_append_plug_porta,"Appends an plug device into joyporta action."," ") + + +define mb_autoexec_append_plug_portb + $(call mb_autoexec_append_cmd,$(1),omsxctl boot_exec_plug_portb $(2)) +endef +MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_autoexec_append_plug_portb,"Appends an plug device into joyportb action."," ") define mb_autoexec_append_save_screenshot diff --git a/lib/make/mb_msxpipe.mk b/lib/make/mb_msxpipe.mk index b84f91e..3de73db 100644 --- a/lib/make/mb_msxpipe.mk +++ b/lib/make/mb_msxpipe.mk @@ -38,7 +38,7 @@ MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxpipe_safe_test,"Runs openMS define _mb_msxpipe_run_gui $(call mb_autoexec_write_default,$(1),$(MB_MSXPIPE_COLOR_FG_RUN_GUI),$(MB_MSXPIPE_COLOR_BG_RUN_GUI)) - $(if $(filter mouse,$(4)),$(call mb_autoexec_append_joyporta_mouse,$(1))) + $(if $(filter mouse,$(4)),$(call mb_autoexec_append_plug_porta,$(1),$(4))) $(call mb_autoexec_append_stop_fail,$(1)) $(call mb_autoexec_append_show_gui,$(1)) $(call mb_autoexec_append_echo,$(1),mb::help Run shutdown to exit) diff --git a/lib/openmsx/share/scripts/boot_exec.tcl b/lib/openmsx/share/scripts/boot_exec.tcl index 01ef116..af8c057 100644 --- a/lib/openmsx/share/scripts/boot_exec.tcl +++ b/lib/openmsx/share/scripts/boot_exec.tcl @@ -43,3 +43,13 @@ proc boot_exec_show_init {} { append result [openmsx_info version] return $result } + +proc boot_exec_plug_porta {{plug_dev}} { + plug joyporta $plug_dev + return "mb::plug porta $plug_dev" +} + +proc boot_exec_plug_portb {{plug_dev}} { + plug joyportb $plug_dev + return "mb::plug portb $plug_dev" +} From 6be8161ff475b84d28f9fb89cf356e13ab6652b1 Mon Sep 17 00:00:00 2001 From: Willem Date: Wed, 3 Jul 2024 11:52:43 +0200 Subject: [PATCH 113/274] Added msxdos env vars + prompt override. --- lib/make/mb_autoexec.mk | 27 ++++++++++++++++++++++++-- lib/openmsx/share/scripts/headless.tcl | 3 +++ 2 files changed, 28 insertions(+), 2 deletions(-) diff --git a/lib/make/mb_autoexec.mk b/lib/make/mb_autoexec.mk index f59e8d2..3df0f2f 100644 --- a/lib/make/mb_autoexec.mk +++ b/lib/make/mb_autoexec.mk @@ -47,6 +47,21 @@ MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_COLOR_FG,"Default for MB_AUTOEXEC_COLOR_BG ?= 000 MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_COLOR_BG,"Default background color if none if given.") +MB_AUTOEXEC_EMBED_VARIABLE ?= +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_EMBED_VARIABLE,"Embed the host env variable as msx env variable.") + +MB_AUTOEXEC_TIME_FORMAT ?= 24 +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_TIME_FORMAT,"The time format the msx will get set to.") + +MB_AUTOEXEC_DATE_FORMAT ?= DD/MM/YY +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_DATE_FORMAT,"The time format the msx will get set to.") + +MB_AUTOEXEC_PROMPT_FORMAT ?= %MB_USER%@%_CWD%$ +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_PROMPT_FORMAT,"The prompt format for command 2.40 and higher.") + +MB_AUTOEXEC_PROMPT_SPACE ?= on +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_PROMPT_SPACE,"When on adds an white space after the prompt format.") + define mb_autoexec_append_cmd echo "$(2)\r" >> $(1)/autoexec.bat @@ -131,6 +146,7 @@ define _mb_autoexec_write_preboot $(call mb_autoexec_append_cmd,$(1),$(2)omsxctl fail_after $(MB_AUTOEXEC_STARTUP_TIMEOUT) seconds err_exec $(MB_AUTOEXEC_STARTUP_EXITCODE)) $(if $(filter on,$(MB_AUTOEXEC_SHOW_INIT)),$(call mb_autoexec_append_cmd,$(1),$(2)omsxctl boot_exec_show_init)) $(if $(filter on,$(MB_AUTOEXEC_SHOW_VERSION)),$(call mb_autoexec_append_cmd,$(1),ver)) + $(call mb_autoexec_append_cmd,$(1),PATH + A:\;) $(if $(wildcard $(1)/utils),$(call mb_autoexec_append_cmd,$(1),PATH + A:\UTILS)) endef @@ -142,10 +158,17 @@ define mb_autoexec_write_default $(if $(MB_AUTOEXEC_STARTUP_NOTE),$(call mb_autoexec_append_echo,$(1),mb::note $(MB_AUTOEXEC_STARTUP_NOTE))) $(if $(filter on,$(MB_FLIGHT_VIDEO)),$(call mb_autoexec_append_save_video,$(1))) $(if $(filter on,$(MB_AUTOEXEC_SHOW_HOST)),$(call mb_autoexec_append_cmd,$(1),omsxctl boot_exec_show_host)) + $(if $(MB_AUTOEXEC_EMBED_VARIABLE),$(call mb_autoexec_append_cmd,$(1),set MB_$(MB_AUTOEXEC_EMBED_VARIABLE)=$($(MB_AUTOEXEC_EMBED_VARIABLE)))) + $(call mb_autoexec_append_cmd,$(1),set TIME=$(MB_AUTOEXEC_TIME_FORMAT)) + $(call mb_autoexec_append_cmd,$(1),set DATE=$(MB_AUTOEXEC_DATE_FORMAT)) + $(call mb_autoexec_append_cmd,$(1),set MB_USER=$(USER)) $(if $(filter on,$(MB_AUTOEXEC_SHOW_PATH)),$(call mb_autoexec_append_cmd,$(1),echo mb::path %PATH%)) - $(if $(filter on,$(MB_AUTOEXEC_SHOW_USER)),$(call mb_autoexec_append_echo,$(1),mb::user $(USER))) + $(if $(filter on,$(MB_AUTOEXEC_SHOW_USER)),$(call mb_autoexec_append_echo,$(1),mb::user %MB_USER%)) + $(call mb_autoexec_append_cmd,$(1),set MB_MAKE=$@) + $(call mb_autoexec_append_cmd,$(1),set MB_MAKE_PART=$(notdir $@)) $(if $(filter on,$(MB_AUTOEXEC_SHOW_FOLDER)),$(call mb_autoexec_append_echo,$(1),mb::bath $(1))) - $(if $(filter on,$(MB_AUTOEXEC_SHOW_TARGET)),$(call mb_autoexec_append_echo,$(1),mb::pipe $@)) + $(if $(filter on,$(MB_AUTOEXEC_SHOW_TARGET)),$(call mb_autoexec_append_echo,$(1),mb::pipe %MB_MAKE%)) + $(if $(filter on,$(MB_AUTOEXEC_PROMPT_SPACE)),$(call mb_autoexec_append_cmd,$(1),set PROMPT \"$(MB_AUTOEXEC_PROMPT_FORMAT) \"),$(call mb_autoexec_append_cmd,$(1),set PROMPT \"$(MB_AUTOEXEC_PROMPT_FORMAT)\")) endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_autoexec_write_default,"Write a default autoexec.bat file."," [fg-color] [bg-color]") diff --git a/lib/openmsx/share/scripts/headless.tcl b/lib/openmsx/share/scripts/headless.tcl index 290b028..6bb5980 100644 --- a/lib/openmsx/share/scripts/headless.tcl +++ b/lib/openmsx/share/scripts/headless.tcl @@ -5,6 +5,9 @@ # 'headless_exit' # A normal exit of the openMSX emulator. # +# 'headless_reset' +# Does an reset of the openMSX emulator. +# # 'headless_show_gui' # Enables the renderer and throttle from the inside. # From e48337d6efd2ccc90a353df22a4903abf9b41215 Mon Sep 17 00:00:00 2001 From: Willem Date: Wed, 3 Jul 2024 13:30:35 +0200 Subject: [PATCH 114/274] Allow multiple vars to be embedded. --- .forgejo/workflows/run-test-asserts.yaml | 4 ++++ lib/make/mb_autoexec.mk | 24 ++++++++++++++---------- lib/make/msxbuild.mk | 1 + 3 files changed, 19 insertions(+), 10 deletions(-) diff --git a/.forgejo/workflows/run-test-asserts.yaml b/.forgejo/workflows/run-test-asserts.yaml index ef54a6a..9b77470 100644 --- a/.forgejo/workflows/run-test-asserts.yaml +++ b/.forgejo/workflows/run-test-asserts.yaml @@ -4,6 +4,10 @@ on: branches: - master pull_request: +env: + MB_AUTOEXEC_STARTUP_NOTE: ${{vars.GITHUB_WORKFLOW}} + MB_AUTOEXEC_EMBED_USER: GITHUB_ACTOR + MB_AUTOEXEC_EMBED_VARS: GITHUB_EVENT_NAME GITHUB_REF_NAME GITHUB_REF_TYPE GITHUB_REPOSITORY GITHUB_REPOSITORY_OWNER jobs: Test-Asserts: runs-on: self-hosted diff --git a/lib/make/mb_autoexec.mk b/lib/make/mb_autoexec.mk index 3df0f2f..fd51aa9 100644 --- a/lib/make/mb_autoexec.mk +++ b/lib/make/mb_autoexec.mk @@ -47,8 +47,11 @@ MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_COLOR_FG,"Default for MB_AUTOEXEC_COLOR_BG ?= 000 MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_COLOR_BG,"Default background color if none if given.") -MB_AUTOEXEC_EMBED_VARIABLE ?= -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_EMBED_VARIABLE,"Embed the host env variable as msx env variable.") +MB_AUTOEXEC_EMBED_VARS ?= +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_EMBED_VARS,"List of host env variables to copy to msx as 'MB_x' variable.") + +MB_AUTOEXEC_EMBED_USER ?= USER +MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_EMBED_USER,"Embedds this host variable to the msx as 'MK_USER' variable.") MB_AUTOEXEC_TIME_FORMAT ?= 24 MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_TIME_FORMAT,"The time format the msx will get set to.") @@ -56,7 +59,7 @@ MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_TIME_FORMAT,"The time MB_AUTOEXEC_DATE_FORMAT ?= DD/MM/YY MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_DATE_FORMAT,"The time format the msx will get set to.") -MB_AUTOEXEC_PROMPT_FORMAT ?= %MB_USER%@%_CWD%$ +MB_AUTOEXEC_PROMPT_FORMAT ?= %MK_USER%@%_CWD%$ MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_PROMPT_FORMAT,"The prompt format for command 2.40 and higher.") MB_AUTOEXEC_PROMPT_SPACE ?= on @@ -158,16 +161,17 @@ define mb_autoexec_write_default $(if $(MB_AUTOEXEC_STARTUP_NOTE),$(call mb_autoexec_append_echo,$(1),mb::note $(MB_AUTOEXEC_STARTUP_NOTE))) $(if $(filter on,$(MB_FLIGHT_VIDEO)),$(call mb_autoexec_append_save_video,$(1))) $(if $(filter on,$(MB_AUTOEXEC_SHOW_HOST)),$(call mb_autoexec_append_cmd,$(1),omsxctl boot_exec_show_host)) - $(if $(MB_AUTOEXEC_EMBED_VARIABLE),$(call mb_autoexec_append_cmd,$(1),set MB_$(MB_AUTOEXEC_EMBED_VARIABLE)=$($(MB_AUTOEXEC_EMBED_VARIABLE)))) + echo -n "$(subst $(MB_CHAR_SPACE)set,set,$(foreach var,$(MB_AUTOEXEC_EMBED_VARS),set MB_$(var)=$($(var))\r\n))" >> $(1)/autoexec.bat $(call mb_autoexec_append_cmd,$(1),set TIME=$(MB_AUTOEXEC_TIME_FORMAT)) $(call mb_autoexec_append_cmd,$(1),set DATE=$(MB_AUTOEXEC_DATE_FORMAT)) - $(call mb_autoexec_append_cmd,$(1),set MB_USER=$(USER)) $(if $(filter on,$(MB_AUTOEXEC_SHOW_PATH)),$(call mb_autoexec_append_cmd,$(1),echo mb::path %PATH%)) - $(if $(filter on,$(MB_AUTOEXEC_SHOW_USER)),$(call mb_autoexec_append_echo,$(1),mb::user %MB_USER%)) - $(call mb_autoexec_append_cmd,$(1),set MB_MAKE=$@) - $(call mb_autoexec_append_cmd,$(1),set MB_MAKE_PART=$(notdir $@)) - $(if $(filter on,$(MB_AUTOEXEC_SHOW_FOLDER)),$(call mb_autoexec_append_echo,$(1),mb::bath $(1))) - $(if $(filter on,$(MB_AUTOEXEC_SHOW_TARGET)),$(call mb_autoexec_append_echo,$(1),mb::pipe %MB_MAKE%)) + $(call mb_autoexec_append_cmd,$(1),set MK_SNAIL=$(notdir $@)) + $(call mb_autoexec_append_cmd,$(1),set MK_USER=$($(MB_AUTOEXEC_EMBED_USER))) + $(if $(filter on,$(MB_AUTOEXEC_SHOW_USER)),$(call mb_autoexec_append_echo,$(1),mb::user %MK_USER%)) + $(call mb_autoexec_append_cmd,$(1),set MK_SLIME=$(1)) + $(call mb_autoexec_append_cmd,$(1),set MK_TARGET=$@) + $(if $(filter on,$(MB_AUTOEXEC_SHOW_FOLDER)),$(call mb_autoexec_append_echo,$(1),mb::bath %MK_SLIME%)) + $(if $(filter on,$(MB_AUTOEXEC_SHOW_TARGET)),$(call mb_autoexec_append_echo,$(1),mb::pipe %MK_TARGET%)) $(if $(filter on,$(MB_AUTOEXEC_PROMPT_SPACE)),$(call mb_autoexec_append_cmd,$(1),set PROMPT \"$(MB_AUTOEXEC_PROMPT_FORMAT) \"),$(call mb_autoexec_append_cmd,$(1),set PROMPT \"$(MB_AUTOEXEC_PROMPT_FORMAT)\")) endef MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_autoexec_write_default,"Write a default autoexec.bat file."," [fg-color] [bg-color]") diff --git a/lib/make/msxbuild.mk b/lib/make/msxbuild.mk index 7e4fed8..29b3974 100644 --- a/lib/make/msxbuild.mk +++ b/lib/make/msxbuild.mk @@ -42,6 +42,7 @@ MB_PSEP = $(strip $(MB_SEP)) # Special chars call arguments (like for l80.com) MB_CHAR_COMMA := "," +MB_CHAR_SPACE := $(subst ,, ) MB_CHAR_GT := ">" MB_CHAR_LT := "<" MB_CHAR_CDATA_START := " Date: Wed, 3 Jul 2024 13:34:50 +0200 Subject: [PATCH 115/274] Test vars --- .forgejo/workflows/run-test-asserts.yaml | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/.forgejo/workflows/run-test-asserts.yaml b/.forgejo/workflows/run-test-asserts.yaml index 9b77470..81cfdfd 100644 --- a/.forgejo/workflows/run-test-asserts.yaml +++ b/.forgejo/workflows/run-test-asserts.yaml @@ -15,4 +15,4 @@ jobs: - name: Check out uses: actions/checkout@v3 - name: Run asserts - run: make -s -j4 bin/@assert-all + run: make -j4 bin/@assert-all From ca96d11dbeaa587678bd69f4ed7ce85a117754eb Mon Sep 17 00:00:00 2001 From: Willem Date: Wed, 3 Jul 2024 13:39:19 +0200 Subject: [PATCH 116/274] Vars test completed as success. --- .forgejo/workflows/run-test-asserts.yaml | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/.forgejo/workflows/run-test-asserts.yaml b/.forgejo/workflows/run-test-asserts.yaml index 81cfdfd..9b77470 100644 --- a/.forgejo/workflows/run-test-asserts.yaml +++ b/.forgejo/workflows/run-test-asserts.yaml @@ -15,4 +15,4 @@ jobs: - name: Check out uses: actions/checkout@v3 - name: Run asserts - run: make -j4 bin/@assert-all + run: make -s -j4 bin/@assert-all From 9d493487deec6ce849a4bcff1f9bdc5cb99f8090 Mon Sep 17 00:00:00 2001 From: Willem Date: Wed, 3 Jul 2024 13:43:12 +0200 Subject: [PATCH 117/274] Startup note test. --- .forgejo/workflows/run-test-asserts.yaml | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/.forgejo/workflows/run-test-asserts.yaml b/.forgejo/workflows/run-test-asserts.yaml index 9b77470..b74ec90 100644 --- a/.forgejo/workflows/run-test-asserts.yaml +++ b/.forgejo/workflows/run-test-asserts.yaml @@ -5,7 +5,7 @@ on: - master pull_request: env: - MB_AUTOEXEC_STARTUP_NOTE: ${{vars.GITHUB_WORKFLOW}} + MB_AUTOEXEC_STARTUP_NOTE: ${{ vars.GITHUB_WORKFLOW }} MB_AUTOEXEC_EMBED_USER: GITHUB_ACTOR MB_AUTOEXEC_EMBED_VARS: GITHUB_EVENT_NAME GITHUB_REF_NAME GITHUB_REF_TYPE GITHUB_REPOSITORY GITHUB_REPOSITORY_OWNER jobs: @@ -15,4 +15,4 @@ jobs: - name: Check out uses: actions/checkout@v3 - name: Run asserts - run: make -s -j4 bin/@assert-all + run: make -j1 bin/@assert-all From c091494790fbddab3695984940dabcbf86d22771 Mon Sep 17 00:00:00 2001 From: Willem Date: Wed, 3 Jul 2024 13:44:08 +0200 Subject: [PATCH 118/274] Test startup note2. --- .forgejo/workflows/run-test-asserts.yaml | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/.forgejo/workflows/run-test-asserts.yaml b/.forgejo/workflows/run-test-asserts.yaml index b74ec90..3655b84 100644 --- a/.forgejo/workflows/run-test-asserts.yaml +++ b/.forgejo/workflows/run-test-asserts.yaml @@ -5,7 +5,7 @@ on: - master pull_request: env: - MB_AUTOEXEC_STARTUP_NOTE: ${{ vars.GITHUB_WORKFLOW }} + MB_AUTOEXEC_STARTUP_NOTE: ${{env.GITHUB_WORKFLOW}} MB_AUTOEXEC_EMBED_USER: GITHUB_ACTOR MB_AUTOEXEC_EMBED_VARS: GITHUB_EVENT_NAME GITHUB_REF_NAME GITHUB_REF_TYPE GITHUB_REPOSITORY GITHUB_REPOSITORY_OWNER jobs: From 2ade7f171d261fd7f68bac721077c7d0d45cdc78 Mon Sep 17 00:00:00 2001 From: Willem Date: Wed, 3 Jul 2024 13:44:55 +0200 Subject: [PATCH 119/274] Test startup note 3. --- .forgejo/workflows/run-test-asserts.yaml | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/.forgejo/workflows/run-test-asserts.yaml b/.forgejo/workflows/run-test-asserts.yaml index 3655b84..7bcf0ae 100644 --- a/.forgejo/workflows/run-test-asserts.yaml +++ b/.forgejo/workflows/run-test-asserts.yaml @@ -5,7 +5,7 @@ on: - master pull_request: env: - MB_AUTOEXEC_STARTUP_NOTE: ${{env.GITHUB_WORKFLOW}} + MB_AUTOEXEC_STARTUP_NOTE: ${{GITHUB_WORKFLOW}} MB_AUTOEXEC_EMBED_USER: GITHUB_ACTOR MB_AUTOEXEC_EMBED_VARS: GITHUB_EVENT_NAME GITHUB_REF_NAME GITHUB_REF_TYPE GITHUB_REPOSITORY GITHUB_REPOSITORY_OWNER jobs: From aa3ca21d6d31af7a627840e29d399bd62788d1c7 Mon Sep 17 00:00:00 2001 From: Willem Date: Wed, 3 Jul 2024 13:45:57 +0200 Subject: [PATCH 120/274] Test startup note 4. --- .forgejo/workflows/run-test-asserts.yaml | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/.forgejo/workflows/run-test-asserts.yaml b/.forgejo/workflows/run-test-asserts.yaml index 7bcf0ae..18cdd57 100644 --- a/.forgejo/workflows/run-test-asserts.yaml +++ b/.forgejo/workflows/run-test-asserts.yaml @@ -5,7 +5,7 @@ on: - master pull_request: env: - MB_AUTOEXEC_STARTUP_NOTE: ${{GITHUB_WORKFLOW}} + MB_AUTOEXEC_STARTUP_NOTE: Test ${{ env.GITHUB_WORKFLOW }} MB_AUTOEXEC_EMBED_USER: GITHUB_ACTOR MB_AUTOEXEC_EMBED_VARS: GITHUB_EVENT_NAME GITHUB_REF_NAME GITHUB_REF_TYPE GITHUB_REPOSITORY GITHUB_REPOSITORY_OWNER jobs: From 4b80dd6c167ec1b5364e73a63cec61aa0257d447 Mon Sep 17 00:00:00 2001 From: Willem Date: Wed, 3 Jul 2024 13:47:15 +0200 Subject: [PATCH 121/274] Test startup note 5. --- .forgejo/workflows/run-test-asserts.yaml | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/.forgejo/workflows/run-test-asserts.yaml b/.forgejo/workflows/run-test-asserts.yaml index 18cdd57..4c23829 100644 --- a/.forgejo/workflows/run-test-asserts.yaml +++ b/.forgejo/workflows/run-test-asserts.yaml @@ -5,7 +5,7 @@ on: - master pull_request: env: - MB_AUTOEXEC_STARTUP_NOTE: Test ${{ env.GITHUB_WORKFLOW }} + MB_AUTOEXEC_STARTUP_NOTE: Test ${{ vars.GITHUB_WORKFLOW }} MB_AUTOEXEC_EMBED_USER: GITHUB_ACTOR MB_AUTOEXEC_EMBED_VARS: GITHUB_EVENT_NAME GITHUB_REF_NAME GITHUB_REF_TYPE GITHUB_REPOSITORY GITHUB_REPOSITORY_OWNER jobs: From 4e622828394589d47900f1022a4ac5375d4ae601 Mon Sep 17 00:00:00 2001 From: Willem Date: Wed, 3 Jul 2024 13:51:28 +0200 Subject: [PATCH 122/274] Startup note test 6. --- .forgejo/workflows/run-test-asserts.yaml | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/.forgejo/workflows/run-test-asserts.yaml b/.forgejo/workflows/run-test-asserts.yaml index 4c23829..cf00978 100644 --- a/.forgejo/workflows/run-test-asserts.yaml +++ b/.forgejo/workflows/run-test-asserts.yaml @@ -5,7 +5,7 @@ on: - master pull_request: env: - MB_AUTOEXEC_STARTUP_NOTE: Test ${{ vars.GITHUB_WORKFLOW }} + MB_AUTOEXEC_STARTUP_NOTE: Test ${{ github.workflow }} MB_AUTOEXEC_EMBED_USER: GITHUB_ACTOR MB_AUTOEXEC_EMBED_VARS: GITHUB_EVENT_NAME GITHUB_REF_NAME GITHUB_REF_TYPE GITHUB_REPOSITORY GITHUB_REPOSITORY_OWNER jobs: From 9a0b0cbdb20324e9c0773a659733bfcb960ae241 Mon Sep 17 00:00:00 2001 From: Willem Date: Wed, 3 Jul 2024 13:52:33 +0200 Subject: [PATCH 123/274] Fixed startup note. --- .forgejo/workflows/run-test-asserts.yaml | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/.forgejo/workflows/run-test-asserts.yaml b/.forgejo/workflows/run-test-asserts.yaml index cf00978..4d25249 100644 --- a/.forgejo/workflows/run-test-asserts.yaml +++ b/.forgejo/workflows/run-test-asserts.yaml @@ -5,7 +5,7 @@ on: - master pull_request: env: - MB_AUTOEXEC_STARTUP_NOTE: Test ${{ github.workflow }} + MB_AUTOEXEC_STARTUP_NOTE: ${{github.workflow}} MB_AUTOEXEC_EMBED_USER: GITHUB_ACTOR MB_AUTOEXEC_EMBED_VARS: GITHUB_EVENT_NAME GITHUB_REF_NAME GITHUB_REF_TYPE GITHUB_REPOSITORY GITHUB_REPOSITORY_OWNER jobs: From a71f283d1da464d53839dfc0b53003554c5beca2 Mon Sep 17 00:00:00 2001 From: Willem Date: Fri, 5 Jul 2024 20:43:18 +0200 Subject: [PATCH 124/274] Fixed openMSX export and WIP adding first flow generators. --- .forgejo/workflows/run-test-asserts.yaml | 1 + Makefile | 21 +- README.md | 3 +- lib/make/mb_autoexec.mk | 95 +++---- lib/make/mb_doc.mk | 300 ++++++++++++++++------- lib/make/mb_flight.mk | 14 +- lib/make/mb_make.mk | 99 ++++++++ lib/make/mb_msxhub.mk | 56 ++--- lib/make/mb_msxpipe.mk | 56 ++--- lib/make/mb_msxrom.mk | 52 ++-- lib/make/mb_openmsx.mk | 47 ++-- lib/make/mb_sdcc.mk | 40 +-- lib/make/msxbuild.mk | 36 +-- src/0module.mk | 19 -- src/0module/assert-all/0module.mk | 5 +- src/0module/clean/0module.mk | 4 +- src/0module/prepare/0module.mk | 4 +- src/ahello-m80-test/0module.mk | 1 + src/ahello-m80/0module.mk | 69 +++++- src/ahello-sdcc-test/0module.mk | 4 +- src/ahello-sdcc/0module.mk | 4 +- src/dist-qa-dos1/0module.mk | 4 +- src/dist-qa-dos2/0module.mk | 4 +- src/dist-qa-msx1/0module.mk | 26 +- src/dist-qa-msxhub/0module.mk | 74 +++--- src/dist/0module.mk | 4 +- src/make-on-msx/0module.mk | 52 ++-- src/mbboot80-test/0module.mk | 4 +- src/mbboot80/0module.mk | 20 +- 29 files changed, 703 insertions(+), 415 deletions(-) create mode 100644 lib/make/mb_make.mk delete mode 100644 src/0module.mk diff --git a/.forgejo/workflows/run-test-asserts.yaml b/.forgejo/workflows/run-test-asserts.yaml index 4d25249..5eb74c4 100644 --- a/.forgejo/workflows/run-test-asserts.yaml +++ b/.forgejo/workflows/run-test-asserts.yaml @@ -5,6 +5,7 @@ on: - master pull_request: env: + VERBOSE: please-show-me-all MB_AUTOEXEC_STARTUP_NOTE: ${{github.workflow}} MB_AUTOEXEC_EMBED_USER: GITHUB_ACTOR MB_AUTOEXEC_EMBED_VARS: GITHUB_EVENT_NAME GITHUB_REF_NAME GITHUB_REF_TYPE GITHUB_REPOSITORY GITHUB_REPOSITORY_OWNER diff --git a/Makefile b/Makefile index 0b7f60b..6a38f1a 100644 --- a/Makefile +++ b/Makefile @@ -1,13 +1,18 @@ # -# Example project makefile for msxbuild. +# Module based project makefile for msxbuild. # .SUFFIXES: -PATH_BIN := bin -PATH_SRC := src - -all: - $(call mb_doc_show_help@all) -.PHONY: all +.PHONY: Makefile +ifndef VERBOSE +.SILENT: +endif +ifeq ($(.DEFAULT_GOAL),) +.DEFAULT_GOAL := @help +endif +PATH_BIN := bin +PATH_SRC := src include lib/make/msxbuild.mk -include $(call mb_rwildcard, $(PATH_SRC), */0module.mk) +$(eval $(call mb_make_call,mb_doc_flow_help)) +$(eval $(call mb_make_call,mb_make_flow_0module)) + diff --git a/README.md b/README.md index 1456e92..2fa9720 100644 --- a/README.md +++ b/README.md @@ -22,10 +22,11 @@ But as always, feel free to extend it for your specific needs or contribute a fi * sdcc * openmsx * ffmpeg +* rsync For debian use; - apt-get install make wget tar gawk grep dos2unix sdcc openmsx ffmpeg + apt-get install make wget tar gawk grep dos2unix sdcc openmsx ffmpeg rsync ## Usage Classic diff --git a/lib/make/mb_autoexec.mk b/lib/make/mb_autoexec.mk index fd51aa9..dc102c5 100644 --- a/lib/make/mb_autoexec.mk +++ b/lib/make/mb_autoexec.mk @@ -1,130 +1,130 @@ -MB_AUTOEXEC_SHOW_VERSION ?= on -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_SHOW_VERSION,"Print OS version on boot.") +MB_AUTOEXEC_SHOW_VERSION ?= off +MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_SHOW_VERSION,"Print OS version on boot.") MB_AUTOEXEC_SHOW_PATH ?= on -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_SHOW_PATH,"Print search path on boot.") +MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_SHOW_PATH,"Print search path on boot.") MB_AUTOEXEC_SHOW_INIT ?= on -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_SHOW_INIT,"Print msxbuild init message on boot.") +MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_SHOW_INIT,"Print msxbuild init message on boot.") MB_AUTOEXEC_SHOW_HOST ?= on -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_SHOW_HOST,"Print host machine on boot.") +MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_SHOW_HOST,"Print host machine on boot.") MB_AUTOEXEC_SHOW_USER ?= on -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_SHOW_USER,"Print host user on boot.") +MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_SHOW_USER,"Print host user on boot.") MB_AUTOEXEC_SHOW_FOLDER ?= on -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_SHOW_FOLDER,"Print host folder on boot.") +MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_SHOW_FOLDER,"Print host folder on boot.") MB_AUTOEXEC_SHOW_TARGET ?= on -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_SHOW_TARGET,"Print host target on boot.") +MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_SHOW_TARGET,"Print host target on boot.") MB_AUTOEXEC_STARTUP_TIMEOUT ?= 60 -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_STARTUP_TIMEOUT,"Startup failure timeout of autoexec.") +MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_STARTUP_TIMEOUT,"Startup failure timeout of autoexec.") MB_AUTOEXEC_STARTUP_EXITCODE ?= 124 -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_STARTUP_EXITCODE,"Startup failure exit code of autoexec.") +MB_DOC_MAKE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_STARTUP_EXITCODE,"Startup failure exit code of autoexec.") MB_AUTOEXEC_SAFE_TEST_TIMEOUT ?= 120 -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_SAFE_TEST_TIMEOUT,"Safe test execution failure timeout.") +MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_SAFE_TEST_TIMEOUT,"Safe test execution failure timeout.") MB_AUTOEXEC_SAFE_TEST_EXITCODE ?= 1 -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_SAFE_TEST_EXITCODE,"Safe test execution failure exit code.") +MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_SAFE_TEST_EXITCODE,"Safe test execution failure exit code.") MB_AUTOEXEC_SAFE_CMD_TIMEOUT ?= 300 -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_SAFE_CMD_TIMEOUT,"Safe command execution failure timeout.") +MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_SAFE_CMD_TIMEOUT,"Safe command execution failure timeout.") MB_AUTOEXEC_SAFE_CMD_EXITCODE ?= 1 -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_SAFE_CMD_EXITCODE,"Safe command execution failure exit code.") +MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_SAFE_CMD_EXITCODE,"Safe command execution failure exit code.") MB_AUTOEXEC_STARTUP_NOTE ?= -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_STARTUP_NOTE,"When set this startup note message is shown.") +MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_STARTUP_NOTE,"When set this startup note message is shown.") MB_AUTOEXEC_COLOR_FG ?= 151 -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_COLOR_FG,"Default foreground color if none is given.") +MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_COLOR_FG,"Default foreground color if none is given.") MB_AUTOEXEC_COLOR_BG ?= 000 -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_COLOR_BG,"Default background color if none if given.") +MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_COLOR_BG,"Default background color if none if given.") MB_AUTOEXEC_EMBED_VARS ?= -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_EMBED_VARS,"List of host env variables to copy to msx as 'MB_x' variable.") +MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_EMBED_VARS,"List of host env variables to copy to msx as 'MSX_x' variables.") MB_AUTOEXEC_EMBED_USER ?= USER -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_EMBED_USER,"Embedds this host variable to the msx as 'MK_USER' variable.") +MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_EMBED_USER,"Embedds this host variable to the msx as 'MB_USER' variable.") MB_AUTOEXEC_TIME_FORMAT ?= 24 -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_TIME_FORMAT,"The time format the msx will get set to.") +MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_TIME_FORMAT,"The time format the msx will get set to.") MB_AUTOEXEC_DATE_FORMAT ?= DD/MM/YY -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_DATE_FORMAT,"The time format the msx will get set to.") +MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_DATE_FORMAT,"The time format the msx will get set to.") -MB_AUTOEXEC_PROMPT_FORMAT ?= %MK_USER%@%_CWD%$ -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_PROMPT_FORMAT,"The prompt format for command 2.40 and higher.") +MB_AUTOEXEC_PROMPT_FORMAT ?= %MB_USER%@%_CWD%* +MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_PROMPT_FORMAT,"The prompt format for command 2.40 and higher.") MB_AUTOEXEC_PROMPT_SPACE ?= on -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_AUTOEXEC_PROMPT_SPACE,"When on adds an white space after the prompt format.") +MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_PROMPT_SPACE,"When on adds an white space after the prompt format.") define mb_autoexec_append_cmd echo "$(2)\r" >> $(1)/autoexec.bat endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_autoexec_append_cmd,"Appends an command."," ") +MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_autoexec_append_cmd,"Appends an command."," ") define mb_autoexec_append_echo $(call mb_autoexec_append_cmd,$(1),echo $(subst >,>,$(2))) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_autoexec_append_echo,"Appends an echo message."," ") +MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_autoexec_append_echo,"Appends an echo message."," ") define mb_autoexec_append_rem $(call mb_autoexec_append_cmd,$(1),rem $(2)) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_autoexec_append_rem,"Appends an script remark."," ") +MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_autoexec_append_rem,"Appends an script remark."," ") define mb_autoexec_append_show_gui $(call mb_autoexec_append_cmd,$(1),omsxctl headless_show_gui) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_autoexec_append_show_gui,"Appends headless show gui command.","") +MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_autoexec_append_show_gui,"Appends headless show gui command.","") define mb_autoexec_append_stop_fail $(call mb_autoexec_append_cmd,$(1),omsxctl fail_after 0) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_autoexec_append_stop_fail,"Appends stop automatic failure command.","") +MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_autoexec_append_stop_fail,"Appends stop automatic failure command.","") define mb_autoexec_append_exit $(if $(filter on,$(MB_FLIGHT_SCREEN)),$(call mb_autoexec_append_save_screenshot,$(1))) $(call mb_autoexec_append_cmd,$(1),omsxctl headless_exit) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_autoexec_append_exit,"Appends exit emulation command.","") +MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_autoexec_append_exit,"Appends exit emulation command.","") define mb_autoexec_append_plug_porta $(call mb_autoexec_append_cmd,$(1),omsxctl boot_exec_plug_porta $(2)) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_autoexec_append_plug_porta,"Appends an plug device into joyporta action."," ") +MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_autoexec_append_plug_porta,"Appends an plug device into joyporta action."," ") define mb_autoexec_append_plug_portb $(call mb_autoexec_append_cmd,$(1),omsxctl boot_exec_plug_portb $(2)) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_autoexec_append_plug_portb,"Appends an plug device into joyportb action."," ") +MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_autoexec_append_plug_portb,"Appends an plug device into joyportb action."," ") define mb_autoexec_append_save_screenshot $(call mb_autoexec_append_cmd,$(1),omsxctl night_flight_save_screenshot $(2)) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_autoexec_append_save_screenshot,"Appends save screenshot command."," [prefix]") +MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_autoexec_append_save_screenshot,"Appends save screenshot command."," [prefix]") define mb_autoexec_append_save_video $(call mb_autoexec_append_cmd,$(1),omsxctl night_flight_save_video $(2)) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_autoexec_append_save_video,"Appends save video command."," [prefix]") +MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_autoexec_append_save_video,"Appends save video command."," [prefix]") define mb_autoexec_append_safe_test @@ -132,7 +132,7 @@ define mb_autoexec_append_safe_test $(call mb_autoexec_append_echo,$(1),mb::safe test $(2)) $(call mb_autoexec_append_cmd,$(1),$(2)) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_autoexec_append_safe_test,"Appends running a safe test."," [timeout] [exit-code]") +MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_autoexec_append_safe_test,"Appends running a safe test."," [timeout] [exit-code]") define mb_autoexec_append_safe_cmd @@ -140,7 +140,7 @@ define mb_autoexec_append_safe_cmd $(call mb_autoexec_append_echo,$(1),mb::safe command $(2)) $(call mb_autoexec_append_cmd,$(1),$(2)) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_autoexec_append_safe_cmd,"Appends running a safe command."," [timeout] [exit-code]") +MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_autoexec_append_safe_cmd,"Appends running a safe command."," [timeout] [exit-code]") define _mb_autoexec_write_preboot @@ -155,24 +155,25 @@ endef define mb_autoexec_write_default - @echo === Writing autoexec.bat for $@ + $(call mb_make_call,mb_make_echo_good,Write autoexec for $@) @echo -n "" > $(1)/autoexec.bat $(if $(wildcard $(1)/utils),$(call _mb_autoexec_write_preboot,$(1),utils\,$(2),$(3)),$(call _mb_autoexec_write_preboot,$(1),,$(2),$(3))) $(if $(MB_AUTOEXEC_STARTUP_NOTE),$(call mb_autoexec_append_echo,$(1),mb::note $(MB_AUTOEXEC_STARTUP_NOTE))) $(if $(filter on,$(MB_FLIGHT_VIDEO)),$(call mb_autoexec_append_save_video,$(1))) $(if $(filter on,$(MB_AUTOEXEC_SHOW_HOST)),$(call mb_autoexec_append_cmd,$(1),omsxctl boot_exec_show_host)) - echo -n "$(subst $(MB_CHAR_SPACE)set,set,$(foreach var,$(MB_AUTOEXEC_EMBED_VARS),set MB_$(var)=$($(var))\r\n))" >> $(1)/autoexec.bat + echo -n "$(subst $(MB_CHAR_SPACE)set,set,$(foreach var,$(MB_AUTOEXEC_EMBED_VARS),set MSX_$(var)=$($(var))\r\n))" >> $(1)/autoexec.bat $(call mb_autoexec_append_cmd,$(1),set TIME=$(MB_AUTOEXEC_TIME_FORMAT)) $(call mb_autoexec_append_cmd,$(1),set DATE=$(MB_AUTOEXEC_DATE_FORMAT)) $(if $(filter on,$(MB_AUTOEXEC_SHOW_PATH)),$(call mb_autoexec_append_cmd,$(1),echo mb::path %PATH%)) - $(call mb_autoexec_append_cmd,$(1),set MK_SNAIL=$(notdir $@)) - $(call mb_autoexec_append_cmd,$(1),set MK_USER=$($(MB_AUTOEXEC_EMBED_USER))) - $(if $(filter on,$(MB_AUTOEXEC_SHOW_USER)),$(call mb_autoexec_append_echo,$(1),mb::user %MK_USER%)) - $(call mb_autoexec_append_cmd,$(1),set MK_SLIME=$(1)) - $(call mb_autoexec_append_cmd,$(1),set MK_TARGET=$@) - $(if $(filter on,$(MB_AUTOEXEC_SHOW_FOLDER)),$(call mb_autoexec_append_echo,$(1),mb::bath %MK_SLIME%)) - $(if $(filter on,$(MB_AUTOEXEC_SHOW_TARGET)),$(call mb_autoexec_append_echo,$(1),mb::pipe %MK_TARGET%)) - $(if $(filter on,$(MB_AUTOEXEC_PROMPT_SPACE)),$(call mb_autoexec_append_cmd,$(1),set PROMPT \"$(MB_AUTOEXEC_PROMPT_FORMAT) \"),$(call mb_autoexec_append_cmd,$(1),set PROMPT \"$(MB_AUTOEXEC_PROMPT_FORMAT)\")) + $(call mb_autoexec_append_cmd,$(1),set MB_SNAIL=$(notdir $@)) + $(call mb_autoexec_append_cmd,$(1),set MB_USER=$($(MB_AUTOEXEC_EMBED_USER))) + $(if $(filter on,$(MB_AUTOEXEC_SHOW_USER)),$(call mb_autoexec_append_echo,$(1),mb::user %MB_USER%)) + $(call mb_autoexec_append_cmd,$(1),set MB_SLIME=$(1)) + $(call mb_autoexec_append_cmd,$(1),set MB_TARGET=$@) + $(if $(filter on,$(MB_AUTOEXEC_SHOW_FOLDER)),$(call mb_autoexec_append_echo,$(1),mb::bath %MB_SLIME%)) + $(if $(filter on,$(MB_AUTOEXEC_SHOW_TARGET)),$(call mb_autoexec_append_echo,$(1),mb::pipe %MB_TARGET%)) + $(if $(filter on,$(MB_AUTOEXEC_PROMPT_SPACE)),$(call mb_autoexec_append_cmd,$(1),set PROMPT \"$(value MB_AUTOEXEC_PROMPT_FORMAT)$(MB_CHAR_SPACE)\")) + $(if $(filter on,$(MB_AUTOEXEC_PROMPT_SPACE)),,$(call mb_autoexec_append_cmd,$(1),,set PROMPT \"$(value MB_AUTOEXEC_PROMPT_FORMAT)\")) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_autoexec_write_default,"Write a default autoexec.bat file."," [fg-color] [bg-color]") +MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_autoexec_write_default,"Write a default autoexec.bat file."," [fg-color] [bg-color]") diff --git a/lib/make/mb_doc.mk b/lib/make/mb_doc.mk index 9bef57f..a23cfce 100644 --- a/lib/make/mb_doc.mk +++ b/lib/make/mb_doc.mk @@ -1,112 +1,236 @@ -MB_DOC_HELP_PROJECT ?= "" -MB_DOC_HELP_TARGET ?= "" -MB_DOC_HELP_VARIABLE ?= "" -MB_DOC_HELP_FUNCTION ?= "" -MB_DOC_TXT_BUILD_ALL := "Use 'make help' for possible targets and documention." -MB_DOC_TXT_BUILD_PROJECT := "Welcome to the MSXBUILD help system.\\n\\nFor detailed help use one of the following targets;\\n" -MB_DOC_TXT_BUILD_TARGET := "Build one of the following make targets;\\n" -MB_DOC_TXT_BUILD_VARIABLE := "Documention of the make variables;\\n" -MB_DOC_TXT_BUILD_FUNCTION := "Documention of the make functions;\\n" +MB_DOC_FIRE_VARIABLE_FLOW ?= "" +#*** Recursive variable: MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,mb_doc_variable_flow,MB_DOC_FIRE_VARIABLE_FLOW,"Flow builder of fire flow variable data.") + +MB_DOC_FIRE_HELP ?= "" +MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,mb_doc_variable_flow,MB_DOC_FIRE_HELP,"Flow builder of fire help data.") + +MB_DOC_FIRE_TARGET ?= "" +MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,mb_doc_variable_flow,MB_DOC_FIRE_TARGET,"Flow builder of fire target data.") + +MB_DOC_FIRE_TARGET_DEEP ?= "" +MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,mb_doc_variable_flow,MB_DOC_FIRE_TARGET_DEEP,"Flow builder of fire deep target data.") + +MB_DOC_FIRE_VARIABLE ?= "" +MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,mb_doc_variable_flow,MB_DOC_FIRE_VARIABLE,"Flow builder of fire variable data.") + +MB_DOC_FIRE_VARIABLE_DEEP ?= "" +MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,mb_doc_variable_flow,MB_DOC_FIRE_VARIABLE_DEEP,"Flow builder of fire deep variable data.") + +MB_DOC_FIRE_VARIABLE_ROCK ?= "" +MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,mb_doc_variable_flow,MB_DOC_FIRE_VARIABLE_ROCK,"Flow builder of fire rock variable data.") + +MB_DOC_FIRE_FUNCTION ?= "" +MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,mb_doc_variable_flow,MB_DOC_FIRE_FUNCTION,"Flow builder of fire function data.") + +MB_DOC_FIRE_FUNCTION_DEEP ?= "" +MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,mb_doc_variable_flow,MB_DOC_FIRE_FUNCTION_DEEP,"Flow builder of fire deep function data.") + +MB_DOC_FIRE_FUNCTION_FLOW ?= "" +MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,mb_doc_variable_flow,MB_DOC_FIRE_FUNCTION_FLOW,"Flow builder of fire flow function data.") + +MB_DOC_XML_ROOT := firemake +MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_DOC_XML_ROOT,"XML root tag for firemake output.") + +MB_DOC_XML_ATTR_NAME := name +MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_DOC_XML_ATTR_NAME,"XML name attribute for firemake output.") + +MB_DOC_XML_ATTR_LEVEL := level +MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_DOC_XML_ATTR_LEVEL,"XML level attribute for firemake output.") + +MB_DOC_XML_TAG_ARGS := arguments +MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_DOC_XML_TAG_ARGS,"XML arguments tag for firemake output.") + +MB_DOC_XML_TAG_DESC := description +MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_DOC_XML_TAG_DESC,"XML description tag for firemake output.") + +MB_DOC_XML_TAG_VALUE := value +MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_DOC_XML_TAG_VALUE,"XML value tag for firemake output.") MB_DOC_FORMAT ?= txt -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_DOC_FORMAT,"Output format of documention$(MB_CHAR_COMMA) only 'txt' is supported currently.") - - -define _mb_doc_xml_open -$(MB_CHAR_LT)$(1)$(if $(2), $(2)=\"$(3)\")$(MB_CHAR_GT) -endef -define _mb_doc_xml_close -$(MB_CHAR_LT)/$(1)$(MB_CHAR_GT) -endef -define _mb_doc_xml_tag_value -$(if $(2),$(call _mb_doc_xml_open,$(1))$(MB_CHAR_CDATA_START)$(2)$(MB_CHAR_CDATA_END)$(call _mb_doc_xml_close,$(1))) -endef - - -define _mb_doc_function_txt - "* "$(1)$(if $(3), $(3))$(if $(2),\\n\\t$(2)\\n)\\n -endef -define _mb_doc_function_xml - \\n\\t$(call _mb_doc_xml_open,function,name,$(1))\\n\\t\\t$(call _mb_doc_xml_tag_value,args,$(3))\\n\\t\\t$(call _mb_doc_xml_tag_value,desc,$(2))\\n\\t$(call _mb_doc_xml_close,function) -endef -define mb_doc_function - $(call _mb_doc_function_$(MB_DOC_FORMAT),$(1),$(2),$(3)) -endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_doc_function,"Prints formatted documention of an function."," [desc] [args]") +MB_DOC_FIRE_VARIABLE_DEEP += $(call mb_make_call,mb_doc_variable_deep,MB_DOC_FORMAT,"Output format of documention$(MB_MAKE_COMMA) only 'txt' is supported currently.") define _mb_doc_variable_txt - "* "$(1)=$(subst \\n,\\\n,$(3))\\n$(if $(2),\\t$(2)\\n)\\n + "* "$(2)=$(subst \\n,\\\n,$(4))\\n$(if $(3),\\t$(3)\\n)\\n endef define _mb_doc_variable_xml - \\n\\t$(call _mb_doc_xml_open,variable,name,$(1))\\n\\t\\t$(call _mb_doc_xml_tag_value,value,$(3))\\n\\t\\t$(call _mb_doc_xml_tag_value,desc,$(2))\\n\\t$(call _mb_doc_xml_close,variable) + \\n\\t$(call mb_make_xml_open,variable,$(MB_DOC_XML_ATTR_NAME),$(2),$(MB_DOC_XML_ATTR_LEVEL),$(1))\\n\\t\\t$(call mb_make_xml_tag_value,$(MB_DOC_XML_TAG_VALUE),$(4))\\n\\t\\t$(call mb_make_xml_tag_value,$(MB_DOC_XML_TAG_DESC),$(3))\\n\\t$(call mb_make_xml_close,variable) endef define mb_doc_variable - $(call _mb_doc_variable_$(MB_DOC_FORMAT),$(1),$(2),$($(1))) + $(call mb_make_call,_mb_doc_variable_$(MB_DOC_FORMAT),build,$(1),$(2),$($(1))) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_doc_variable,"Prints formatted documention of an variable."," [desc]") +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_doc_variable,"Prints formatted documention of an variable."," [desc]") +define mb_doc_variable_deep + $(call mb_make_call,_mb_doc_variable_$(MB_DOC_FORMAT),deep,$(1),$(2),$($(1))) +endef +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_doc_variable_deep,"Prints formatted documention of an deep variable."," [desc]") +define mb_doc_variable_rock + $(call mb_make_call,_mb_doc_variable_$(MB_DOC_FORMAT),rock,$(1),$(2),$($(1))) +endef +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_doc_variable_rock,"Prints formatted documention of an rock variable."," [desc]") +define mb_doc_variable_flow + $(call mb_make_call,_mb_doc_variable_$(MB_DOC_FORMAT),flow,$(1),$(2),$(words $($(1)))) +endef +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_doc_variable_flow,"Prints formatted documention of an flow variable."," [desc]") + + +define _mb_doc_function_txt + "* "$(2)$(if $(4), $(4))$(if $(3),\\n\\t$(3)\\n)\\n +endef +define _mb_doc_function_xml + \\n\\t$(call mb_make_xml_open,function,$(MB_DOC_XML_ATTR_NAME),$(2),$(MB_DOC_XML_ATTR_LEVEL),$(1))\\n\\t\\t$(call mb_make_xml_tag_value,$(MB_DOC_XML_TAG_ARGS),$(4))\\n\\t\\t$(call mb_make_xml_tag_value,$(MB_DOC_XML_TAG_DESC),$(3))\\n\\t$(call mb_make_xml_close,function) +endef +define mb_doc_function + $(call mb_make_call,_mb_doc_function_$(MB_DOC_FORMAT),build,$(1),$(2),$(3)) +endef +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_doc_function,"Prints formatted documention of an function."," [desc] [args]") +define mb_doc_function_deep + $(call mb_make_call,_mb_doc_function_$(MB_DOC_FORMAT),deep,$(1),$(2),$(3)) +endef +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_doc_function_deep,"Prints formatted documention of an deep function."," [desc] [args]") +define mb_doc_function_flow + $(call mb_make_call,_mb_doc_function_$(MB_DOC_FORMAT),flow,$(1),$(2),$(3)) +endef +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_doc_function_flow,"Prints formatted documention of an flow function."," [desc] [args]") define _mb_doc_target_txt - "* "$(1)$(if $(2),\\n\\t$(2))\\n\\n + "* "$(2)$(if $(3),\\n\\t$(3))\\n\\n endef define _mb_doc_target_xml - \\n\\t$(call _mb_doc_xml_open,target,name,$(1))\\n\\t\\t$(call _mb_doc_xml_tag_value,desc,$(2))\\n\\t$(call _mb_doc_xml_close,target) + \\n\\t$(call mb_make_xml_open,target,$(MB_DOC_XML_ATTR_NAME),$(2),$(MB_DOC_XML_ATTR_LEVEL),$(1))$(if $3,\\n\\t\\t$(call mb_make_xml_tag_value,$(MB_DOC_XML_TAG_DESC),$(3))\\n\\t$(call mb_make_xml_close,target),\\n\\t$(call mb_make_xml_close,target)) endef define mb_doc_target - $(call _mb_doc_target_$(MB_DOC_FORMAT),$(1),$(2)) + $(call mb_make_call,_mb_doc_target_$(MB_DOC_FORMAT),build,$(1),$(2)) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_doc_target,"Prints formatted documention of an target."," [desc]") - - -define _mb_doc_project_txt - "* "$(1)\\n +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_doc_target,"Prints formatted documention of an target."," [desc]") +define mb_doc_target_deep + $(call mb_make_call,_mb_doc_target_$(MB_DOC_FORMAT),deep,$(1),$(2)) endef -define _mb_doc_project_xml - \\n$(call _mb_doc_xml_open,project)\\n\\t$(call _mb_doc_xml_tag_value,name,$(1))\\n$(call _mb_doc_xml_close,project) +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_doc_target_deep,"Prints formatted documention of an deep target."," [desc]") +define mb_doc_target_help + $(call mb_make_call,_mb_doc_target_$(MB_DOC_FORMAT),help,$(1),$(2)) endef -define mb_doc_project - $(call _mb_doc_project_$(MB_DOC_FORMAT),$(1)) +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_doc_target_help,"Prints formatted documention of an help target."," [desc]") + + +define mb_doc_flow_help +@help: + $$(if $$(filter txt,$$(MB_DOC_FORMAT)),@echo "Welcome to the MSXBUILD help system.\\n\\nFor detailed fire help use one of the following targets;\\n") + $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_xml_open,$$(MB_DOC_XML_ROOT))) + @echo $$(MB_DOC_FIRE_HELP) + $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_xml_close,$$(MB_DOC_XML_ROOT))) +.PHONY: @help + + +@help-variable: + $$(if $$(filter txt,$$(MB_DOC_FORMAT)),@echo "Documention of the make fire variables;\\n") + $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) + @echo $$(MB_DOC_FIRE_VARIABLE) + $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) +.PHONY: @help-variable +MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@help-variable,"Lists build variables.") + + +@help-variable-deep: + $$(if $$(filter txt,$$(MB_DOC_FORMAT)),@echo "Documention of the deep make variables;\\n") + $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) + @echo $$(MB_DOC_FIRE_VARIABLE_DEEP) + $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) +.PHONY: @help-variable-deep +MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@help-variable-deep,"Lists deep variables.") + + +@help-variable-rock: + $$(if $$(filter txt,$$(MB_DOC_FORMAT)),@echo "Documention of the rock make variables;\\n") + $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) + @echo $$(MB_DOC_FIRE_VARIABLE_ROCK) + $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) +.PHONY: @help-variable-rock +MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@help-variable-rock,"Lists rock variables.") + + +@help-variable-flow: + $$(if $$(filter txt,$$(MB_DOC_FORMAT)),@echo "Documention of the flow make variables;\\n") + $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) + @echo $$(MB_DOC_FIRE_VARIABLE_FLOW) + $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) +.PHONY: @help-variable-flow +MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@help-variable-flow,"Lists flow variables.") + + +@help-function: + $$(if $$(filter txt,$$(MB_DOC_FORMAT)),@echo "Documention of the make fire functions;\\n") + $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) + @echo $$(MB_DOC_FIRE_FUNCTION) + $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) +.PHONY: @help-function +MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@help-function,"Lists build functions.") + + +@help-function-deep: + $$(if $$(filter txt,$$(MB_DOC_FORMAT)),@echo "Documention of the deep make functions;\\n") + $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) + @echo $$(MB_DOC_FIRE_FUNCTION_DEEP) + $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) +.PHONY: @help-function-deep +MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@help-function-deep,"Lists deep functions.") + + +@help-function-flow: + $$(if $$(filter txt,$$(MB_DOC_FORMAT)),@echo "Documention of the flow make functions;\\n") + $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) + @echo $$(MB_DOC_FIRE_FUNCTION_FLOW) + $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) +.PHONY: @help-function-flow +MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@help-function-flow,"Lists flow functions.") + + +@help-target: + $$(if $$(filter txt,$$(MB_DOC_FORMAT)),@echo "Build one of the following make fire targets;\\n") + $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) + @echo $$(MB_DOC_FIRE_TARGET) + $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) +.PHONY: @help-target +MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@help-target,"Lists build targets.") + + +@help-target-deep: + $$(if $$(filter txt,$$(MB_DOC_FORMAT)),@echo "Build one of the following deep make targets;\\n") + $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) + @echo $$(MB_DOC_FIRE_TARGET_DEEP) + $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) +.PHONY: @help-target-deep +MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@help-target-deep,"Lists deep targets.") + + +@help-all: + $$(if $$(filter txt,$$(MB_DOC_FORMAT)),@echo "Documention of all fire functions/variables/targets;\\n") + $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) + $$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_make_echo_good,"Variables\\n")) + @echo $$(MB_DOC_FIRE_VARIABLE) + $$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_make_echo_good,"Variables in the deep\\n")) + @echo $$(MB_DOC_FIRE_VARIABLE_DEEP) + $$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_make_echo_good,"Variables hard as rock\\n")) + @echo $$(MB_DOC_FIRE_VARIABLE_ROCK) + $$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_make_echo_good,"Variables defining flow\\n")) + @echo $$(MB_DOC_FIRE_VARIABLE_FLOW) + $$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_make_echo_good,"Functions\\n")) + @echo $$(MB_DOC_FIRE_FUNCTION) + $$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_make_echo_good,"Functions in the deep\\n")) + @echo $$(MB_DOC_FIRE_FUNCTION_DEEP) + $$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_make_echo_good,"Functions building flow\\n")) + @echo $$(MB_DOC_FIRE_FUNCTION_FLOW) + $$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_make_echo_good,"Targets\\n")) + @echo $$(MB_DOC_FIRE_TARGET) + $$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_make_echo_good,"Targets in the deep\\n")) + @echo $$(MB_DOC_FIRE_TARGET_DEEP) + $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) + $$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_make_echo_good,OK)) +.PHONY: @help-all +MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@help-all,"Lists all documented information.") endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_doc_project,"Prints formatted documention of an project (help).","") +MB_DOC_FIRE_FUNCTION_FLOW += $(call mb_make_call,mb_doc_function_flow,mb_doc_flow_help,"Prints flow of fire help target.") -define mb_doc_show_help@all - @echo $(MB_DOC_TXT_BUILD_ALL) -endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_doc_show_help@all,"Displays text for 'make'") - - -define mb_doc_show_help@project - @echo $(MB_DOC_TXT_BUILD_PROJECT) - @echo $(MB_DOC_HELP_PROJECT) -endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_doc_show_help@project,"Displays text for 'make help'") - - -define mb_doc_show_help@target - $(if $(filter txt,$(MB_DOC_FORMAT)),@echo $(MB_DOC_TXT_BUILD_TARGET)) - $(if $(filter xml,$(MB_DOC_FORMAT)),@echo $(call _mb_doc_xml_open,firemake)) - @echo $(MB_DOC_HELP_TARGET) - $(if $(filter xml,$(MB_DOC_FORMAT)),@echo $(call _mb_doc_xml_close,firemake)) -endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_doc_show_help@target,"Displays text for 'make help@target'") - - -define mb_doc_show_help@variable - $(if $(filter txt,$(MB_DOC_FORMAT)),@echo $(MB_DOC_TXT_BUILD_VARIABLE)) - $(if $(filter xml,$(MB_DOC_FORMAT)),@echo $(call _mb_doc_xml_open,firemake)) - @echo $(MB_DOC_HELP_VARIABLE) - $(if $(filter xml,$(MB_DOC_FORMAT)),@echo $(call _mb_doc_xml_close,firemake)) -endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_doc_show_help@variable,"Displays text for 'make help@variable'") - - -define mb_doc_show_help@function - $(if $(filter txt,$(MB_DOC_FORMAT)),@echo $(MB_DOC_TXT_BUILD_FUNCTION)) - $(if $(filter xml,$(MB_DOC_FORMAT)),@echo $(call _mb_doc_xml_open,firemake)) - @echo $(MB_DOC_HELP_FUNCTION) - $(if $(filter xml,$(MB_DOC_FORMAT)),@echo $(call _mb_doc_xml_close,firemake)) -endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_doc_show_help@function,"Displays text for 'make help@function'") diff --git a/lib/make/mb_flight.mk b/lib/make/mb_flight.mk index 46a5a2c..c2d75eb 100644 --- a/lib/make/mb_flight.mk +++ b/lib/make/mb_flight.mk @@ -1,21 +1,21 @@ MB_FLIGHT_SCREEN ?= off -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_FLIGHT_SCREEN,"Creates screenshots on exit of openMSX.") +MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_FLIGHT_SCREEN,"Creates screenshots on exit of openMSX.") MB_FLIGHT_VIDEO ?= off -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_FLIGHT_VIDEO,"Create video of every build pipe session.") +MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_FLIGHT_VIDEO,"Create video of every build pipe session.") MB_FLIGHT_PREFIX ?= msxbuild -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_FLIGHT_PREFIX,"Prefix for video and screenshot flight files.") +MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_FLIGHT_PREFIX,"Prefix for video and screenshot flight files.") MB_FLIGHT_SEPERATOR ?= - -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_FLIGHT_SEPERATOR,"File and index number seperator for flight files.") +MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_FLIGHT_SEPERATOR,"File and index number seperator for flight files.") MB_FLIGHT_RECORD_FLAG ?= -doublesize -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_FLIGHT_RECORD_FLAG,"Command parameter flag of the video recorder.") +MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_FLIGHT_RECORD_FLAG,"Command parameter flag of the video recorder.") MB_FLIGHT_VIDEO_NAME ?= night-flight -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_FLIGHT_VIDEO_NAME,"Video file name of the final result merged video.") +MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_FLIGHT_VIDEO_NAME,"Video file name of the final result merged video.") define mb_flight_video_merge @@ -24,4 +24,4 @@ define mb_flight_video_merge ffmpeg -v quiet -y -f concat -i $(1)/$(MB_FLIGHT_VIDEO_NAME).lst -c copy $(1)/$(MB_FLIGHT_VIDEO_NAME).avi @echo === Flight video completed endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_flight_video_merge,"Merged all flight videos to one.","") +MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_flight_video_merge,"Merged all flight videos to one.","") diff --git a/lib/make/mb_make.mk b/lib/make/mb_make.mk new file mode 100644 index 0000000..448fa0d --- /dev/null +++ b/lib/make/mb_make.mk @@ -0,0 +1,99 @@ + +MB_MAKE_COMMA := , +MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_MAKE_COMMA,"Expanded special char; comma.") + +MB_MAKE_SPACE := $(subst ,, ) +MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_MAKE_SPACE,"Expanded special char; space.") + +MB_MAKE_EQUALS := = +MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_MAKE_EQUALS,"Expanded special char; equals.") + +MB_MAKE_BRACKET_RL := "(" +MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_MAKE_BRACKET_RL,"Expanded special char; bracket round left.") + +MB_MAKE_BRACKET_RR := ")" +MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_MAKE_BRACKET_RR,"Expanded special char; bracket round right.") + +MB_MAKE_BRACKET_SL := "[" +MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_MAKE_BRACKET_SL,"Expanded special char; bracket square left.") + +MB_MAKE_BRACKET_SR := "]" +MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_MAKE_BRACKET_SR,"Expanded special char; bracket square right.") + +MB_MAKE_BRACKET_CL := "{" +MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_MAKE_BRACKET_CL,"Expanded special char; bracket curly left.") + +MB_MAKE_BRACKET_CR := "}" +MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_MAKE_BRACKET_CR,"Expanded special char; bracket curly right.") + +MB_MAKE_GT := ">" +MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_MAKE_GT,"Expanded special char; greater than.") + +MB_MAKE_LT := "<" +MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_MAKE_LT,"Expanded special char; lesser than.") + +MB_MAKE_CDATA_START := "" +#skip_print_todo...MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_MAKE_CDATA_END,"Expanded special char; XML cdata end.") + +MB_MAKE_ECHO_CRAYON ?= "===" +MB_DOC_FIRE_VARIABLE_DEEP += $(call mb_make_call,mb_doc_variable_deep,MB_MAKE_ECHO_CRAYON,"Crayon marker for result step output echo's.") + + +define mb_make_rwildcard +$(foreach d,$(wildcard $1*),$(call mb_make_rwildcard,$d/,$2) $(filter $(subst *,%,$2),$d)) +endef +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_make_rwildcard,"Recursive wildcard search."," ") + + +define mb_make_call +$(if $(filter file,$(origin $(1))),$(call $(1),$(2),$(3),$(4),$(5),$(6),$(7),$(8),$(9)),$(error $(1) is not defined)) +endef +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_make_call,"Checked origin call function wrapper."," [args...]") + + +define mb_make_lowercase +$(subst A,a,$(subst B,b,$(subst C,c,$(subst D,d,$(subst E,e,$(subst F,f,$(subst G,g,$(subst H,h,$(subst I,i,$(subst J,j,$(subst K,k,$(subst L,l,$(subst M,m,$(subst N,n,$(subst O,o,$(subst P,p,$(subst Q,q,$(subst R,r,$(subst S,s,$(subst T,t,$(subst U,u,$(subst V,v,$(subst W,w,$(subst X,x,$(subst Y,y,$(subst Z,z,$(1))))))))))))))))))))))))))) +endef +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_make_lowercase,"Converts ascii string to lowercase.","") + + +define mb_make_xml_open +$(MB_MAKE_LT)$(1)$(if $(2), $(2)=\"$(3)\")$(if $(4), $(4)=\"$(5)\")$(MB_MAKE_GT) +endef +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_make_xml_open,"Print xml open tag."," [attr1-name] [attr1-value] [attr2-name] [attr2-value]") + + +define mb_make_xml_close +$(MB_MAKE_LT)/$(1)$(MB_MAKE_GT) +endef +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_make_xml_close,"Print xml close tag.","") + + +define mb_make_xml_tag_value +$(if $(2),$(call mb_make_call,mb_make_xml_open,$(1))$(MB_MAKE_CDATA_START)$(2)$(MB_MAKE_CDATA_END)$(call mb_make_call,mb_make_xml_close,$(1))) +endef +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_make_xml_tag_value,"Print xml tag with value inside."," [value]") + + +define mb_make_echo_good + $(if $(filter -1,$(MB_COLORS)),@echo $(MB_MAKE_ECHO_CRAYON) $(1),/usr/bin/echo -e "\x1B[32m$(MB_MAKE_ECHO_CRAYON)$$1\x1B[39m $(1)") +endef +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_make_echo_good,"Echo's an message to stdout with 'green' crayon.","") + + +define mb_make_echo_fail + $(if $(filter -1,$(MB_COLORS)),@echo $(MB_MAKE_ECHO_CRAYON) $(1),/usr/bin/echo -e "\x1B[31m$(MB_MAKE_ECHO_CRAYON)$$1\x1B[39m $(1)") +endef +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_make_echo_fail,"Echo's an message to stdout with 'red' crayon.","") + + +define mb_make_flow_0module +include $$(call mb_make_call,mb_make_rwildcard,$(1),*/0module.mk) +endef +MB_DOC_FIRE_FUNCTION_FLOW += $(call mb_make_call,mb_doc_function_flow,mb_make_flow_0module,"Prints flow of recursive 0module.mk include.") + + + diff --git a/lib/make/mb_msxhub.mk b/lib/make/mb_msxhub.mk index e748fef..e342364 100644 --- a/lib/make/mb_msxhub.mk +++ b/lib/make/mb_msxhub.mk @@ -1,9 +1,9 @@ MB_MSXHUB_API ?= https://msxhub.com/api -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_MSXHUB_API,"Resource identifier for msxhub package rest api.") +MB_DOC_FIRE_VARIABLE_DEEP += $(call mb_make_call,mb_doc_variable_deep,MB_MSXHUB_API,"Resource identifier for msxhub package rest api.") MB_MSXHUB_CACHE ?= $(MB_CACHE)/msxbuild/msxhub -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_MSXHUB_CACHE,"Cache storage location.") +MB_DOC_FIRE_VARIABLE_DEEP += $(call mb_make_call,mb_doc_variable_deep,MB_MSXHUB_CACHE,"Cache storage location.") define _mb_msxhub_file_fetch @@ -16,9 +16,9 @@ endef # NOTE: with HDD import/export we get duplicate files after openMSX run, so force to lowercase. define mb_msxhub_file $(if $(wildcard $(MB_MSXHUB_CACHE)/$(2)),,$(call _mb_msxhub_file_fetch,$(MB_MSXHUB_API)/$(2))) - $(if $(wildcard $(1)/$(call _mb_lowercase,$(notdir $(2)))),,$(call mb_copy,$(MB_MSXHUB_CACHE)/$(2),$(1)/$(call _mb_lowercase,$(notdir $(2))))) + $(if $(wildcard $(1)/$(call mb_make_lowercase,$(notdir $(2)))),,$(call mb_copy,$(MB_MSXHUB_CACHE)/$(2),$(1)/$(call mb_make_lowercase,$(notdir $(2))))) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxhub_file,"Installs msxsub packages from slug into dir."," ") +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxhub_file,"Installs msxsub packages from slug into dir."," ") # @@ -28,21 +28,21 @@ define mb_msxhub_get_msxdos1_boot $(call mb_msxhub_file,$(1),MSXDOS1/1.03-2/get/MSXDOS1/MSXDOS.SYS) $(call mb_msxhub_file,$(1),MSXDOS1/1.03-2/get/MSXDOS1/COMMAND.COM) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxhub_get_msxdos1_boot,"Installs msxdos1 boot files into dir.","") +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxhub_get_msxdos1_boot,"Installs msxdos1 boot files into dir.","") define mb_msxhub_get_msxdos2_boot $(call mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/MSXDOS2.SYS) $(call mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/COMMAND2.COM) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxhub_get_msxdos2_boot,"Installs msxdos2 boot files into dir.","") +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxhub_get_msxdos2_boot,"Installs msxdos2 boot files into dir.","") define mb_msxhub_get_nextor_boot $(call mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/NEXTOR.SYS) $(call mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/COMMAND2.COM) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxhub_get_nextor_boot,"Installs nextor boot files into dir.","") +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxhub_get_nextor_boot,"Installs nextor boot files into dir.","") # @@ -57,7 +57,7 @@ define mb_msxhub_get_msxdos2_utils $(call mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/XDIR.COM) $(call mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/KMODE.COM) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxhub_get_msxdos2_utils,"Installs msxdos2 util files into dir.","") +MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxhub_get_msxdos2_utils,"Installs msxdos2 util files into dir.","") define mb_msxhub_get_nextor_utils @@ -75,7 +75,7 @@ define mb_msxhub_get_nextor_utils $(call mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/NEXBOOT.COM) $(call mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/CONCLUS.COM) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxhub_get_nextor_utils,"Installs nextor util files into dir.","") +MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxhub_get_nextor_utils,"Installs nextor util files into dir.","") # @@ -87,7 +87,7 @@ define mb_msxhub_get_macro80 $(call mb_msxhub_file,$(1),MACRO80/2.0-1/get/MACRO80/LIB80.COM) $(call mb_msxhub_file,$(1),MACRO80/2.0-1/get/MACRO80/M80.COM) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxhub_get_macro80,"Installs macro80 package into dir.","") +MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxhub_get_macro80,"Installs macro80 package into dir.","") define mb_msxhub_get_z80asmuk @@ -101,7 +101,7 @@ define mb_msxhub_get_z80asmuk $(call mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/ZSMPP.COM) $(call mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/HEXTOBIN.COM) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxhub_get_z80asmuk,"Installs z80asmuk package into dir.","") +MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxhub_get_z80asmuk,"Installs z80asmuk package into dir.","") define mb_msxhub_get_wbass2 @@ -109,14 +109,14 @@ define mb_msxhub_get_wbass2 $(call mb_msxhub_file,$(1),WBASS2/2.0-1/get/WBASS2/wbass2.bin) $(call mb_msxhub_file,$(1),WBASS2/2.0-1/get/WBASS2/wbass2.bas) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxhub_get_wbass2,"Installs wbass2 package into dir.","") +MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxhub_get_wbass2,"Installs wbass2 package into dir.","") define mb_msxhub_get_konpass $(call mb_msxhub_file,$(1),KONPASS/1.3.0-1/get/KONPASS/KONPASS.COM) $(call mb_msxhub_file,$(1),KONPASS/1.3.0-1/get/KONPASS/KONPASS.DAT) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxhub_get_konpass,"Installs konpass package into dir.","") +MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxhub_get_konpass,"Installs konpass package into dir.","") # @@ -130,37 +130,37 @@ define mb_msxhub_get_pmarc $(call mb_msxhub_file,$(1),PMARC/2.0-1/get/PMARC/PMSET.COM) $(call mb_msxhub_file,$(1),PMARC/2.0-1/get/PMARC/PMSFX2.COM) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxhub_get_pmarc,"Installs pmarc package into dir.","") +MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxhub_get_pmarc,"Installs pmarc package into dir.","") define mb_msxhub_get_lhpack $(call mb_msxhub_file,$(1),LHPACK/1.00-1/get/LHPACK/lhpack.com) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxhub_get_lhpack,"Installs lhpack package into dir.","") +MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxhub_get_lhpack,"Installs lhpack package into dir.","") define mb_msxhub_get_lhext $(call mb_msxhub_file,$(1),LHEXT/1.34-2/get/LHEXT/lhext.com) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxhub_get_lhext,"Installs lhext package into dir.","") +MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxhub_get_lhext,"Installs lhext package into dir.","") define mb_msxhub_get_gunzip $(call mb_msxhub_file,$(1),GUNZIP/1.1-1/get/GUNZIP/gunzip.com) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxhub_get_gunzip,"Installs gunzip package into dir.","") +MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxhub_get_gunzip,"Installs gunzip package into dir.","") define mb_msxhub_get_tunzip $(call mb_msxhub_file,$(1),TUNZIP/0.91-1/get/TUNZIP/tunzip.com) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxhub_get_tunzip,"Installs tunzip package into dir.","") +MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxhub_get_tunzip,"Installs tunzip package into dir.","") define mb_msxhub_get_popcom $(call mb_msxhub_file,$(1),POPCOM/1.00-2/get/POPCOM/popcom.com) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxhub_get_popcom,"Installs popcom package into dir.","") +MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxhub_get_popcom,"Installs popcom package into dir.","") # @@ -169,43 +169,43 @@ MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxhub_get_popcom,"Installs po define mb_msxhub_get_make $(call mb_msxhub_file,$(1),MAKE/2.00-1/get/MAKE/MAKE.COM) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxhub_get_make,"Installs make package into dir.","") +MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxhub_get_make,"Installs make package into dir.","") define mb_msxhub_get_adir $(call mb_msxhub_file,$(1),ADIR/1.3-1/get/ADIR/adir.com) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxhub_get_adir,"Installs adir package into dir.","") +MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxhub_get_adir,"Installs adir package into dir.","") define mb_msxhub_get_turbo $(call mb_msxhub_file,$(1),TURBO/2.1-1/get/TURBO/turbo.com) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxhub_get_turbo,"Installs turbo package into dir.","") +MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxhub_get_turbo,"Installs turbo package into dir.","") define mb_msxhub_get_baskom $(call mb_msxhub_file,$(1),BASKOM/1.0-1/get/BASKOM/baskom.com) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxhub_get_baskom,"Installs baskom package into dir.","") +MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxhub_get_baskom,"Installs baskom package into dir.","") define mb_msxhub_get_binldr $(call mb_msxhub_file,$(1),BINLDR/1.0.2-1/get/BINLDR/BINLDR.COM) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxhub_get_binldr,"Installs binldr package into dir.","") +MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxhub_get_binldr,"Installs binldr package into dir.","") define mb_msxhub_get_dmphex $(call mb_msxhub_file,$(1),DMPHEX/1.00-1/get/DMPHEX/dmphex.com) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxhub_get_dmphex,"Installs dmphex package into dir.","") +MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxhub_get_dmphex,"Installs dmphex package into dir.","") define mb_msxhub_get_zd $(call mb_msxhub_file,$(1),ZD/3.30-1/get/ZD/zd.com) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxhub_get_zd,"Installs zd package into dir.","") +MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxhub_get_zd,"Installs zd package into dir.","") define mb_msxhub_get_msxdos2t @@ -233,7 +233,7 @@ define mb_msxhub_get_msxdos2t $(call mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/VIEW.COM) $(call mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/WC.COM) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxhub_get_msxdos2t,"Installs msxdos2t package into dir.","") +MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxhub_get_msxdos2t,"Installs msxdos2t package into dir.","") # @@ -242,4 +242,4 @@ MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxhub_get_msxdos2t,"Installs define mb_msxhub_get_gfxage $(call mb_msxhub_file,$(1),GFXAGE/0.99-1/get/GFXAGE/gfxage.com) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxhub_get_gfxage,"Installs gfxage package into dir.","") +MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxhub_get_gfxage,"Installs gfxage package into dir.","") diff --git a/lib/make/mb_msxpipe.mk b/lib/make/mb_msxpipe.mk index 3de73db..98efc78 100644 --- a/lib/make/mb_msxpipe.mk +++ b/lib/make/mb_msxpipe.mk @@ -1,60 +1,60 @@ MB_MSXPIPE_COLOR_FG_SAFE_CMD ?= 116 -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_MSXPIPE_COLOR_FG_SAFE_CMD,"Foreground color of safe command session pipe.") +MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_MSXPIPE_COLOR_FG_SAFE_CMD,"Foreground color of safe command session pipe.") MB_MSXPIPE_COLOR_BG_SAFE_CMD ?= 000 -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_MSXPIPE_COLOR_BG_SAFE_CMD,"Background color of safe command session pipe.") +MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_MSXPIPE_COLOR_BG_SAFE_CMD,"Background color of safe command session pipe.") MB_MSXPIPE_COLOR_FG_SAFE_TEST ?= 511 -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_MSXPIPE_COLOR_FG_SAFE_TEST,"Foreground color of safe test session pipe.") +MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_MSXPIPE_COLOR_FG_SAFE_TEST,"Foreground color of safe test session pipe.") MB_MSXPIPE_COLOR_BG_SAFE_TEST ?= 000 -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_MSXPIPE_COLOR_BG_SAFE_TEST,"Background color of safe test session pipe.") +MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_MSXPIPE_COLOR_BG_SAFE_TEST,"Background color of safe test session pipe.") MB_MSXPIPE_COLOR_FG_RUN_GUI ?= 151 -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_MSXPIPE_COLOR_FG_RUN_GUI,"Foreground color of run gui session pipe.") +MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_MSXPIPE_COLOR_FG_RUN_GUI,"Foreground color of run gui session pipe.") MB_MSXPIPE_COLOR_BG_RUN_GUI ?= 000 -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_MSXPIPE_COLOR_BG_RUN_GUI,"Background color of run gui session pipe.") +MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_MSXPIPE_COLOR_BG_RUN_GUI,"Background color of run gui session pipe.") define mb_msxpipe_safe_cmd - $(call mb_autoexec_write_default,$(1),$(MB_MSXPIPE_COLOR_FG_SAFE_CMD),$(MB_MSXPIPE_COLOR_BG_SAFE_CMD)) - $(call mb_autoexec_append_safe_cmd,$(1),$(2)) - $(call mb_autoexec_append_exit,$(1)) - $(call mb_openmsx_dosctl,$(1),$(3)) + $(call mb_make_call,mb_autoexec_write_default,$(1),$(MB_MSXPIPE_COLOR_FG_SAFE_CMD),$(MB_MSXPIPE_COLOR_BG_SAFE_CMD)) + $(call mb_make_call,mb_autoexec_append_safe_cmd,$(1),$(2)) + $(call mb_make_call,mb_autoexec_append_exit,$(1)) + $(call mb_make_call,mb_openmsx_dosctl,$(1),$(3)) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxpipe_safe_cmd,"Runs openMSX and safely executes one command."," [machine]") +MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxpipe_safe_cmd,"Runs openMSX and safely executes one command."," [machine]") define mb_msxpipe_safe_test - $(call mb_autoexec_write_default,$(1),$(MB_MSXPIPE_COLOR_FG_SAFE_TEST),$(MB_MSXPIPE_COLOR_BG_SAFE_TEST)) - $(call mb_autoexec_append_safe_test,$(1),$(2)) - $(call mb_autoexec_append_exit,$(1)) - $(call mb_openmsx_dosctl,$(1),$(3)) + $(call mb_make_call,mb_autoexec_write_default,$(1),$(MB_MSXPIPE_COLOR_FG_SAFE_TEST),$(MB_MSXPIPE_COLOR_BG_SAFE_TEST)) + $(call mb_make_call,mb_autoexec_append_safe_test,$(1),$(2)) + $(call mb_make_call,mb_autoexec_append_exit,$(1)) + $(call mb_make_call,mb_openmsx_dosctl,$(1),$(3)) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxpipe_safe_test,"Runs openMSX and safely executes one test."," [machine]") +MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxpipe_safe_test,"Runs openMSX and safely executes one test."," [machine]") define _mb_msxpipe_run_gui - $(call mb_autoexec_write_default,$(1),$(MB_MSXPIPE_COLOR_FG_RUN_GUI),$(MB_MSXPIPE_COLOR_BG_RUN_GUI)) - $(if $(filter mouse,$(4)),$(call mb_autoexec_append_plug_porta,$(1),$(4))) - $(call mb_autoexec_append_stop_fail,$(1)) - $(call mb_autoexec_append_show_gui,$(1)) - $(call mb_autoexec_append_echo,$(1),mb::help Run shutdown to exit) - $(if $(2),$(call mb_autoexec_append_echo,$(1),mb::auto command $(2))) - $(if $(2),$(call mb_autoexec_append_cmd,$(1),$(2))) - $(call mb_openmsx_dosctl,$(1),$(3)) + $(call mb_make_call,mb_autoexec_write_default,$(1),$(MB_MSXPIPE_COLOR_FG_RUN_GUI),$(MB_MSXPIPE_COLOR_BG_RUN_GUI)) + $(if $(filter mouse,$(4)),$(call mb_make_call,mb_autoexec_append_plug_porta,$(1),$(4))) + $(call mb_make_call,mb_autoexec_append_stop_fail,$(1)) + $(call mb_make_call,mb_autoexec_append_show_gui,$(1)) + $(call mb_make_call,mb_autoexec_append_echo,$(1),mb::help Run shutdown to exit) + $(if $(2),$(call mb_make_call,mb_autoexec_append_echo,$(1),mb::auto command $(2))) + $(if $(2),$(call mb_make_call,mb_autoexec_append_cmd,$(1),$(2))) + $(call mb_make_call,mb_openmsx_dosctl,$(1),$(3)) endef define mb_msxpipe_run_gui - $(call _mb_msxpipe_run_gui,$(1),$(2),$(3)) + $(call mb_make_call,_mb_msxpipe_run_gui,$(1),$(2),$(3)) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxpipe_run_gui,"Runs openMSX forever with renderer and optionals."," [cmd] [machine]") +MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxpipe_run_gui,"Runs openMSX forever with renderer and optionals."," [cmd] [machine]") define mb_msxpipe_run_gui_mouse - $(call _mb_msxpipe_run_gui,$(1),$(2),$(3),mouse) + $(call mb_make_call,_mb_msxpipe_run_gui,$(1),$(2),$(3),mouse) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxpipe_run_gui_mouse,"Runs openMSX forever with renderer and mouse and optionals."," [cmd] [machine]") +MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxpipe_run_gui_mouse,"Runs openMSX forever with renderer and mouse and optionals."," [cmd] [machine]") diff --git a/lib/make/mb_msxrom.mk b/lib/make/mb_msxrom.mk index 7880264..d2e38d5 100644 --- a/lib/make/mb_msxrom.mk +++ b/lib/make/mb_msxrom.mk @@ -1,10 +1,10 @@ # TODO: Convert pi MSX number data, the named pie slices to hyperdrive storage for distribution over red sea MB_MSXROM_API ?= https://msxrom.distributedrebirth.love/calc-pi/v19.1 -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_MSXROM_API,"Resource identifier for msxrom pi pie named slices data.") +MB_DOC_FIRE_VARIABLE_DEEP += $(call mb_make_call,mb_doc_variable_deep,MB_MSXROM_API,"Resource identifier for msxrom pi pie named slices data.") MB_MSXROM_CACHE ?= $(MB_CACHE)/msxbuild/msxrom -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_MSXROM_CACHE,"Cache storage location.") +MB_DOC_FIRE_VARIABLE_DEEP += $(call mb_make_call,mb_doc_variable_deep,MB_MSXROM_CACHE,"Cache storage location.") define _mb_msxrom_file_fetch @@ -18,7 +18,7 @@ define mb_msxrom_file $(if $(wildcard $(MB_MSXROM_CACHE)/$(2)),,$(call _mb_msxrom_file_fetch,$(MB_MSXROM_API)/$(2))) $(if $(wildcard $(1)/$(notdir $(2))),,$(call mb_copy,$(MB_MSXROM_CACHE)/$(2),$(1)/$(notdir $(2)))) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxrom_file,"Installs msxroms from slug into an subdir."," ") +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_file,"Installs msxroms from slug into an subdir."," ") define mb_msxrom_setup @@ -28,79 +28,79 @@ define mb_msxrom_setup $(if $(wildcard $(1)-omsx/share/systemroms/machines),,$(call mb_mkdir,$(1)-omsx/share/systemroms/machines)) $(if $(wildcard $(1)-omsx/share/systemroms/extensions),,$(call mb_mkdir,$(1)-omsx/share/systemroms/extensions)) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxrom_setup,"Creates needed systemrom folders.","") +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_setup,"Creates needed systemrom folders.","") define mb_msxrom_extension_ide $(call mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/ide240.dat) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxrom_extension_ide,"Installs sunrise IDE classic rom.","") +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_ide,"Installs sunrise IDE classic rom.","") define mb_msxrom_extension_ide_nextor $(call mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/Nextor-2.1.1.SunriseIDE.ROM) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxrom_extension_ide_nextor,"Installs sunrise IDE nextor rom.","") +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_ide_nextor,"Installs sunrise IDE nextor rom.","") define mb_msxrom_extension_scsi_novaxis $(call mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/novaxis.rom) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxrom_extension_scsi_novaxis,"Installs Gouda SCSI novaxis rom.","") +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_scsi_novaxis,"Installs Gouda SCSI novaxis rom.","") define mb_msxrom_extension_msxdos22 $(call mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/msxdos22.rom) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxrom_extension_msxdos22,"Installs msxdos 2.20 rom.","") +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_msxdos22,"Installs msxdos 2.20 rom.","") define mb_msxrom_extension_rs232 $(call mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/rs232.rom) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxrom_extension_rs232,"Installs rs232 rom.","") +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_rs232,"Installs rs232 rom.","") define mb_msxrom_extension_fmpac $(call mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/fmpac.rom) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxrom_extension_fmpac,"Installs fmpac rom.","") +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_fmpac,"Installs fmpac rom.","") define mb_msxrom_extension_fmpac_en $(call mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/fmpac_en.rom) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxrom_extension_fmpac_en,"Installs fmpac english rom.","") +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_fmpac_en,"Installs fmpac english rom.","") define mb_msxrom_extension_moonsound $(call mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/yrw801.rom) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxrom_extension_moonsound,"Installs moonsound rom.","") +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_moonsound,"Installs moonsound rom.","") define mb_msxrom_extension_basickun $(call mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,machines/sanyo/phc-70fd2_basickun.rom) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxrom_extension_basickun,"Installs basickun compiler rom.","") +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_basickun,"Installs basickun compiler rom.","") define mb_msxrom_machine_Canon_V-20 $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/canon/v-20_basic-bios1.rom) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxrom_machine_Canon_V-20,"Installs machine roms.","") +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Canon_V-20,"Installs machine roms.","") define mb_msxrom_machine_Mitsubishi_ML-F80 $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/mitsubishi/ml-f80_basic-bios1.rom) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxrom_machine_Mitsubishi_ML-F80,"Installs machine roms.","") +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Mitsubishi_ML-F80,"Installs machine roms.","") define mb_msxrom_machine_Mitsubishi_ML-FX1 $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/mitsubishi/ml-fx1_basic-bios1.rom) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxrom_machine_Mitsubishi_ML-FX1,"Installs machine roms.","") +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Mitsubishi_ML-FX1,"Installs machine roms.","") define mb_msxrom_machine_Mitsubishi_ML-G3_ES @@ -109,13 +109,13 @@ define mb_msxrom_machine_Mitsubishi_ML-G3_ES $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/mitsubishi/ml-g3_es_msx2sub.rom) $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/mitsubishi/ml-g3_es_rs232.rom) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxrom_machine_Mitsubishi_ML-G3_ES,"Installs machine roms.","") +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Mitsubishi_ML-G3_ES,"Installs machine roms.","") define mb_msxrom_machine_Philips_VG_8000 $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/philips/vg8000_basic-bios1.rom) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxrom_machine_Philips_VG_8000,"Installs machine roms.","") +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Philips_VG_8000,"Installs machine roms.","") define mb_msxrom_machine_Philips_VG_8230 @@ -123,7 +123,7 @@ define mb_msxrom_machine_Philips_VG_8230 $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/philips/vg8230_disk.rom) $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/philips/vg8230_msx2sub.rom) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxrom_machine_Philips_VG_8230,"Installs machine roms.","") +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Philips_VG_8230,"Installs machine roms.","") define mb_msxrom_machine_Philips_NMS_8250 @@ -131,14 +131,14 @@ define mb_msxrom_machine_Philips_NMS_8250 $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/philips/nms8250_msx2sub.rom) $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/philips/nms8250_disk.rom) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxrom_machine_Philips_NMS_8250,"Installs machine roms.","") +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Philips_NMS_8250,"Installs machine roms.","") define mb_msxrom_machine_Toshiba_HX-21 $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/toshiba/HX21-IC2.BIN) $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/toshiba/HX21-IC3.BIN) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxrom_machine_Toshiba_HX-21,"Installs machine roms.","") +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Toshiba_HX-21,"Installs machine roms.","") define mb_msxrom_machine_Yamaha_AX200 @@ -146,7 +146,7 @@ define mb_msxrom_machine_Yamaha_AX200 $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/yamaha/ax200_arabic.rom) $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/yamaha/ax200_music.rom) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxrom_machine_Yamaha_AX200,"Installs machine roms.","") +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Yamaha_AX200,"Installs machine roms.","") define mb_msxrom_machine_Yamaha_YIS-503IIIR @@ -155,7 +155,7 @@ define mb_msxrom_machine_Yamaha_YIS-503IIIR $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/yamaha/yis503iii_cpm.rom) $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/yamaha/yis503iii_net_2.rom) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxrom_machine_Yamaha_YIS-503IIIR,"Installs machine roms.","") +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Yamaha_YIS-503IIIR,"Installs machine roms.","") define mb_msxrom_machine_Panasonic_FS-A1WSX @@ -167,7 +167,7 @@ define mb_msxrom_machine_Panasonic_FS-A1WSX $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/panasonic/fs-a1wsx_kanjifont.rom) $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/panasonic/fs-a1wsx_msx2psub.rom) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxrom_machine_Panasonic_FS-A1WSX,"Installs machine roms.","") +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Panasonic_FS-A1WSX,"Installs machine roms.","") define mb_msxrom_machine_Boosted_MSX2_EN @@ -176,7 +176,7 @@ define mb_msxrom_machine_Boosted_MSX2_EN $(call mb_msxrom_extension_moonsound,$(1)) $(call mb_msxrom_extension_basickun,$(1)) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxrom_machine_Boosted_MSX2_EN,"Installs machine and extensions roms.","") +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Boosted_MSX2_EN,"Installs machine and extensions roms.","") define mb_msxrom_machine_Boosted_MSX2+_JP @@ -184,4 +184,4 @@ define mb_msxrom_machine_Boosted_MSX2+_JP $(call mb_msxrom_extension_moonsound,$(1)) $(call mb_msxrom_extension_basickun,$(1)) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_msxrom_machine_Boosted_MSX2+_JP,"Installs machine and extensions roms.","") +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Boosted_MSX2+_JP,"Installs machine and extensions roms.","") diff --git a/lib/make/mb_openmsx.mk b/lib/make/mb_openmsx.mk index a1507e3..331a703 100644 --- a/lib/make/mb_openmsx.mk +++ b/lib/make/mb_openmsx.mk @@ -1,36 +1,36 @@ MB_OPENMSX_BOOT_TIMEOUT ?= 25 -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_OPENMSX_BOOT_TIMEOUT,"Timeout in seconds to guard for boot failures.") +MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_OPENMSX_BOOT_TIMEOUT,"Timeout in seconds to guard for boot failures.") MB_OPENMSX_BOOT_OS ?= nextor -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_OPENMSX_BOOT_OS,"The default OS to run$(MB_CHAR_COMMA) valid values are; nextor$(MB_CHAR_COMMA)msxdos1$(MB_CHAR_COMMA)msxdos2") +MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_OPENMSX_BOOT_OS,"The default OS to run$(MB_CHAR_COMMA) valid values are; nextor$(MB_CHAR_COMMA)msxdos1$(MB_CHAR_COMMA)msxdos2") MB_OPENMSX_MACHINE ?= Philips_NMS_8250 -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_OPENMSX_MACHINE,"The default MSX machine to use.",$(MB_OPENMSX_MACHINE)) +MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_OPENMSX_MACHINE,"The default MSX machine to use.",$(MB_OPENMSX_MACHINE)) MB_OPENMSX_MACHINE_RAM ?= ram1mb -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_OPENMSX_MACHINE_RAM,"Extra ram extension for machine allowed: off$(MB_CHAR_COMMA)ram16k$(MB_CHAR_COMMA)ram64k$(MB_CHAR_COMMA)ram512k$(MB_CHAR_COMMA)ram1mb$(MB_CHAR_COMMA)ram2mb$(MB_CHAR_COMMA)ram4mb") +MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_OPENMSX_MACHINE_RAM,"Extra ram extension for machine allowed: off$(MB_CHAR_COMMA)ram16k$(MB_CHAR_COMMA)ram64k$(MB_CHAR_COMMA)ram512k$(MB_CHAR_COMMA)ram1mb$(MB_CHAR_COMMA)ram2mb$(MB_CHAR_COMMA)ram4mb") MB_OPENMSX_SPEED ?= $(if $(findstring turboR,$(MB_OPENMSX_MACHINE)),100,333) -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_OPENMSX_SPEED,"The throttled MSX emulation speed.") +MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_OPENMSX_SPEED,"The throttled MSX emulation speed.") MB_OPENMSX_ARGS ?= -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_OPENMSX_ARGS,"Extra arguments to invoke openMSX with.") +MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_OPENMSX_ARGS,"Extra arguments to invoke openMSX with.") MB_OPENMSX_HDD_SIZE ?= 4m -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_OPENMSX_HDD_SIZE,"The default fire-hdd disk image size.") +MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_OPENMSX_HDD_SIZE,"The default fire-hdd disk image size.") MB_OPENMSX_SCALE_FACTOR ?= 3 -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_OPENMSX_SCALE_FACTOR,"The gui scale factor to display the emulation.") +MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_OPENMSX_SCALE_FACTOR,"The gui scale factor to display the emulation.") MB_OPENMSX_THROTTLE ?= off -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_OPENMSX_THROTTLE,"An on/off flag to control throttling globally.") +MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_OPENMSX_THROTTLE,"An on/off flag to control throttling globally.") MB_OPENMSX_HEADLESS ?= on -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_OPENMSX_HEADLESS,"Controls if openMSX starts headless.") +MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_OPENMSX_HEADLESS,"Controls if openMSX starts headless.") MB_OPENMSX_RENDERER ?= SDLGL-PP -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_OPENMSX_RENDERER,"The gui renderer used to display the window head with.") +MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_OPENMSX_RENDERER,"The gui renderer used to display the window head with.") define mb_openmsx_setup @@ -47,19 +47,20 @@ define mb_openmsx_setup $(if $(wildcard $(1)-omsx/share/scripts/omsxctl.tcl),,$(call mb_msxhub_file,$(1)-omsx/share/scripts,OMSXCTL/1.0-1/get/OMSXCTL/omsxctl.tcl)) $(if $(wildcard $(1)-omsx/share/extensions),,$(call mb_mkdir,$(1)-omsx/share/extensions)) $(if $(wildcard $(1)-omsx/share/extensions/fire-hdd.xml),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/openmsx/share/extensions/fire-hdd.xml,$(1)-omsx/share/extensions)) - $(call mb_msxrom_setup,$(1)) - $(call mb_msxrom_extension_ide_nextor,$(1)) - $(call mb_msxrom_machine_$(2),$(1)) + $(call mb_make_call,mb_msxrom_setup,$(1)) + $(call mb_make_call,mb_msxrom_extension_ide_nextor,$(1)) + $(call mb_make_call,mb_msxrom_machine_$(2),$(1)) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_openmsx_setup,"Setup openMSX local build home folder for machine."," ") - +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_openmsx_setup,"Setup openMSX local build home folder for machine."," ") define _mb_openmsx_run - @echo === openMSX Start for $@ + $(call mb_make_call,mb_make_echo_good,Start openMSX! for $@ on $(2)) + $(if $(wildcard $(1)-omsx/persistent/fire-hdd/untitled1/sync),,$(call mb_make_call,mb_mkdir,$(1)-omsx/persistent/fire-hdd/untitled1/sync)) BOOT_WATCHDOG=$(MB_OPENMSX_BOOT_TIMEOUT) \ FIRE_HDD_PATH="$(1)" \ FIRE_HDD_SIZE=$(MB_OPENMSX_HDD_SIZE) \ FIRE_HDD_IMAGE="$(1)-omsx/persistent/fire-hdd/untitled1/image-hda.dsk" \ + FIRE_HDD_PATH_EXPORT="$(1)-omsx/persistent/fire-hdd/untitled1/sync" \ SCALE_FACTOR=$(MB_OPENMSX_SCALE_FACTOR) \ SPEED=$(MB_OPENMSX_SPEED) \ HEADLESS=$(MB_OPENMSX_HEADLESS) \ @@ -81,7 +82,7 @@ define _mb_openmsx_run $(if $(filter ram4mb,$(3)),-ext ram4mb) \ $(MB_OPENMSX_ARGS) \ -control stdio < $(1)-omsx/stdio.xml - @echo === openMSX Done for $@ + rsync --checksum --recursive "$(1)-omsx/persistent/fire-hdd/untitled1/sync/" "$(1)/" endef @@ -95,9 +96,9 @@ endef define mb_openmsx_dosctl - $(call mb_openmsx_setup,$(1),$(if $(2),$(2),$(MB_OPENMSX_MACHINE))) - $(if $(wildcard $(1)/utils),$(call _mb_openmsx_dosctl_bat,$(1)/utils),$(call _mb_openmsx_dosctl_bat,$(1))) - $(if $(wildcard $(1)/command.com),,$(if $(wildcard $(1)/command2.com),,$(call mb_msxhub_get_$(MB_OPENMSX_BOOT_OS)_boot,$(1)))) - $(call _mb_openmsx_run,$(1),$(if $(2),$(2),$(MB_OPENMSX_MACHINE)),$(if $(3),$(3),$(MB_OPENMSX_MACHINE_RAM))) + $(call mb_make_call,mb_openmsx_setup,$(1),$(if $(2),$(2),$(MB_OPENMSX_MACHINE))) + $(if $(wildcard $(1)/utils),$(call mb_make_call,_mb_openmsx_dosctl_bat,$(1)/utils),$(call mb_make_call,_mb_openmsx_dosctl_bat,$(1))) + $(if $(wildcard $(1)/command.com),,$(if $(wildcard $(1)/command2.com),,$(call mb_make_call,mb_msxhub_get_$(MB_OPENMSX_BOOT_OS)_boot,$(1)))) + $(call mb_make_call,_mb_openmsx_run,$(1),$(if $(2),$(2),$(MB_OPENMSX_MACHINE)),$(if $(3),$(3),$(MB_OPENMSX_MACHINE_RAM))) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_openmsx_dosctl,"Starts openMSX with dos controller."," [machine] [mem-ext]") +MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_openmsx_dosctl,"Starts openMSX with dos controller."," [machine] [mem-ext]") diff --git a/lib/make/mb_sdcc.mk b/lib/make/mb_sdcc.mk index 87bec26..6769751 100644 --- a/lib/make/mb_sdcc.mk +++ b/lib/make/mb_sdcc.mk @@ -1,18 +1,18 @@ MB_SDCC_FLAG_CPU ?= -mz80 -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_SDCC_FLAG_CPU,"The SDCC cpu target option.") +MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_SDCC_FLAG_CPU,"The SDCC cpu target option.") MB_SDCC_FLAG_LD ?= --nostdinc -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_SDCC_FLAG_LD,"The SDCC linker option.") +MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_SDCC_FLAG_LD,"The SDCC linker option.") MB_SDCC_ASZ80_FLAGS ?= -g -l -c -o -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_SDCC_ASZ80_FLAGS,"The SDCC z80 asm compiler flags.") +MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_SDCC_ASZ80_FLAGS,"The SDCC z80 asm compiler flags.") MB_SDCC_CC_FLAGS ?= -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_SDCC_CC_FLAGS,"The SDCC C compiler flags.") +MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_SDCC_CC_FLAGS,"The SDCC C compiler flags.") MB_SDCC_AR_FLAGS ?= -rc -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_SDCC_AR_FLAGS,"The SDCC module archive flags.") +MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_SDCC_AR_FLAGS,"The SDCC module archive flags.") # TODO: Add C + mixed support; @@ -21,70 +21,70 @@ MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,MB_SDCC_AR_FLAGS,"The SDCC module define mb_sdcc_compile_asm - @echo === SDCC Compile module asm for $(2) + $(call mb_make_call,mb_make_echo_good,SDCC Compile module asm for $(2)) $(PATH_SDCC)/sdasz80 $(MB_SDCC_ASZ80_FLAGS) $(2) $(1) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_sdcc_compile_asm,"Compiles asm source code."," ") +MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_sdcc_compile_asm,"Compiles asm source code."," ") define mb_sdcc_link_asm_lib - @echo === SDCC Link module asm lib for $(2) + $(call mb_make_call,mb_make_echo_good,SDCC Link module asm lib for $(2)) $(PATH_SDCC)/sdar $(MB_SDCC_AR_FLAGS) $(1) $(2) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_sdcc_link_asm_lib,"Link asm lib module."," ") +MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_sdcc_link_asm_lib,"Link asm lib module."," ") define mb_sdcc_link_asm - @echo === SDCC Link asm module at $(3) for $(2) + $(call mb_make_call,mb_make_echo_good,SDCC Link asm module at $(3) for $(2)) $(PATH_SDCC)/sdcc $(MB_SDCC_FLAG_CPU) $(MB_SDCC_CC_FLAGS) --no-std-crt0 --code-loc $(3) -o $(2) $(1) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_sdcc_link_asm,"Links asm to custom code location."," ") +MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_sdcc_link_asm,"Links asm to custom code location."," ") define mb_sdcc_link_asm_0000 $(call mb_sdcc_link_asm,$(1),$(2),0x0000) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_sdcc_link_asm_0000,"Links asm to 0x0000."," ") +MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_sdcc_link_asm_0000,"Links asm to 0x0000."," ") define mb_sdcc_link_asm_0100 $(call mb_sdcc_link_asm,$(1),$(2),0x0100) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_sdcc_link_asm_0100,"Links asm to 0x0100."," ") +MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_sdcc_link_asm_0100,"Links asm to 0x0100."," ") define mb_sdcc_link_asm_1000 $(call mb_sdcc_link_asm,$(1),$(2),0x1000) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_sdcc_link_asm_1000,"Links asm to 0x1000."," ") +MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_sdcc_link_asm_1000,"Links asm to 0x1000."," ") define mb_sdcc_link_asm_4000 $(call mb_sdcc_link_asm,$(1),$(2),0x4000) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_sdcc_link_asm_4000,"Links asm to 0x4000."," ") +MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_sdcc_link_asm_4000,"Links asm to 0x4000."," ") define mb_sdcc_link_asm_8000 $(call mb_sdcc_link_asm,$(1),$(2),0x8000) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_sdcc_link_asm_8000,"Links asm to 0x8000."," ") +MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_sdcc_link_asm_8000,"Links asm to 0x8000."," ") define mb_sdcc_link_asm_C000 $(call mb_sdcc_link_asm,$(1),$(2),0xC000) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_sdcc_link_asm_C000,"Links asm to 0xC000."," ") +MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_sdcc_link_asm_C000,"Links asm to 0xC000."," ") define mb_sdcc_link_asm_bdos $(call mb_sdcc_link_asm_0100,$(1),$(2)) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_sdcc_link_asm_bdos,"Links asm to BDOS."," ") +MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_sdcc_link_asm_bdos,"Links asm to BDOS."," ") define mb_sdcc_hex2bin - @echo === SDCC hex2bin for $(2) + $(call mb_make_call,mb_make_echo_good,SDCC hex2bin for $(2)) $(PATH_SDCC)/sdobjcopy -I ihex --output-target=binary $(1) $(2) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_sdcc_hex2bin,"Converts an hex file to binary."," ") +MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_sdcc_hex2bin,"Converts an hex file to binary."," ") diff --git a/lib/make/msxbuild.mk b/lib/make/msxbuild.mk index 29b3974..85f9daf 100644 --- a/lib/make/msxbuild.mk +++ b/lib/make/msxbuild.mk @@ -10,6 +10,7 @@ PATH_MSXBUILD_REAL := $(if $(realpath $(PATH_MSXBUILD)),$(realpath $(PATH_MSXBUI # Include extra features include $(PATH_MSXBUILD)/lib/make/mb_doc.mk +include $(PATH_MSXBUILD)/lib/make/mb_make.mk include $(PATH_MSXBUILD)/lib/make/mb_sdcc.mk include $(PATH_MSXBUILD)/lib/make/mb_flight.mk include $(PATH_MSXBUILD)/lib/make/mb_msxrom.mk @@ -27,6 +28,7 @@ ifeq ($(OS),Windows_NT) MB_ERRIGNORE = 2>NUL || true MB_SEP=\\ MB_CACHE ?= %LOCALAPPDATA% + MB_COLORS ?= -1 else MB_RM = rm -f MB_RMDIR = rm -rf @@ -35,70 +37,58 @@ else MB_ERRIGNORE = 2>/dev/null MB_SEP=/ MB_CACHE ?= ~/.cache + MB_COLORS ?= $(shell tput colors 2> /dev/null;test $$? -eq 0 || echo "-1") endif # Remove space after separator MB_PSEP = $(strip $(MB_SEP)) # Special chars call arguments (like for l80.com) -MB_CHAR_COMMA := "," +MB_CHAR_COMMA := , MB_CHAR_SPACE := $(subst ,, ) -MB_CHAR_GT := ">" -MB_CHAR_LT := "<" -MB_CHAR_CDATA_START := "" -define mb_rwildcard - $(foreach d,$(wildcard $1*),$(call mb_rwildcard,$d/,$2) $(filter $(subst *,%,$2),$d)) -endef - - -# Simple inline ascii lowercase -define _mb_lowercase -$(subst A,a,$(subst B,b,$(subst C,c,$(subst D,d,$(subst E,e,$(subst F,f,$(subst G,g,$(subst H,h,$(subst I,i,$(subst J,j,$(subst K,k,$(subst L,l,$(subst M,m,$(subst N,n,$(subst O,o,$(subst P,p,$(subst Q,q,$(subst R,r,$(subst S,s,$(subst T,t,$(subst U,u,$(subst V,v,$(subst W,w,$(subst X,x,$(subst Y,y,$(subst Z,z,$(1))))))))))))))))))))))))))) -endef define mb_clean - @echo === Cleaning build folder + $(call mb_make_call,mb_make_echo_good,Cleaning build folder $(1)) $(MB_RMDIR) $(1) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_clean,"Removed the full folder.","") +MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_clean,"Removed the full folder.","") define mb_mkdir $(MB_MKDIR) $(1) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_mkdir,"Creates an folder.","") +MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_mkdir,"Creates an folder.","") define mb_delete $(MB_RM) $(1) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_delete,"Deletes an file.","") +MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_delete,"Deletes an file.","") define mb_copy $(MB_COPY) $(1) $(2) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_copy,"Copy an file."," ") +MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_copy,"Copy an file."," ") define mb_unix2dos unix2dos -q -n $(1) $(2) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_unix2dos,"Converts an unix file to dos."," ") +MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_unix2dos,"Converts an unix file to dos."," ") define mb_dos2unix dos2unix -q -n $(1) $(2) endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_dos2unix,"Converts an dos file to unix."," ") +MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_dos2unix,"Converts an dos file to unix."," ") define mb_create_dist - @echo === Creating distribution archive + $(call mb_make_call,mb_make_echo_good,Creating distribution archive $(2)) tar -czf $(2) -C $(1) `ls $(1)` endef -MB_DOC_HELP_FUNCTION += $(call mb_doc_function,mb_create_dist,"Create an distribution archive."," ") +MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_create_dist,"Create an distribution archive."," ") diff --git a/src/0module.mk b/src/0module.mk deleted file mode 100644 index 4544789..0000000 --- a/src/0module.mk +++ /dev/null @@ -1,19 +0,0 @@ - -help: - $(call mb_doc_show_help@project) -.PHONY: help - -help@target: - $(call mb_doc_show_help@target) -MB_DOC_HELP_PROJECT += $(call mb_doc_project,help@target) -.PHONY: help@target - -help@function: - $(call mb_doc_show_help@function) -MB_DOC_HELP_PROJECT += $(call mb_doc_project,help@function) -.PHONY: help@function - -help@variable: - $(call mb_doc_show_help@variable) -MB_DOC_HELP_PROJECT += $(call mb_doc_project,help@variable) -.PHONY: help@variable diff --git a/src/0module/assert-all/0module.mk b/src/0module/assert-all/0module.mk index 638aa8a..70dd07f 100644 --- a/src/0module/assert-all/0module.mk +++ b/src/0module/assert-all/0module.mk @@ -11,6 +11,7 @@ bin/mbboot80-test/@assert $(PATH_BIN)/@assert-all: | $(ASSERT_ALL_DEPS) @echo === All assertions completed - $(if $(filter on,$(MB_FLIGHT_VIDEO)),$(call mb_flight_video_merge,$(PATH_BIN))) -MB_DOC_HELP_TARGET += $(call mb_doc_target,$(PATH_BIN)/@assert-all,"Asserts all assertions tests.") + @echo === All $(MB_DEP_ALL_ASSERT) + $(if $(filter on,$(MB_FLIGHT_VIDEO)),$(call mb_make_call,mb_flight_video_merge,$(PATH_BIN))) +MB_DOC_FIRE_TARGET += $(call mb_make_call,mb_doc_target,$(PATH_BIN)/@assert-all,"Asserts all assertions tests.") .PHONY: $(PATH_BIN)/@assert-all diff --git a/src/0module/clean/0module.mk b/src/0module/clean/0module.mk index a440e3e..b6d59c6 100644 --- a/src/0module/clean/0module.mk +++ b/src/0module/clean/0module.mk @@ -1,5 +1,5 @@ $(PATH_BIN)/@clean: - $(call mb_clean,$(PATH_BIN)) -MB_DOC_HELP_TARGET += $(call mb_doc_target,$(PATH_BIN)/@clean,"Clean's the build folder.") + $(call mb_make_call,mb_clean,$(PATH_BIN)) +MB_DOC_FIRE_TARGET += $(call mb_make_call,mb_doc_target,$(PATH_BIN)/@clean,"Clean's the build folder.") .PHONY: $(PATH_BIN)/@clean diff --git a/src/0module/prepare/0module.mk b/src/0module/prepare/0module.mk index c26637c..b3b1702 100644 --- a/src/0module/prepare/0module.mk +++ b/src/0module/prepare/0module.mk @@ -1,5 +1,5 @@ $(PATH_BIN)/@prepare: | $(PATH_BIN) - @echo === Prepare $(PATH_BIN) -MB_DOC_HELP_TARGET += $(call mb_doc_target,$(PATH_BIN)/@prepare,"Virtual prepare phase of binary folder.") + @echo === .PHONY: $(PATH_BIN)/@prepare +MB_DOC_FIRE_TARGET += $(call mb_make_call,mb_doc_target,$(PATH_BIN)/@prepare,"Virtual prepare phase of binary folder.") .PHONY: $(PATH_BIN)/@prepare diff --git a/src/ahello-m80-test/0module.mk b/src/ahello-m80-test/0module.mk index 03659c3..61f8a89 100644 --- a/src/ahello-m80-test/0module.mk +++ b/src/ahello-m80-test/0module.mk @@ -19,4 +19,5 @@ $(AHELLO_M80_TEST_BIN)/@assert: | $(AHELLO_M80_TEST_BIN)/ahello.com $(call mb_msxpipe_safe_test,$(AHELLO_M80_TEST_BIN),ahello > ahello.out) grep "M80: Hello world..." $(AHELLO_M80_TEST_BIN)/ahello.out MB_DOC_HELP_TARGET += $(call mb_doc_target,$(AHELLO_M80_TEST_BIN)/@assert,"Assert binaries from ahello-m80.") +MB_DEP_ALL_ASSERT += $(AHELLO_M80_TEST_BIN)/@assert .PHONY: $(AHELLO_M80_TEST_BIN)/@assert diff --git a/src/ahello-m80/0module.mk b/src/ahello-m80/0module.mk index c6a2a71..c36b227 100644 --- a/src/ahello-m80/0module.mk +++ b/src/ahello-m80/0module.mk @@ -3,6 +3,59 @@ AHELLO_M80_MOD := ahello-m80 AHELLO_M80_SRC := $(PATH_SRC)/$(AHELLO_M80_MOD) AHELLO_M80_BIN := $(PATH_BIN)/$(AHELLO_M80_MOD) +#PROJECT_ROOT = $(dir $(abspath $(lastword $(MAKEFILE_LIST)))) +#PROJECT_ROOT = $(dir $(lastword $(MAKEFILE_LIST))) +# src/ahello-m80/0module.mk + + +define mb_flow_m80_mono_com +$(2): | $(1) + $$(call mb_make_call,mb_mkdir,$(2)) + $$(call mb_make_call,mb_mkdir,$(2)/utils) + $$(call mb_make_call,mb_msxhub_get_macro80,$(2)/utils) + $$(call mb_make_call,mb_msxhub_get_z80asmuk,$(2)/utils) +MB_DOC_FIRE_TARGET_DEEP += $$(call mb_make_call,mb_doc_target_deep,$(2)) + +$(2)/$(4).mac: $(3)/$(4).mac | $(2) + $$(call mb_make_call,mb_unix2dos,$(3)/$(4).mac,$(2)/$(4).mac) +MB_DOC_FIRE_TARGET_DEEP += $$(call mb_make_call,mb_doc_target_deep,$(2)/$(4).mac) + +$(2)/$(4).rel: $(2)/$(4).mac + $$(call mb_make_call,mb_msxpipe_safe_cmd,$(2),m80 $$(MB_MAKE_EQUALS)$(4)/Z) +MB_DOC_FIRE_TARGET_DEEP += $$(call mb_make_call,mb_doc_target_deep,$(2)/$(4).rel) + +$(2)/$(4).hex: $(2)/$(4).rel + $$(call mb_make_call,mb_msxpipe_safe_cmd,$(2),l80 $(4)$$(MB_MAKE_COMMA)$(4)/N/X/Y/E) +MB_DOC_FIRE_TARGET_DEEP += $$(call mb_make_call,mb_doc_target_deep,$(2)/$(4).hex) + +$(2)/$(4).com: $(2)/$(4).hex + $$(call mb_make_call,mb_msxpipe_safe_cmd,$(2),hextocom $(4)) +MB_DOC_FIRE_TARGET_DEEP += $$(call mb_make_call,mb_doc_target_deep,$(2)/$(4).com) + +$(2)/@build: $(2)/$(4).com +MB_DOC_FIRE_TARGET += $$(call mb_make_call,mb_doc_target,$(2)/@build,"Builds the $(2) module.") +MB_DEP_ALL_COMPILE += $(2)/@build +.PHONY: $(2)/@build + +$(2)/@run: $(2)/@build + $$(call mb_make_call,mb_msxpipe_run_gui,$(2)) +MB_DOC_FIRE_TARGET += $$(call mb_make_call,mb_doc_target,$(2)/@run,"Run $(4).com manually with gui.") +.PHONY: $(2)/@run +endef + +#$(eval $(call mb_make_call,mb_m80_flow_bdos_mono,$(PATH_BIN)/@prepare,bin/foobar,$(AHELLO_M80_SRC),ahello)) +$(eval $(call mb_make_call,mb_flow_m80_mono_com,$(PATH_BIN)/@prepare,bin/foobar2,$(AHELLO_M80_SRC),ahello)) + + +bin/foobar2/@assert: bin/foobar2/@build + $(call mb_delete,bin/foobar2/ahello.out) + $(call mb_msxpipe_safe_test,bin/foobar2,ahello > ahello.out) + grep "M80: Hello world..." bin/foobar2/ahello.out +MB_DOC_FIRE_TARGET += $(call mb_doc_target,bin/foobar2/@assert,"Assert binaries from ahello-m80.") +MB_DEP_ALL_ASSERT += bin/foobar2/@assert +.PHONY: bin/foobar2/@assert + + $(AHELLO_M80_BIN): | $(PATH_BIN)/@prepare $(call mb_mkdir,$(AHELLO_M80_BIN)) $(call mb_mkdir,$(AHELLO_M80_BIN)/utils) @@ -16,13 +69,17 @@ $(AHELLO_M80_BIN)/ahello.rel: $(AHELLO_M80_BIN)/ahello.mac $(call mb_msxpipe_safe_cmd,$(AHELLO_M80_BIN),m80 =ahello/Z) $(AHELLO_M80_BIN)/ahello.hex: $(AHELLO_M80_BIN)/ahello.rel - $(call mb_msxpipe_safe_cmd,$(AHELLO_M80_BIN),l80 ahello$(MB_CHAR_COMMA)ahello/N/X/Y/E) + $(call mb_msxpipe_safe_cmd,$(AHELLO_M80_BIN),l80 ahello$(MB_MAKE_COMMA)ahello/N/X/Y/E) -$(AHELLO_M80_BIN)/ahello.com: | $(AHELLO_M80_BIN)/ahello.hex +$(AHELLO_M80_BIN)/ahello.com: $(AHELLO_M80_BIN)/ahello.hex $(call mb_msxpipe_safe_cmd,$(AHELLO_M80_BIN),hextocom ahello) -MB_DOC_HELP_TARGET += $(call mb_doc_target,$(AHELLO_M80_BIN)/ahello.com,"Compiles hello world with macro80.") +MB_DOC_FIRE_TARGET += $(call mb_doc_target,$(AHELLO_M80_BIN)/ahello.com,"Compiles hello world with macro80.") +MB_DEP_ALL_COMPILE += $(AHELLO_M80_BIN)/ahello.com -$(AHELLO_M80_BIN)/@run: | $(AHELLO_M80_BIN)/ahello.com - $(call mb_msxpipe_run_gui,$(AHELLO_M80_BIN)) -MB_DOC_HELP_TARGET += $(call mb_doc_target,$(AHELLO_M80_BIN)/@run,"Run the compiled hello world from macro80.") +$(AHELLO_M80_BIN)/@build: $(AHELLO_M80_BIN)/ahello.com +.PHONY: $(AHELLO_M80_BIN)/@build + +$(AHELLO_M80_BIN)/@run: $(AHELLO_M80_BIN)/ahello.com + $(call mb_make_call,mb_msxpipe_run_gui,$(AHELLO_M80_BIN)) +MB_DOC_FIRE_TARGET += $(call mb_doc_target,$(AHELLO_M80_BIN)/@run,"Run the compiled hello world from macro80.") .PHONY: $(AHELLO_M80_BIN)/@run diff --git a/src/ahello-sdcc-test/0module.mk b/src/ahello-sdcc-test/0module.mk index bd4d43d..0afb807 100644 --- a/src/ahello-sdcc-test/0module.mk +++ b/src/ahello-sdcc-test/0module.mk @@ -11,12 +11,12 @@ $(AHELLO_SDCC_TEST_BIN)/ahello.com: $(AHELLO_SDCC_BIN)/ahello.com | $(AHELLO_SDC $(AHELLO_SDCC_TEST_BIN)/@run: | $(AHELLO_SDCC_TEST_BIN)/ahello.com $(call mb_msxpipe_run_gui,$(AHELLO_SDCC_TEST_BIN)) -MB_DOC_HELP_TARGET += $(call mb_doc_target,$(AHELLO_SDCC_TEST_BIN)/@run,"Run binaries from ahello-sdcc.") +MB_DOC_FIRE_TARGET += $(call mb_doc_target,$(AHELLO_SDCC_TEST_BIN)/@run,"Run binaries from ahello-sdcc.") .PHONY: $(AHELLO_SDCC_TEST_BIN)/@run $(AHELLO_SDCC_TEST_BIN)/@assert: | $(AHELLO_SDCC_TEST_BIN)/ahello.com $(call mb_delete,$(AHELLO_SDCC_TEST_BIN)/ahello.out) $(call mb_msxpipe_safe_test,$(AHELLO_SDCC_TEST_BIN),ahello > ahello.out) grep "SDCC: Hello world..." $(AHELLO_SDCC_TEST_BIN)/ahello.out -MB_DOC_HELP_TARGET += $(call mb_doc_target,$(AHELLO_SDCC_TEST_BIN)/@assert,"Assert binaries from ahello-sdcc.") +MB_DOC_FIRE_TARGET += $(call mb_doc_target,$(AHELLO_SDCC_TEST_BIN)/@assert,"Assert binaries from ahello-sdcc.") .PHONY: $(AHELLO_SDCC_TEST_BIN)/@assert \ No newline at end of file diff --git a/src/ahello-sdcc/0module.mk b/src/ahello-sdcc/0module.mk index c613809..a0d7f97 100644 --- a/src/ahello-sdcc/0module.mk +++ b/src/ahello-sdcc/0module.mk @@ -14,9 +14,9 @@ $(AHELLO_SDCC_BIN)/ahello.hex: $(AHELLO_SDCC_BIN)/ahello.rel $(AHELLO_SDCC_BIN)/ahello.com: $(AHELLO_SDCC_BIN)/ahello.hex $(call mb_sdcc_hex2bin,$<,$@) -MB_DOC_HELP_TARGET += $(call mb_doc_target,$(AHELLO_SDCC_BIN)/ahello.com,"Compiles hello world with sdcc.") +MB_DOC_FIRE_TARGET += $(call mb_doc_target,$(AHELLO_SDCC_BIN)/ahello.com,"Compiles hello world with sdcc.") $(AHELLO_SDCC_BIN)/@run: | $(AHELLO_SDCC_BIN)/ahello.com $(call mb_msxpipe_run_gui,$(AHELLO_SDCC_BIN)) -MB_DOC_HELP_TARGET += $(call mb_doc_target,$(AHELLO_SDCC_BIN)/@run,"Run the compiled hello world from sdcc.") +MB_DOC_FIRE_TARGET += $(call mb_doc_target,$(AHELLO_SDCC_BIN)/@run,"Run the compiled hello world from sdcc.") .PHONY: $(AHELLO_SDCC_BIN)/@run diff --git a/src/dist-qa-dos1/0module.mk b/src/dist-qa-dos1/0module.mk index d90f8fd..583ed83 100644 --- a/src/dist-qa-dos1/0module.mk +++ b/src/dist-qa-dos1/0module.mk @@ -13,7 +13,7 @@ $(DIST_QA_DOS1_BIN)/%: $(DIST_BIN)/% | $(DIST_QA_DOS1_BIN) $(DIST_DEPS) $(DIST_QA_DOS1_BIN)/@run: | $(DIST_QA_DOS1_DEPS) $(call mb_msxpipe_run_gui,$(DIST_QA_DOS1_BIN)) -MB_DOC_HELP_TARGET += $(call mb_doc_target,$(DIST_QA_DOS1_BIN)/@run,"Run binaries on msxdos1 machine.") +MB_DOC_FIRE_TARGET += $(call mb_doc_target,$(DIST_QA_DOS1_BIN)/@run,"Run binaries on msxdos1 machine.") .PHONY: $(DIST_QA_DOS1_BIN)/@run $(DIST_QA_DOS1_BIN)/@assert: | $(DIST_QA_DOS1_DEPS) @@ -22,5 +22,5 @@ $(DIST_QA_DOS1_BIN)/@assert: | $(DIST_QA_DOS1_DEPS) $(call mb_autoexec_append_safe_test,$(DIST_QA_DOS1_BIN),ahello2) $(call mb_autoexec_append_exit,$(DIST_QA_DOS1_BIN)) $(call mb_openmsx_dosctl,$(DIST_QA_DOS1_BIN)) -MB_DOC_HELP_TARGET += $(call mb_doc_target,$(DIST_QA_DOS1_BIN)/@assert,"Assert binaries on msxdos1.") +MB_DOC_FIRE_TARGET += $(call mb_doc_target,$(DIST_QA_DOS1_BIN)/@assert,"Assert binaries on msxdos1.") .PHONY: $(DIST_QA_DOS1_BIN)/@assert diff --git a/src/dist-qa-dos2/0module.mk b/src/dist-qa-dos2/0module.mk index 79f8dfe..7bce522 100644 --- a/src/dist-qa-dos2/0module.mk +++ b/src/dist-qa-dos2/0module.mk @@ -13,7 +13,7 @@ $(DIST_QA_DOS2_BIN)/%: $(DIST_BIN)/% | $(DIST_QA_DOS2_BIN) $(DIST_DEPS) $(DIST_QA_DOS2_BIN)/@run: | $(DIST_QA_DOS2_DEPS) $(call mb_msxpipe_run_gui,$(DIST_QA_DOS2_BIN)) -MB_DOC_HELP_TARGET += $(call mb_doc_target,$(DIST_QA_DOS2_BIN)/@run,"Run binaries on msxdos2 machine.") +MB_DOC_FIRE_TARGET += $(call mb_doc_target,$(DIST_QA_DOS2_BIN)/@run,"Run binaries on msxdos2 machine.") .PHONY: $(DIST_QA_DOS2_BIN)/@run $(DIST_QA_DOS2_BIN)/@assert: | $(DIST_QA_DOS2_DEPS) @@ -26,5 +26,5 @@ $(DIST_QA_DOS2_BIN)/@assert: | $(DIST_QA_DOS2_DEPS) $(call mb_openmsx_dosctl,$(DIST_QA_DOS2_BIN)) grep "M80: Hello world..." $(DIST_QA_DOS2_BIN)/ahello1.out grep "SDCC: Hello world..." $(DIST_QA_DOS2_BIN)/ahello2.out -MB_DOC_HELP_TARGET += $(call mb_doc_target,$(DIST_QA_DOS2_BIN)/@assert,"Assert binaries on msxdos2.") +MB_DOC_FIRE_TARGET += $(call mb_doc_target,$(DIST_QA_DOS2_BIN)/@assert,"Assert binaries on msxdos2.") .PHONY: $(DIST_QA_DOS2_BIN)/@assert diff --git a/src/dist-qa-msx1/0module.mk b/src/dist-qa-msx1/0module.mk index c95f006..a45f26e 100644 --- a/src/dist-qa-msx1/0module.mk +++ b/src/dist-qa-msx1/0module.mk @@ -1,6 +1,6 @@ DIST_QA_MSX1_MACHINE ?= Canon_V-20 -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,DIST_QA_MSX1_MACHINE,"The machine to run the $(DIST_QA_MSX1_MOD) module.") +MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,DIST_QA_MSX1_MACHINE,"The machine to run the $(DIST_QA_MSX1_MOD) module.") DIST_QA_MSX1_MOD := dist-qa-msx1 DIST_QA_MSX1_SRC := $(PATH_SRC)/$(DIST_QA_MSX1_MOD) @@ -8,25 +8,25 @@ DIST_QA_MSX1_BIN := $(PATH_BIN)/$(DIST_QA_MSX1_MOD) DIST_QA_MSX1_DEPS := $(subst dist,$(DIST_QA_MSX1_MOD),$(DIST_DEPS)) $(DIST_QA_MSX1_BIN): | $(PATH_BIN)/@prepare - $(call mb_mkdir,$(DIST_QA_MSX1_BIN)) + $(call mb_make_call,mb_mkdir,$(DIST_QA_MSX1_BIN)) $(DIST_QA_MSX1_BIN)/%: $(DIST_BIN)/% | $(DIST_QA_MSX1_BIN) $(DIST_DEPS) - $(call mb_copy,$<,$@) + $(call mb_make_call,mb_copy,$<,$@) $(DIST_QA_MSX1_BIN)/@run: | $(DIST_QA_MSX1_DEPS) - $(call mb_msxpipe_run_gui,$(DIST_QA_MSX1_BIN),,$(DIST_QA_MSX1_MACHINE)) -MB_DOC_HELP_TARGET += $(call mb_doc_target,$(DIST_QA_MSX1_BIN)/@run,"Run binaries on MSX1 machine.") + $(call mb_make_call,mb_msxpipe_run_gui,$(DIST_QA_MSX1_BIN),,$(DIST_QA_MSX1_MACHINE)) +MB_DOC_FIRE_TARGET += $(call mb_make_call,mb_doc_target,$(DIST_QA_MSX1_BIN)/@run,"Run binaries on MSX1 machine.") .PHONY: $(DIST_QA_MSX1_BIN)/@run $(DIST_QA_MSX1_BIN)/@assert: | $(DIST_QA_MSX1_DEPS) - $(call mb_delete,$(DIST_QA_MSX1_BIN)/ahello1.out) - $(call mb_delete,$(DIST_QA_MSX1_BIN)/ahello2.out) - $(call mb_autoexec_write_default,$(DIST_QA_MSX1_BIN),40) - $(call mb_autoexec_append_safe_test,$(DIST_QA_MSX1_BIN),ahello1 > ahello1.out,33) - $(call mb_autoexec_append_safe_test,$(DIST_QA_MSX1_BIN),ahello2 > ahello2.out,66,99) - $(call mb_autoexec_append_exit,$(DIST_QA_MSX1_BIN)) - $(call mb_openmsx_dosctl,$(DIST_QA_MSX1_BIN),$(DIST_QA_MSX1_MACHINE)) + $(call mb_make_call,mb_delete,$(DIST_QA_MSX1_BIN)/ahello1.out) + $(call mb_make_call,mb_delete,$(DIST_QA_MSX1_BIN)/ahello2.out) + $(call mb_make_call,mb_autoexec_write_default,$(DIST_QA_MSX1_BIN),40) + $(call mb_make_call,mb_autoexec_append_safe_test,$(DIST_QA_MSX1_BIN),ahello1 > ahello1.out,33) + $(call mb_make_call,mb_autoexec_append_safe_test,$(DIST_QA_MSX1_BIN),ahello2 > ahello2.out,66,99) + $(call mb_make_call,mb_autoexec_append_exit,$(DIST_QA_MSX1_BIN)) + $(call mb_make_call,mb_openmsx_dosctl,$(DIST_QA_MSX1_BIN),$(DIST_QA_MSX1_MACHINE)) grep "M80: Hello world..." $(DIST_QA_MSX1_BIN)/ahello1.out grep "SDCC: Hello world..." $(DIST_QA_MSX1_BIN)/ahello2.out -MB_DOC_HELP_TARGET += $(call mb_doc_target,$(DIST_QA_MSX1_BIN)/@assert,"Assert binaries on MSX1 machine.") +MB_DOC_FIRE_TARGET += $(call mb_make_call,mb_doc_target,$(DIST_QA_MSX1_BIN)/@assert,"Assert binaries on MSX1 machine.") .PHONY: $(DIST_QA_MSX1_BIN)/@assert diff --git a/src/dist-qa-msxhub/0module.mk b/src/dist-qa-msxhub/0module.mk index 287f2c3..424dceb 100644 --- a/src/dist-qa-msxhub/0module.mk +++ b/src/dist-qa-msxhub/0module.mk @@ -1,6 +1,6 @@ DIST_QA_MSXHUB_MACHINE ?= Boosted_MSX2+_JP -MB_DOC_HELP_VARIABLE += $(call mb_doc_variable,DIST_QA_MSXHUB_MACHINE,"The machine to run the $(DIST_QA_MSXHUB_MOD) module.") +MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,DIST_QA_MSXHUB_MACHINE,"The machine to run the $(DIST_QA_MSXHUB_MOD) module.") DIST_QA_MSXHUB_MOD := dist-qa-msxhub DIST_QA_MSXHUB_SRC := $(PATH_SRC)/$(DIST_QA_MSXHUB_MOD) @@ -8,51 +8,51 @@ DIST_QA_MSXHUB_BIN := $(PATH_BIN)/$(DIST_QA_MSXHUB_MOD) DIST_QA_MSXHUB_DEPS := $(subst dist,$(DIST_QA_MSXHUB_MOD),$(DIST_DEPS)) $(DIST_QA_MSXHUB_BIN): | $(PATH_BIN)/@prepare - $(call mb_mkdir,$(DIST_QA_MSXHUB_BIN)) - $(call mb_mkdir,$(DIST_QA_MSXHUB_BIN)/utils) - $(call mb_msxhub_get_msxdos2_utils,$(DIST_QA_MSXHUB_BIN)/utils) - $(call mb_msxhub_get_nextor_utils,$(DIST_QA_MSXHUB_BIN)/utils) - $(call mb_msxhub_get_macro80,$(DIST_QA_MSXHUB_BIN)/utils) - $(call mb_msxhub_get_z80asmuk,$(DIST_QA_MSXHUB_BIN)/utils) - $(call mb_msxhub_get_wbass2,$(DIST_QA_MSXHUB_BIN)/utils) - $(call mb_msxhub_get_konpass,$(DIST_QA_MSXHUB_BIN)/utils) - $(call mb_msxhub_get_pmarc,$(DIST_QA_MSXHUB_BIN)/utils) - $(call mb_msxhub_get_lhpack,$(DIST_QA_MSXHUB_BIN)/utils) - $(call mb_msxhub_get_lhext,$(DIST_QA_MSXHUB_BIN)/utils) - $(call mb_msxhub_get_gunzip,$(DIST_QA_MSXHUB_BIN)/utils) - $(call mb_msxhub_get_tunzip,$(DIST_QA_MSXHUB_BIN)/utils) - $(call mb_msxhub_get_popcom,$(DIST_QA_MSXHUB_BIN)/utils) - $(call mb_msxhub_get_make,$(DIST_QA_MSXHUB_BIN)/utils) - $(call mb_msxhub_get_adir,$(DIST_QA_MSXHUB_BIN)/utils) - $(call mb_msxhub_get_turbo,$(DIST_QA_MSXHUB_BIN)/utils) - $(call mb_msxhub_get_baskom,$(DIST_QA_MSXHUB_BIN)/utils) - $(call mb_msxhub_get_binldr,$(DIST_QA_MSXHUB_BIN)/utils) - $(call mb_msxhub_get_dmphex,$(DIST_QA_MSXHUB_BIN)/utils) - $(call mb_msxhub_get_zd,$(DIST_QA_MSXHUB_BIN)/utils) - $(call mb_msxhub_get_msxdos2t,$(DIST_QA_MSXHUB_BIN)/utils) - $(call mb_msxhub_get_gfxage,$(DIST_QA_MSXHUB_BIN)/utils) + $(call mb_make_call,mb_mkdir,$(DIST_QA_MSXHUB_BIN)) + $(call mb_make_call,mb_mkdir,$(DIST_QA_MSXHUB_BIN)/utils) + $(call mb_make_call,mb_msxhub_get_msxdos2_utils,$(DIST_QA_MSXHUB_BIN)/utils) + $(call mb_make_call,mb_msxhub_get_nextor_utils,$(DIST_QA_MSXHUB_BIN)/utils) + $(call mb_make_call,mb_msxhub_get_macro80,$(DIST_QA_MSXHUB_BIN)/utils) + $(call mb_make_call,mb_msxhub_get_z80asmuk,$(DIST_QA_MSXHUB_BIN)/utils) + $(call mb_make_call,mb_msxhub_get_wbass2,$(DIST_QA_MSXHUB_BIN)/utils) + $(call mb_make_call,mb_msxhub_get_konpass,$(DIST_QA_MSXHUB_BIN)/utils) + $(call mb_make_call,mb_msxhub_get_pmarc,$(DIST_QA_MSXHUB_BIN)/utils) + $(call mb_make_call,mb_msxhub_get_lhpack,$(DIST_QA_MSXHUB_BIN)/utils) + $(call mb_make_call,mb_msxhub_get_lhext,$(DIST_QA_MSXHUB_BIN)/utils) + $(call mb_make_call,mb_msxhub_get_gunzip,$(DIST_QA_MSXHUB_BIN)/utils) + $(call mb_make_call,mb_msxhub_get_tunzip,$(DIST_QA_MSXHUB_BIN)/utils) + $(call mb_make_call,mb_msxhub_get_popcom,$(DIST_QA_MSXHUB_BIN)/utils) + $(call mb_make_call,mb_msxhub_get_make,$(DIST_QA_MSXHUB_BIN)/utils) + $(call mb_make_call,mb_msxhub_get_adir,$(DIST_QA_MSXHUB_BIN)/utils) + $(call mb_make_call,mb_msxhub_get_turbo,$(DIST_QA_MSXHUB_BIN)/utils) + $(call mb_make_call,mb_msxhub_get_baskom,$(DIST_QA_MSXHUB_BIN)/utils) + $(call mb_make_call,mb_msxhub_get_binldr,$(DIST_QA_MSXHUB_BIN)/utils) + $(call mb_make_call,mb_msxhub_get_dmphex,$(DIST_QA_MSXHUB_BIN)/utils) + $(call mb_make_call,mb_msxhub_get_zd,$(DIST_QA_MSXHUB_BIN)/utils) + $(call mb_make_call,mb_msxhub_get_msxdos2t,$(DIST_QA_MSXHUB_BIN)/utils) + $(call mb_make_call,mb_msxhub_get_gfxage,$(DIST_QA_MSXHUB_BIN)/utils) $(DIST_QA_MSXHUB_BIN)/%: $(DIST_BIN)/% | $(DIST_QA_MSXHUB_BIN) $(DIST_DEPS) - $(call mb_copy,$<,$@) + $(call mb_make_call,mb_copy,$<,$@) $(DIST_QA_MSXHUB_BIN)/@run: | $(DIST_QA_MSXHUB_DEPS) - $(call mb_msxpipe_run_gui,$(DIST_QA_MSXHUB_BIN),,$(DIST_QA_MSXHUB_MACHINE)) -MB_DOC_HELP_TARGET += $(call mb_doc_target,$(DIST_QA_MSXHUB_BIN)/@run,"Run the dist with all msxhub packages.") + $(call mb_make_call,mb_msxpipe_run_gui,$(DIST_QA_MSXHUB_BIN),,$(DIST_QA_MSXHUB_MACHINE)) +MB_DOC_FIRE_TARGET += $(call mb_make_call,mb_doc_target,$(DIST_QA_MSXHUB_BIN)/@run,"Run the dist with all msxhub packages.") .PHONY: $(DIST_QA_MSXHUB_BIN)/@run $(DIST_QA_MSXHUB_BIN)/@assert: | $(DIST_QA_MSXHUB_DEPS) - $(call mb_delete,$(DIST_QA_MSXHUB_BIN)/ahello1.out) - $(call mb_delete,$(DIST_QA_MSXHUB_BIN)/ahello2.out) - $(call mb_delete,$(DIST_QA_MSXHUB_BIN)/utils.out) - $(call mb_autoexec_write_default,$(DIST_QA_MSXHUB_BIN)) - $(call mb_autoexec_append_safe_test,$(DIST_QA_MSXHUB_BIN),ahello1 > ahello1.out) - $(call mb_autoexec_append_safe_test,$(DIST_QA_MSXHUB_BIN),ahello2 > ahello2.out) - $(call mb_autoexec_append_safe_test,$(DIST_QA_MSXHUB_BIN),dir utils > utils.out) - $(call mb_autoexec_append_exit,$(DIST_QA_MSXHUB_BIN)) - $(call mb_openmsx_dosctl,$(DIST_QA_MSXHUB_BIN),$(DIST_QA_MSXHUB_MACHINE)) + $(call mb_make_call,mb_delete,$(DIST_QA_MSXHUB_BIN)/ahello1.out) + $(call mb_make_call,mb_delete,$(DIST_QA_MSXHUB_BIN)/ahello2.out) + $(call mb_make_call,mb_delete,$(DIST_QA_MSXHUB_BIN)/utils.out) + $(call mb_make_call,mb_autoexec_write_default,$(DIST_QA_MSXHUB_BIN)) + $(call mb_make_call,mb_autoexec_append_safe_test,$(DIST_QA_MSXHUB_BIN),ahello1 > ahello1.out) + $(call mb_make_call,mb_autoexec_append_safe_test,$(DIST_QA_MSXHUB_BIN),ahello2 > ahello2.out) + $(call mb_make_call,mb_autoexec_append_safe_test,$(DIST_QA_MSXHUB_BIN),dir utils > utils.out) + $(call mb_make_call,mb_autoexec_append_exit,$(DIST_QA_MSXHUB_BIN)) + $(call mb_make_call,mb_openmsx_dosctl,$(DIST_QA_MSXHUB_BIN),$(DIST_QA_MSXHUB_MACHINE)) grep "M80: Hello world..." $(DIST_QA_MSXHUB_BIN)/ahello1.out grep "SDCC: Hello world..." $(DIST_QA_MSXHUB_BIN)/ahello2.out grep "KONPASS COM" $(DIST_QA_MSXHUB_BIN)/utils.out grep "MAKE COM" $(DIST_QA_MSXHUB_BIN)/utils.out -MB_DOC_HELP_TARGET += $(call mb_doc_target,$(DIST_QA_MSXHUB_BIN)/@assert,"Asserts that all dist artifacts execute results.") +MB_DOC_FIRE_TARGET += $(call mb_make_call,mb_doc_target,$(DIST_QA_MSXHUB_BIN)/@assert,"Asserts that all dist artifacts execute results.") .PHONY: $(DIST_QA_MSXHUB_BIN)/@assert diff --git a/src/dist/0module.mk b/src/dist/0module.mk index 4118118..8561e19 100644 --- a/src/dist/0module.mk +++ b/src/dist/0module.mk @@ -18,9 +18,9 @@ $(DIST_BIN)/ahello2.com: bin/ahello-sdcc/ahello.com | $(DIST_BIN) $(call mb_copy,$<,$@) $(DIST_BIN)/@prepare: | $(DIST_DEPS) -MB_DOC_HELP_TARGET += $(call mb_doc_target,$(DIST_BIN)/@prepare,"Prepare folder with binaries for distribution.") +MB_DOC_FIRE_TARGET += $(call mb_doc_target,$(DIST_BIN)/@prepare,"Prepare folder with binaries for distribution.") .PHONY: $(DIST_BIN)/@prepare $(DIST_OUT): | $(DIST_BIN)/@prepare $(call mb_create_dist,$(DIST_BIN),$@) -MB_DOC_HELP_TARGET += $(call mb_doc_target,$(DIST_OUT),"Build archive distribution artifact.") +MB_DOC_FIRE_TARGET += $(call mb_doc_target,$(DIST_OUT),"Build archive distribution artifact.") diff --git a/src/make-on-msx/0module.mk b/src/make-on-msx/0module.mk index fa304a7..d90b16a 100644 --- a/src/make-on-msx/0module.mk +++ b/src/make-on-msx/0module.mk @@ -3,33 +3,49 @@ MAKE_ON_MSX_MOD := make-on-msx MAKE_ON_MSX_SRC := $(PATH_SRC)/$(MAKE_ON_MSX_MOD) MAKE_ON_MSX_BIN := $(PATH_BIN)/$(MAKE_ON_MSX_MOD) + $(MAKE_ON_MSX_BIN): | $(PATH_BIN)/@prepare - $(call mb_mkdir,$(MAKE_ON_MSX_BIN)) - $(call mb_mkdir,$(MAKE_ON_MSX_BIN)/utils) - $(call mb_msxhub_get_make,$(MAKE_ON_MSX_BIN)/utils) - $(call mb_msxhub_get_macro80,$(MAKE_ON_MSX_BIN)/utils) - $(call mb_msxhub_get_z80asmuk,$(MAKE_ON_MSX_BIN)/utils) + $(call mb_make_call,mb_mkdir,$(MAKE_ON_MSX_BIN)) + $(call mb_make_call,mb_mkdir,$(MAKE_ON_MSX_BIN)/utils) + $(call mb_make_call,mb_msxhub_get_make,$(MAKE_ON_MSX_BIN)/utils) + $(call mb_make_call,mb_msxhub_get_macro80,$(MAKE_ON_MSX_BIN)/utils) + $(call mb_make_call,mb_msxhub_get_z80asmuk,$(MAKE_ON_MSX_BIN)/utils) +MB_DOC_FIRE_TARGET_DEEP += $(call mb_make_call,mb_doc_target_deep,$(MAKE_ON_MSX_BIN)) + $(MAKE_ON_MSX_BIN)/nfbsshot.mac: $(MAKE_ON_MSX_SRC)/nfbsshot.mac | $(MAKE_ON_MSX_BIN) - $(call mb_unix2dos,$<,$@) + $(call mb_make_call,mb_unix2dos,$<,$@) +MB_DOC_FIRE_TARGET_DEEP += $(call mb_make_call,mb_doc_target_deep,$(MAKE_ON_MSX_BIN)/nfbsshot.mac) + $(MAKE_ON_MSX_BIN)/nfbsshot.mf: $(MAKE_ON_MSX_SRC)/nfbsshot.mf | $(MAKE_ON_MSX_BIN)/nfbsshot.mac - $(call mb_unix2dos,$<,$@) + $(call mb_make_call,mb_unix2dos,$<,$@) +MB_DOC_FIRE_TARGET_DEEP += $(call mb_make_call,mb_doc_target_deep,$(MAKE_ON_MSX_BIN)/nfbsshot.mf) + $(MAKE_ON_MSX_BIN)/build.bat: $(MAKE_ON_MSX_SRC)/build.bat | $(MAKE_ON_MSX_BIN)/nfbsshot.mf - $(call mb_unix2dos,$<,$@) + $(call mb_make_call,mb_unix2dos,$<,$@) +MB_DOC_FIRE_TARGET_DEEP += $(call mb_make_call,mb_doc_target_deep,$(MAKE_ON_MSX_BIN)/build.bat) -$(MAKE_ON_MSX_BIN)/nfbsshot.bin: | $(MAKE_ON_MSX_BIN)/build.bat - $(call mb_msxpipe_safe_cmd,$(MAKE_ON_MSX_BIN),build shutdown) -MB_DOC_HELP_TARGET += $(call mb_doc_target,$(MAKE_ON_MSX_BIN)/nfbsshot.bin,"Compiles binary with make on msx.") -$(MAKE_ON_MSX_BIN)/@assert: | $(MAKE_ON_MSX_BIN)/nfbsshot.bin - grep -U "screenshot" $(MAKE_ON_MSX_BIN)/nfbsshot.bin -MB_DOC_HELP_TARGET += $(call mb_doc_target,$(MAKE_ON_MSX_BIN)/@assert,"Asserts that binary contains screenshot string.") -.PHONY: $(MAKE_ON_MSX_BIN)/@assert +$(MAKE_ON_MSX_BIN)/nfbsshot.bin: $(MAKE_ON_MSX_BIN)/build.bat + $(call mb_make_call,mb_msxpipe_safe_cmd,$(MAKE_ON_MSX_BIN),build shutdown) +MB_DOC_FIRE_TARGET_DEEP += $(call mb_make_call,mb_doc_target_deep,$(MAKE_ON_MSX_BIN)/nfbsshot.bin) -$(MAKE_ON_MSX_BIN)/@run: | $(MAKE_ON_MSX_BIN)/build.bat - $(call mb_msxpipe_run_gui,$(MAKE_ON_MSX_BIN)) -MB_DOC_HELP_TARGET += $(call mb_doc_target,$(MAKE_ON_MSX_BIN)/@run,"Run the make build manually.") + +$(MAKE_ON_MSX_BIN)/@build: $(MAKE_ON_MSX_BIN)/nfbsshot.bin +MB_DOC_FIRE_TARGET += $(call mb_make_call,mb_doc_target,$(MAKE_ON_MSX_BIN)/@build,"Compiles binary with make on msx.") +.PHONY: $(MAKE_ON_MSX_BIN)/@build + + +$(MAKE_ON_MSX_BIN)/@run: $(MAKE_ON_MSX_BIN)/@build + $(call mb_make_call,mb_msxpipe_run_gui,$(MAKE_ON_MSX_BIN)) +MB_DOC_FIRE_TARGET += $(call mb_make_call,mb_doc_target,$(MAKE_ON_MSX_BIN)/@run,"Run the make build manually.") .PHONY: $(MAKE_ON_MSX_BIN)/@run + +$(MAKE_ON_MSX_BIN)/@assert: $(MAKE_ON_MSX_BIN)/@build + grep -U "screenshot" $(MAKE_ON_MSX_BIN)/nfbsshot.bin +MB_DOC_FIRE_TARGET += $(call mb_make_call,mb_doc_target,$(MAKE_ON_MSX_BIN)/@assert,"Asserts that binary contains screenshot string.") +MB_DEP_ALL_ASSERT += $(MAKE_ON_MSX_BIN)/@assert +.PHONY: $(MAKE_ON_MSX_BIN)/@assert diff --git a/src/mbboot80-test/0module.mk b/src/mbboot80-test/0module.mk index 2527507..a66b072 100644 --- a/src/mbboot80-test/0module.mk +++ b/src/mbboot80-test/0module.mk @@ -11,12 +11,12 @@ $(MBBOOT80_TEST_BIN)/mbboot80.com: $(MBBOOT80_BIN)/mbboot80.com | $(MBBOOT80_TES $(MBBOOT80_TEST_BIN)/@run: | $(MBBOOT80_TEST_BIN)/mbboot80.com $(call mb_msxpipe_run_gui,$(MBBOOT80_TEST_BIN)) -MB_DOC_HELP_TARGET += $(call mb_doc_target,$(MBBOOT80_TEST_BIN)/@run,"Run binaries from mbboot80.") +MB_DOC_FIRE_TARGET += $(call mb_doc_target,$(MBBOOT80_TEST_BIN)/@run,"Run binaries from mbboot80.") .PHONY: $(MBBOOT80_TEST_BIN)/@run $(MBBOOT80_TEST_BIN)/@assert: | $(MBBOOT80_TEST_BIN)/mbboot80.com $(call mb_delete,$(MBBOOT80_TEST_BIN)/ahello.out) $(call mb_msxpipe_safe_test,$(MBBOOT80_TEST_BIN),mbboot80 > mbboot80.out) grep "mb::boot mode 80" $(MBBOOT80_TEST_BIN)/mbboot80.out -MB_DOC_HELP_TARGET += $(call mb_doc_target,$(MBBOOT80_TEST_BIN)/@assert,"Assert binaries from mbboot80.") +MB_DOC_FIRE_TARGET += $(call mb_doc_target,$(MBBOOT80_TEST_BIN)/@assert,"Assert binaries from mbboot80.") .PHONY: $(MBBOOT80_TEST_BIN)/@assert \ No newline at end of file diff --git a/src/mbboot80/0module.mk b/src/mbboot80/0module.mk index bacdb77..f1a5fe8 100644 --- a/src/mbboot80/0module.mk +++ b/src/mbboot80/0module.mk @@ -14,14 +14,24 @@ $(MBBOOT80_BIN)/mbboot80.hex: $(MBBOOT80_BIN)/mbboot80.rel $(MBBOOT80_BIN)/mbboot80.com: $(MBBOOT80_BIN)/mbboot80.hex $(call mb_sdcc_hex2bin,$<,$@) -MB_DOC_HELP_TARGET += $(call mb_doc_target,$(MBBOOT80_BIN)/mbboot80.com,"Compiles mbboot80.") +MB_DOC_FIRE_TARGET += $(call mb_doc_target,$(MBBOOT80_BIN)/mbboot80.com,"Compiles mbboot80.") $(MBBOOT80_BIN)/@run: | $(MBBOOT80_BIN)/mbboot80.com $(call mb_msxpipe_run_gui,$(MBBOOT80_BIN)) -MB_DOC_HELP_TARGET += $(call mb_doc_target,$(MBBOOT80_BIN)/@run,"Run the compiled mbboot80.") +MB_DOC_FIRE_TARGET += $(call mb_doc_target,$(MBBOOT80_BIN)/@run,"Run the compiled mbboot80.") .PHONY: $(MBBOOT80_BIN)/@run -$(MBBOOT80_BIN)/@release-local: | $(MBBOOT80_TEST_BIN)/@assert - $(call mb_copy,$(MBBOOT80_BIN)/mbboot80.com,lib/emuctl//mbboot80.com) -MB_DOC_HELP_TARGET += $(call mb_doc_target,$(MBBOOT80_BIN)/@release-local,"Updates binary copy in lib/emuctl folder.") + +#$(eval $(call mb_make_call,mb_flow_sdcc_asm_bdos_mono,$(PATH_BIN)/@prepare,$(MBBOOT80_BIN),$(MBBOOT80_SRC),$(MBBOOT80_MOD))) + +$(MBBOOT80_BIN)/@assert: | $(MBBOOT80_BIN)/$(MBBOOT80_MOD).com + $(call mb_delete,$(MBBOOT80_BIN)/$(MBBOOT80_MOD).out) + $(call mb_msxpipe_safe_test,$(MBBOOT80_BIN),$(MBBOOT80_MOD) > $(MBBOOT80_MOD).out) + grep "mb::boot mode 80" $(MBBOOT80_BIN)/$(MBBOOT80_MOD).out +MB_DOC_FIRE_TARGET += $(call mb_make_call,mb_doc_target,$(MBBOOT80_BIN)/@assert,"Assert binaries from mbboot80.") +.PHONY: $(MBBOOT80_BIN)/@assert + +$(MBBOOT80_BIN)/@release-local: | $(MBBOOT80_BIN)/@assert + $(call mb_copy,$(MBBOOT80_BIN)/mbboot80.com,lib/emuctl/mbboot80.com) +MB_DOC_HELP_TARGET += $(call mb_make_call,mb_doc_target,$(MBBOOT80_BIN)/@release-local,"Updates binary copy in lib/emuctl folder.") .PHONY: $(MBBOOT80_BIN)/@release-local From cb9b0aa733f4f4975dc3383246cb9d47dd93a5f5 Mon Sep 17 00:00:00 2001 From: Willem Date: Fri, 5 Jul 2024 21:05:55 +0200 Subject: [PATCH 125/274] Removed old char leftovers. --- lib/make/mb_autoexec.mk | 4 ++-- lib/make/mb_openmsx.mk | 4 ++-- lib/make/msxbuild.mk | 6 ------ 3 files changed, 4 insertions(+), 10 deletions(-) diff --git a/lib/make/mb_autoexec.mk b/lib/make/mb_autoexec.mk index dc102c5..1ee9e5f 100644 --- a/lib/make/mb_autoexec.mk +++ b/lib/make/mb_autoexec.mk @@ -161,7 +161,7 @@ define mb_autoexec_write_default $(if $(MB_AUTOEXEC_STARTUP_NOTE),$(call mb_autoexec_append_echo,$(1),mb::note $(MB_AUTOEXEC_STARTUP_NOTE))) $(if $(filter on,$(MB_FLIGHT_VIDEO)),$(call mb_autoexec_append_save_video,$(1))) $(if $(filter on,$(MB_AUTOEXEC_SHOW_HOST)),$(call mb_autoexec_append_cmd,$(1),omsxctl boot_exec_show_host)) - echo -n "$(subst $(MB_CHAR_SPACE)set,set,$(foreach var,$(MB_AUTOEXEC_EMBED_VARS),set MSX_$(var)=$($(var))\r\n))" >> $(1)/autoexec.bat + echo -n "$(subst $(MB_MAKE_SPACE)set,set,$(foreach var,$(MB_AUTOEXEC_EMBED_VARS),set MSX_$(var)=$($(var))\r\n))" >> $(1)/autoexec.bat $(call mb_autoexec_append_cmd,$(1),set TIME=$(MB_AUTOEXEC_TIME_FORMAT)) $(call mb_autoexec_append_cmd,$(1),set DATE=$(MB_AUTOEXEC_DATE_FORMAT)) $(if $(filter on,$(MB_AUTOEXEC_SHOW_PATH)),$(call mb_autoexec_append_cmd,$(1),echo mb::path %PATH%)) @@ -172,7 +172,7 @@ define mb_autoexec_write_default $(call mb_autoexec_append_cmd,$(1),set MB_TARGET=$@) $(if $(filter on,$(MB_AUTOEXEC_SHOW_FOLDER)),$(call mb_autoexec_append_echo,$(1),mb::bath %MB_SLIME%)) $(if $(filter on,$(MB_AUTOEXEC_SHOW_TARGET)),$(call mb_autoexec_append_echo,$(1),mb::pipe %MB_TARGET%)) - $(if $(filter on,$(MB_AUTOEXEC_PROMPT_SPACE)),$(call mb_autoexec_append_cmd,$(1),set PROMPT \"$(value MB_AUTOEXEC_PROMPT_FORMAT)$(MB_CHAR_SPACE)\")) + $(if $(filter on,$(MB_AUTOEXEC_PROMPT_SPACE)),$(call mb_autoexec_append_cmd,$(1),set PROMPT \"$(value MB_AUTOEXEC_PROMPT_FORMAT)$(MB_MAKE_SPACE)\")) $(if $(filter on,$(MB_AUTOEXEC_PROMPT_SPACE)),,$(call mb_autoexec_append_cmd,$(1),,set PROMPT \"$(value MB_AUTOEXEC_PROMPT_FORMAT)\")) endef MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_autoexec_write_default,"Write a default autoexec.bat file."," [fg-color] [bg-color]") diff --git a/lib/make/mb_openmsx.mk b/lib/make/mb_openmsx.mk index 331a703..2f145f2 100644 --- a/lib/make/mb_openmsx.mk +++ b/lib/make/mb_openmsx.mk @@ -3,13 +3,13 @@ MB_OPENMSX_BOOT_TIMEOUT ?= 25 MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_OPENMSX_BOOT_TIMEOUT,"Timeout in seconds to guard for boot failures.") MB_OPENMSX_BOOT_OS ?= nextor -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_OPENMSX_BOOT_OS,"The default OS to run$(MB_CHAR_COMMA) valid values are; nextor$(MB_CHAR_COMMA)msxdos1$(MB_CHAR_COMMA)msxdos2") +MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_OPENMSX_BOOT_OS,"The default OS to run$(MB_MAKE_COMMA) valid values are; nextor$(MB_MAKE_COMMA)msxdos1$(MB_MAKE_COMMA)msxdos2") MB_OPENMSX_MACHINE ?= Philips_NMS_8250 MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_OPENMSX_MACHINE,"The default MSX machine to use.",$(MB_OPENMSX_MACHINE)) MB_OPENMSX_MACHINE_RAM ?= ram1mb -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_OPENMSX_MACHINE_RAM,"Extra ram extension for machine allowed: off$(MB_CHAR_COMMA)ram16k$(MB_CHAR_COMMA)ram64k$(MB_CHAR_COMMA)ram512k$(MB_CHAR_COMMA)ram1mb$(MB_CHAR_COMMA)ram2mb$(MB_CHAR_COMMA)ram4mb") +MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_OPENMSX_MACHINE_RAM,"Extra ram extension for machine allowed: off$(MB_MAKE_COMMA)ram16k$(MB_MAKE_COMMA)ram64k$(MB_MAKE_COMMA)ram512k$(MB_MAKE_COMMA)ram1mb$(MB_MAKE_COMMA)ram2mb$(MB_MAKE_COMMA)ram4mb") MB_OPENMSX_SPEED ?= $(if $(findstring turboR,$(MB_OPENMSX_MACHINE)),100,333) MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_OPENMSX_SPEED,"The throttled MSX emulation speed.") diff --git a/lib/make/msxbuild.mk b/lib/make/msxbuild.mk index 85f9daf..f72b473 100644 --- a/lib/make/msxbuild.mk +++ b/lib/make/msxbuild.mk @@ -43,12 +43,6 @@ endif # Remove space after separator MB_PSEP = $(strip $(MB_SEP)) -# Special chars call arguments (like for l80.com) -MB_CHAR_COMMA := , -MB_CHAR_SPACE := $(subst ,, ) - - - define mb_clean $(call mb_make_call,mb_make_echo_good,Cleaning build folder $(1)) From 8760a8dd9862219e063a68b3a5fd490f81b35566 Mon Sep 17 00:00:00 2001 From: Willem Date: Fri, 5 Jul 2024 21:20:14 +0200 Subject: [PATCH 126/274] Updated help mojo. --- README.md | 73 ++++++++++++++++++++++++++++--------------------------- 1 file changed, 37 insertions(+), 36 deletions(-) diff --git a/README.md b/README.md index 2fa9720..3a2ad2c 100644 --- a/README.md +++ b/README.md @@ -44,39 +44,40 @@ TODO Running: `make` - Use 'make help' for possible targets and documention. - -Running: `make help` - Welcome to the MSXBUILD help system. - For detailed help use one of the following targets; + For detailed fire help use one of the following targets; - * help@target - * help@function - * help@variable - + * @help-variable + Lists build variables. + + * @help-variable-deep + Lists deep variables. + + * @help-variable-rock + Lists rock variables. + + * @help-variable-flow + Lists flow variables. + + * @help-function + Lists build functions. + + * @help-function-deep + Lists deep functions. + + * @help-function-flow + Lists flow functions. + + * @help-target + Lists build targets. + + * @help-target-deep + Lists deep targets. + + * @help-all + Lists all documented information. -Running: `make help@function` - - Documention of the make functions; - - * mb_doc_function [desc] [args] - Prints formatted documention of an function. - - * mb_doc_variable [desc] [value] - Prints formatted documention of an variable. - - * mb_doc_target [desc] - Prints formatted documention of an target. - - * mb_doc_project - Prints formatted documention of an project (help). - - * mb_doc_show_help@all - Displays text for 'make' - - ... ## Example Project @@ -87,23 +88,23 @@ When you want to see whats happening do a debug run; real 0m3.223s debug run at 11 Mhz; - time MB_OPENMSX_HEADLESS=off MB_OPENMSX_THROTTLE=on make bin/@assert-all + time VERBOSE=on MB_OPENMSX_HEADLESS=off MB_OPENMSX_THROTTLE=on make bin/@assert-all real 1m4.856s debug run real msx speed; - time MB_OPENMSX_HEADLESS=off MB_OPENMSX_THROTTLE=on MB_OPENMSX_SPEED=100 make bin/@assert-all + time VERBOSE=on MB_OPENMSX_HEADLESS=off MB_OPENMSX_THROTTLE=on MB_OPENMSX_SPEED=100 make bin/@assert-all real 2m37.379s - debug run with screenshot on exit; (black screens without throttle) - time MB_OPENMSX_HEADLESS=off MB_OPENMSX_THROTTLE=on MB_FLIGHT_SCREEN=on make -s bin/@assert-all + record run with screenshot on exit; (black screens without throttle) + time MB_OPENMSX_HEADLESS=off MB_OPENMSX_THROTTLE=on MB_FLIGHT_SCREEN=on make bin/@assert-all real 1m14.043s - debug run with video recorder and merge to one video file; - time MB_OPENMSX_HEADLESS=off MB_FLIGHT_VIDEO=on make -s bin/@assert-all + record run with video per session and merge to one video file; + time MB_OPENMSX_HEADLESS=off MB_FLIGHT_VIDEO=on make bin/@assert-all real 0m7.136s build parallel; - time make -s -j4 bin/@assert-all + time make -j4 bin/@assert-all real 0m1.817s manual test msxhub on machine; From 9a545d3c3a4bbed5bf5ea833f4ae9ed34fae9e6a Mon Sep 17 00:00:00 2001 From: Willem Date: Fri, 5 Jul 2024 23:32:01 +0200 Subject: [PATCH 127/274] Search module only in src. --- Makefile | 2 +- lib/make/mb_make.mk | 6 +++--- 2 files changed, 4 insertions(+), 4 deletions(-) diff --git a/Makefile b/Makefile index 6a38f1a..f679593 100644 --- a/Makefile +++ b/Makefile @@ -14,5 +14,5 @@ PATH_BIN := bin PATH_SRC := src include lib/make/msxbuild.mk $(eval $(call mb_make_call,mb_doc_flow_help)) -$(eval $(call mb_make_call,mb_make_flow_0module)) +$(eval $(call mb_make_call,mb_make_flow_0module,$(PATH_SRC))) diff --git a/lib/make/mb_make.mk b/lib/make/mb_make.mk index 448fa0d..dab9fa0 100644 --- a/lib/make/mb_make.mk +++ b/lib/make/mb_make.mk @@ -79,13 +79,13 @@ MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_make_xm define mb_make_echo_good - $(if $(filter -1,$(MB_COLORS)),@echo $(MB_MAKE_ECHO_CRAYON) $(1),/usr/bin/echo -e "\x1B[32m$(MB_MAKE_ECHO_CRAYON)$$1\x1B[39m $(1)") +$(if $(filter -1,$(MB_COLORS)),@echo $(MB_MAKE_ECHO_CRAYON) $(1),/usr/bin/echo -e "\x1B[32m$(MB_MAKE_ECHO_CRAYON)$$1\x1B[39m $(1)") endef MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_make_echo_good,"Echo's an message to stdout with 'green' crayon.","") define mb_make_echo_fail - $(if $(filter -1,$(MB_COLORS)),@echo $(MB_MAKE_ECHO_CRAYON) $(1),/usr/bin/echo -e "\x1B[31m$(MB_MAKE_ECHO_CRAYON)$$1\x1B[39m $(1)") +$(if $(filter -1,$(MB_COLORS)),@echo $(MB_MAKE_ECHO_CRAYON) $(1),/usr/bin/echo -e "\x1B[31m$(MB_MAKE_ECHO_CRAYON)$$1\x1B[39m $(1)") endef MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_make_echo_fail,"Echo's an message to stdout with 'red' crayon.","") @@ -93,7 +93,7 @@ MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_make_ec define mb_make_flow_0module include $$(call mb_make_call,mb_make_rwildcard,$(1),*/0module.mk) endef -MB_DOC_FIRE_FUNCTION_FLOW += $(call mb_make_call,mb_doc_function_flow,mb_make_flow_0module,"Prints flow of recursive 0module.mk include.") +MB_DOC_FIRE_FUNCTION_FLOW += $(call mb_make_call,mb_doc_function_flow,mb_make_flow_0module,"Prints flow of recursive 0module.mk include.","") From f36aae19170ee5438f3b39b13a6da2fdac315f79 Mon Sep 17 00:00:00 2001 From: Willem Date: Sat, 6 Jul 2024 00:11:54 +0200 Subject: [PATCH 128/274] Move native os command to own file. --- Makefile | 3 ++- lib/make/mb_doc.mk | 2 +- lib/make/mb_flight.mk | 4 ++-- lib/make/mb_make.mk | 4 ++-- lib/make/mb_msxhub.mk | 2 +- lib/make/mb_msxrom.mk | 2 +- lib/make/mb_openmsx.mk | 1 + lib/make/mb_os.mk | 32 +++++++++++++++++++++++++++++++ lib/make/msxbuild.mk | 43 +++++++++++++----------------------------- 9 files changed, 55 insertions(+), 38 deletions(-) create mode 100644 lib/make/mb_os.mk diff --git a/Makefile b/Makefile index f679593..e64f6af 100644 --- a/Makefile +++ b/Makefile @@ -12,7 +12,8 @@ endif PATH_BIN := bin PATH_SRC := src -include lib/make/msxbuild.mk +PATH_MSXBUILD ?= . +include $(PATH_MSXBUILD)/lib/make/msxbuild.mk $(eval $(call mb_make_call,mb_doc_flow_help)) $(eval $(call mb_make_call,mb_make_flow_0module,$(PATH_SRC))) diff --git a/lib/make/mb_doc.mk b/lib/make/mb_doc.mk index a23cfce..209a206 100644 --- a/lib/make/mb_doc.mk +++ b/lib/make/mb_doc.mk @@ -52,7 +52,7 @@ MB_DOC_FIRE_VARIABLE_DEEP += $(call mb_make_call,mb_doc_variable_deep,MB_DOC_FOR define _mb_doc_variable_txt - "* "$(2)=$(subst \\n,\\\n,$(4))\\n$(if $(3),\\t$(3)\\n)\\n + "* "$(2)=$(subst \\n,\\\n,$(subst >,\>,$(4)))\\n$(if $(3),\\t$(3)\\n)\\n endef define _mb_doc_variable_xml \\n\\t$(call mb_make_xml_open,variable,$(MB_DOC_XML_ATTR_NAME),$(2),$(MB_DOC_XML_ATTR_LEVEL),$(1))\\n\\t\\t$(call mb_make_xml_tag_value,$(MB_DOC_XML_TAG_VALUE),$(4))\\n\\t\\t$(call mb_make_xml_tag_value,$(MB_DOC_XML_TAG_DESC),$(3))\\n\\t$(call mb_make_xml_close,variable) diff --git a/lib/make/mb_flight.mk b/lib/make/mb_flight.mk index c2d75eb..e70bc60 100644 --- a/lib/make/mb_flight.mk +++ b/lib/make/mb_flight.mk @@ -19,9 +19,9 @@ MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_FLIGHT_VIDEO_NAME define mb_flight_video_merge - @echo === Indexing flight videos + $(call mb_make_call,mb_make_echo_good,Indexing flight videos) ls -1tr $(1)/*-omsx/videos/*.avi | awk -F "$(1)/" -v s="file '" -v e="'" '{print s$$2e}' > $(1)/$(MB_FLIGHT_VIDEO_NAME).lst ffmpeg -v quiet -y -f concat -i $(1)/$(MB_FLIGHT_VIDEO_NAME).lst -c copy $(1)/$(MB_FLIGHT_VIDEO_NAME).avi - @echo === Flight video completed + $(call mb_make_call,mb_make_echo_good,Flight video completed) endef MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_flight_video_merge,"Merged all flight videos to one.","") diff --git a/lib/make/mb_make.mk b/lib/make/mb_make.mk index dab9fa0..1c39e73 100644 --- a/lib/make/mb_make.mk +++ b/lib/make/mb_make.mk @@ -79,13 +79,13 @@ MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_make_xm define mb_make_echo_good -$(if $(filter -1,$(MB_COLORS)),@echo $(MB_MAKE_ECHO_CRAYON) $(1),/usr/bin/echo -e "\x1B[32m$(MB_MAKE_ECHO_CRAYON)$$1\x1B[39m $(1)") +$(if $(filter -1,$(MB_OS_COLORS)),@echo $(MB_MAKE_ECHO_CRAYON) $(1),/usr/bin/echo -e "\x1B[32m$(MB_MAKE_ECHO_CRAYON)$$1\x1B[39m $(1)") endef MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_make_echo_good,"Echo's an message to stdout with 'green' crayon.","") define mb_make_echo_fail -$(if $(filter -1,$(MB_COLORS)),@echo $(MB_MAKE_ECHO_CRAYON) $(1),/usr/bin/echo -e "\x1B[31m$(MB_MAKE_ECHO_CRAYON)$$1\x1B[39m $(1)") +$(if $(filter -1,$(MB_OS_COLORS)),@echo $(MB_MAKE_ECHO_CRAYON) $(1),/usr/bin/echo -e "\x1B[31m$(MB_MAKE_ECHO_CRAYON)$$1\x1B[39m $(1)") endef MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_make_echo_fail,"Echo's an message to stdout with 'red' crayon.","") diff --git a/lib/make/mb_msxhub.mk b/lib/make/mb_msxhub.mk index e342364..23bdba9 100644 --- a/lib/make/mb_msxhub.mk +++ b/lib/make/mb_msxhub.mk @@ -2,7 +2,7 @@ MB_MSXHUB_API ?= https://msxhub.com/api MB_DOC_FIRE_VARIABLE_DEEP += $(call mb_make_call,mb_doc_variable_deep,MB_MSXHUB_API,"Resource identifier for msxhub package rest api.") -MB_MSXHUB_CACHE ?= $(MB_CACHE)/msxbuild/msxhub +MB_MSXHUB_CACHE ?= $(MB_OS_CACHE)/msxbuild/msxhub MB_DOC_FIRE_VARIABLE_DEEP += $(call mb_make_call,mb_doc_variable_deep,MB_MSXHUB_CACHE,"Cache storage location.") diff --git a/lib/make/mb_msxrom.mk b/lib/make/mb_msxrom.mk index d2e38d5..21f5271 100644 --- a/lib/make/mb_msxrom.mk +++ b/lib/make/mb_msxrom.mk @@ -3,7 +3,7 @@ MB_MSXROM_API ?= https://msxrom.distributedrebirth.love/calc-pi/v19.1 MB_DOC_FIRE_VARIABLE_DEEP += $(call mb_make_call,mb_doc_variable_deep,MB_MSXROM_API,"Resource identifier for msxrom pi pie named slices data.") -MB_MSXROM_CACHE ?= $(MB_CACHE)/msxbuild/msxrom +MB_MSXROM_CACHE ?= $(MB_OS_CACHE)/msxbuild/msxrom MB_DOC_FIRE_VARIABLE_DEEP += $(call mb_make_call,mb_doc_variable_deep,MB_MSXROM_CACHE,"Cache storage location.") diff --git a/lib/make/mb_openmsx.mk b/lib/make/mb_openmsx.mk index 2f145f2..09d2794 100644 --- a/lib/make/mb_openmsx.mk +++ b/lib/make/mb_openmsx.mk @@ -53,6 +53,7 @@ define mb_openmsx_setup endef MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_openmsx_setup,"Setup openMSX local build home folder for machine."," ") + define _mb_openmsx_run $(call mb_make_call,mb_make_echo_good,Start openMSX! for $@ on $(2)) $(if $(wildcard $(1)-omsx/persistent/fire-hdd/untitled1/sync),,$(call mb_make_call,mb_mkdir,$(1)-omsx/persistent/fire-hdd/untitled1/sync)) diff --git a/lib/make/mb_os.mk b/lib/make/mb_os.mk new file mode 100644 index 0000000..4685e7b --- /dev/null +++ b/lib/make/mb_os.mk @@ -0,0 +1,32 @@ + +# OS cmds +ifeq ($(OS),Windows_NT) + MB_OS_RM = del /F /Q + MB_OS_RMDIR = RMDIR /S /Q + MB_OS_MKDIR = mkdir + MB_OS_COPY = copy + MB_OS_ERRIGNORE = 2>NUL || true + MB_OS_SEP = "\" + MB_OS_CACHE ?= %LOCALAPPDATA% + MB_OS_COLORS ?= -1 +else + MB_OS_RM = rm -f + MB_OS_RMDIR = rm -rf + MB_OS_MKDIR = mkdir -p + MB_OS_COPY = cp + MB_OS_ERRIGNORE = 2>/dev/null + MB_OS_SEP = "/" + MB_OS_CACHE ?= ~/.cache + MB_OS_COLORS ?= $(shell tput colors 2> /dev/null;test $$? -eq 0 || echo "-1") +endif + + +MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_OS_RM,"Native OS delete command.") +MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_OS_RMDIR,"Native OS remove folder command.") +MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_OS_MKDIR,"Native OS create folder command.") +MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_OS_COPY,"Native OS copy command.") +MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_OS_ERRIGNORE,"Native OS error to ignore redirect.") +MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_OS_SEP,"Native OS path seperator.") +MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_OS_CACHE,"Native OS application cache folder.") +MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_OS_COLORS,"Native OS terminal color count support.") + diff --git a/lib/make/msxbuild.mk b/lib/make/msxbuild.mk index f72b473..4588c2a 100644 --- a/lib/make/msxbuild.mk +++ b/lib/make/msxbuild.mk @@ -3,9 +3,9 @@ # # Setup default tools paths -PATH_SDCC ?= /usr/bin -PATH_OPENMSX ?= /usr/bin -PATH_MSXBUILD ?= $(dir $(lastword $(MAKEFILE_LIST)))../.. +PATH_SDCC ?= /usr/bin +PATH_OPENMSX ?= /usr/bin +PATH_MSXBUILD ?= $(dir $(lastword $(MAKEFILE_LIST)))../.. PATH_MSXBUILD_REAL := $(if $(realpath $(PATH_MSXBUILD)),$(realpath $(PATH_MSXBUILD)),$(PATH_MSXBUILD)) # Include extra features @@ -18,53 +18,36 @@ include $(PATH_MSXBUILD)/lib/make/mb_msxhub.mk include $(PATH_MSXBUILD)/lib/make/mb_msxpipe.mk include $(PATH_MSXBUILD)/lib/make/mb_openmsx.mk include $(PATH_MSXBUILD)/lib/make/mb_autoexec.mk +include $(PATH_MSXBUILD)/lib/make/mb_os.mk -# OS cmds -ifeq ($(OS),Windows_NT) - MB_RM = del /F /Q - MB_RMDIR = RMDIR /S /Q - MB_MKDIR = mkdir - MB_COPY = copy - MB_ERRIGNORE = 2>NUL || true - MB_SEP=\\ - MB_CACHE ?= %LOCALAPPDATA% - MB_COLORS ?= -1 -else - MB_RM = rm -f - MB_RMDIR = rm -rf - MB_MKDIR = mkdir -p - MB_COPY = cp - MB_ERRIGNORE = 2>/dev/null - MB_SEP=/ - MB_CACHE ?= ~/.cache - MB_COLORS ?= $(shell tput colors 2> /dev/null;test $$? -eq 0 || echo "-1") -endif - -# Remove space after separator -MB_PSEP = $(strip $(MB_SEP)) +# Below mb_doc.mk for white space... +MB_DOC_FIRE_VARIABLE_DEEP += $(call mb_make_call,mb_doc_variable_deep,PATH_SDCC,"Path where SDCC binaries are located.") +MB_DOC_FIRE_VARIABLE_DEEP += $(call mb_make_call,mb_doc_variable_deep,PATH_OPENMSX,"Path where openMSX binary is located.") +MB_DOC_FIRE_VARIABLE_DEEP += $(call mb_make_call,mb_doc_variable_deep,PATH_MSXBUILD,"Path where msxbuild lib folder is located.") +MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,PATH_MSXBUILD_REAL,"Resolved real path of msxbuild.") define mb_clean $(call mb_make_call,mb_make_echo_good,Cleaning build folder $(1)) - $(MB_RMDIR) $(1) + $(MB_OS_RMDIR) $(1) endef MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_clean,"Removed the full folder.","") define mb_mkdir - $(MB_MKDIR) $(1) + $(MB_OS_MKDIR) $(1) endef MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_mkdir,"Creates an folder.","") define mb_delete - $(MB_RM) $(1) + $(MB_OS_RM) $(1) endef MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_delete,"Deletes an file.","") define mb_copy - $(MB_COPY) $(1) $(2) + $(MB_OS_COPY) $(1) $(2) endef MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_copy,"Copy an file."," ") From 00ae20a61e1140fc5bdb76fdb8c5af09d655541f Mon Sep 17 00:00:00 2001 From: Willem Date: Sat, 6 Jul 2024 00:23:16 +0200 Subject: [PATCH 129/274] Added optional project name to help system. --- Makefile | 2 +- lib/make/mb_doc.mk | 4 ++-- 2 files changed, 3 insertions(+), 3 deletions(-) diff --git a/Makefile b/Makefile index e64f6af..c460cab 100644 --- a/Makefile +++ b/Makefile @@ -14,6 +14,6 @@ PATH_BIN := bin PATH_SRC := src PATH_MSXBUILD ?= . include $(PATH_MSXBUILD)/lib/make/msxbuild.mk -$(eval $(call mb_make_call,mb_doc_flow_help)) +$(eval $(call mb_make_call,mb_doc_flow_help,MSXBUILD-Example)) $(eval $(call mb_make_call,mb_make_flow_0module,$(PATH_SRC))) diff --git a/lib/make/mb_doc.mk b/lib/make/mb_doc.mk index 209a206..ddf7780 100644 --- a/lib/make/mb_doc.mk +++ b/lib/make/mb_doc.mk @@ -117,7 +117,7 @@ MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_doc_tar define mb_doc_flow_help @help: - $$(if $$(filter txt,$$(MB_DOC_FORMAT)),@echo "Welcome to the MSXBUILD help system.\\n\\nFor detailed fire help use one of the following targets;\\n") + $$(if $$(filter txt,$$(MB_DOC_FORMAT)),@echo "Welcome to the $$(if $(1),$(1),MSXBUILD) help system.\\n\\nFor detailed fire help use one of the following targets;\\n") $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_xml_open,$$(MB_DOC_XML_ROOT))) @echo $$(MB_DOC_FIRE_HELP) $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_xml_close,$$(MB_DOC_XML_ROOT))) @@ -231,6 +231,6 @@ MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@help-target-deep,"L .PHONY: @help-all MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@help-all,"Lists all documented information.") endef -MB_DOC_FIRE_FUNCTION_FLOW += $(call mb_make_call,mb_doc_function_flow,mb_doc_flow_help,"Prints flow of fire help target.") +MB_DOC_FIRE_FUNCTION_FLOW += $(call mb_make_call,mb_doc_function_flow,mb_doc_flow_help,"Prints flow of fire help target.","[project-name]") From 23c9fa22ed2b6b3e0a48d17e5c5703041ccde6d5 Mon Sep 17 00:00:00 2001 From: Willem Date: Sat, 6 Jul 2024 00:38:01 +0200 Subject: [PATCH 130/274] Fixed escaping documentation outputs. --- lib/make/mb_doc.mk | 4 ++-- lib/make/mb_make.mk | 12 +++++++++--- 2 files changed, 11 insertions(+), 5 deletions(-) diff --git a/lib/make/mb_doc.mk b/lib/make/mb_doc.mk index ddf7780..0dd0054 100644 --- a/lib/make/mb_doc.mk +++ b/lib/make/mb_doc.mk @@ -52,10 +52,10 @@ MB_DOC_FIRE_VARIABLE_DEEP += $(call mb_make_call,mb_doc_variable_deep,MB_DOC_FOR define _mb_doc_variable_txt - "* "$(2)=$(subst \\n,\\\n,$(subst >,\>,$(4)))\\n$(if $(3),\\t$(3)\\n)\\n + "* "$(2)=$(subst \\n,\\\n,$(subst >,?,$(4)))\\n$(if $(3),\\t$(3)\\n)\\n endef define _mb_doc_variable_xml - \\n\\t$(call mb_make_xml_open,variable,$(MB_DOC_XML_ATTR_NAME),$(2),$(MB_DOC_XML_ATTR_LEVEL),$(1))\\n\\t\\t$(call mb_make_xml_tag_value,$(MB_DOC_XML_TAG_VALUE),$(4))\\n\\t\\t$(call mb_make_xml_tag_value,$(MB_DOC_XML_TAG_DESC),$(3))\\n\\t$(call mb_make_xml_close,variable) + \\n\\t$(call mb_make_xml_open,variable,$(MB_DOC_XML_ATTR_NAME),$(2),$(MB_DOC_XML_ATTR_LEVEL),$(1))\\n\\t\\t$(call mb_make_xml_tag_value,$(MB_DOC_XML_TAG_VALUE),$(subst >,?,$(4)))\\n\\t\\t$(call mb_make_xml_tag_value,$(MB_DOC_XML_TAG_DESC),$(3))\\n\\t$(call mb_make_xml_close,variable) endef define mb_doc_variable $(call mb_make_call,_mb_doc_variable_$(MB_DOC_FORMAT),build,$(1),$(2),$($(1))) diff --git a/lib/make/mb_make.mk b/lib/make/mb_make.mk index 1c39e73..1a85173 100644 --- a/lib/make/mb_make.mk +++ b/lib/make/mb_make.mk @@ -33,10 +33,10 @@ MB_MAKE_LT := "<" MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_MAKE_LT,"Expanded special char; lesser than.") MB_MAKE_CDATA_START := "" -#skip_print_todo...MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_MAKE_CDATA_END,"Expanded special char; XML cdata end.") +MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_MAKE_CDATA_END,"Expanded special char; XML cdata end.") MB_MAKE_ECHO_CRAYON ?= "===" MB_DOC_FIRE_VARIABLE_DEEP += $(call mb_make_call,mb_doc_variable_deep,MB_MAKE_ECHO_CRAYON,"Crayon marker for result step output echo's.") @@ -72,8 +72,14 @@ endef MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_make_xml_close,"Print xml close tag.","") +define mb_make_xml_value +$(MB_MAKE_CDATA_START)$(subst $(MB_MAKE_CDATA_START),,$(subst $(MB_MAKE_CDATA_END),,$(1)))$(MB_MAKE_CDATA_END) +endef +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_make_xml_value,"Print xml value in cdata wrapper.","") + + define mb_make_xml_tag_value -$(if $(2),$(call mb_make_call,mb_make_xml_open,$(1))$(MB_MAKE_CDATA_START)$(2)$(MB_MAKE_CDATA_END)$(call mb_make_call,mb_make_xml_close,$(1))) +$(if $(2),$(call mb_make_call,mb_make_xml_open,$(1))$(call mb_make_call,mb_make_xml_value,$(2))$(call mb_make_call,mb_make_xml_close,$(1))) endef MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_make_xml_tag_value,"Print xml tag with value inside."," [value]") From 00c0d35283b6816fa7ff25c3793ba1cb7fbdd011 Mon Sep 17 00:00:00 2001 From: Willem Date: Sat, 6 Jul 2024 00:45:44 +0200 Subject: [PATCH 131/274] Test is ci supports colors. --- .forgejo/workflows/run-test-asserts.yaml | 1 + 1 file changed, 1 insertion(+) diff --git a/.forgejo/workflows/run-test-asserts.yaml b/.forgejo/workflows/run-test-asserts.yaml index 5eb74c4..eb9f6a3 100644 --- a/.forgejo/workflows/run-test-asserts.yaml +++ b/.forgejo/workflows/run-test-asserts.yaml @@ -6,6 +6,7 @@ on: pull_request: env: VERBOSE: please-show-me-all + MB_OS_COLORS: 16 MB_AUTOEXEC_STARTUP_NOTE: ${{github.workflow}} MB_AUTOEXEC_EMBED_USER: GITHUB_ACTOR MB_AUTOEXEC_EMBED_VARS: GITHUB_EVENT_NAME GITHUB_REF_NAME GITHUB_REF_TYPE GITHUB_REPOSITORY GITHUB_REPOSITORY_OWNER From 71afe8278f55996444559c1caef730cecd26bc1f Mon Sep 17 00:00:00 2001 From: Willem Date: Sat, 6 Jul 2024 00:46:59 +0200 Subject: [PATCH 132/274] No colors in ci yet. --- .forgejo/workflows/run-test-asserts.yaml | 1 - 1 file changed, 1 deletion(-) diff --git a/.forgejo/workflows/run-test-asserts.yaml b/.forgejo/workflows/run-test-asserts.yaml index eb9f6a3..5eb74c4 100644 --- a/.forgejo/workflows/run-test-asserts.yaml +++ b/.forgejo/workflows/run-test-asserts.yaml @@ -6,7 +6,6 @@ on: pull_request: env: VERBOSE: please-show-me-all - MB_OS_COLORS: 16 MB_AUTOEXEC_STARTUP_NOTE: ${{github.workflow}} MB_AUTOEXEC_EMBED_USER: GITHUB_ACTOR MB_AUTOEXEC_EMBED_VARS: GITHUB_EVENT_NAME GITHUB_REF_NAME GITHUB_REF_TYPE GITHUB_REPOSITORY GITHUB_REPOSITORY_OWNER From e015f5bbb1089686e5a79108a7e0b8c38c2af454 Mon Sep 17 00:00:00 2001 From: Willem Date: Sat, 6 Jul 2024 00:57:03 +0200 Subject: [PATCH 133/274] Move native echo to os commands. --- lib/make/mb_make.mk | 4 ++-- lib/make/mb_os.mk | 2 ++ 2 files changed, 4 insertions(+), 2 deletions(-) diff --git a/lib/make/mb_make.mk b/lib/make/mb_make.mk index 1a85173..600e92a 100644 --- a/lib/make/mb_make.mk +++ b/lib/make/mb_make.mk @@ -85,13 +85,13 @@ MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_make_xm define mb_make_echo_good -$(if $(filter -1,$(MB_OS_COLORS)),@echo $(MB_MAKE_ECHO_CRAYON) $(1),/usr/bin/echo -e "\x1B[32m$(MB_MAKE_ECHO_CRAYON)$$1\x1B[39m $(1)") +$(if $(filter -1,$(MB_OS_COLORS)),@echo $(MB_MAKE_ECHO_CRAYON) $(1),$(MB_OS_ECHO) -e "\x1B[32m$(MB_MAKE_ECHO_CRAYON)$$1\x1B[39m $(1)") endef MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_make_echo_good,"Echo's an message to stdout with 'green' crayon.","") define mb_make_echo_fail -$(if $(filter -1,$(MB_OS_COLORS)),@echo $(MB_MAKE_ECHO_CRAYON) $(1),/usr/bin/echo -e "\x1B[31m$(MB_MAKE_ECHO_CRAYON)$$1\x1B[39m $(1)") +$(if $(filter -1,$(MB_OS_COLORS)),@echo $(MB_MAKE_ECHO_CRAYON) $(1),$(MB_OS_ECHO) -e "\x1B[31m$(MB_MAKE_ECHO_CRAYON)$$1\x1B[39m $(1)") endef MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_make_echo_fail,"Echo's an message to stdout with 'red' crayon.","") diff --git a/lib/make/mb_os.mk b/lib/make/mb_os.mk index 4685e7b..50b9978 100644 --- a/lib/make/mb_os.mk +++ b/lib/make/mb_os.mk @@ -5,6 +5,7 @@ ifeq ($(OS),Windows_NT) MB_OS_RMDIR = RMDIR /S /Q MB_OS_MKDIR = mkdir MB_OS_COPY = copy + MB_OS_ECHO ?= echo MB_OS_ERRIGNORE = 2>NUL || true MB_OS_SEP = "\" MB_OS_CACHE ?= %LOCALAPPDATA% @@ -14,6 +15,7 @@ else MB_OS_RMDIR = rm -rf MB_OS_MKDIR = mkdir -p MB_OS_COPY = cp + MB_OS_ECHO ?= /usr/bin/echo MB_OS_ERRIGNORE = 2>/dev/null MB_OS_SEP = "/" MB_OS_CACHE ?= ~/.cache From fd59abc810d8b880d06206c3cf6c46a47856de76 Mon Sep 17 00:00:00 2001 From: Willem Date: Sat, 6 Jul 2024 00:59:01 +0200 Subject: [PATCH 134/274] Added native os command echo variable command documention. --- lib/make/mb_os.mk | 1 + 1 file changed, 1 insertion(+) diff --git a/lib/make/mb_os.mk b/lib/make/mb_os.mk index 50b9978..a6ca5db 100644 --- a/lib/make/mb_os.mk +++ b/lib/make/mb_os.mk @@ -27,6 +27,7 @@ MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_OS_RM," MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_OS_RMDIR,"Native OS remove folder command.") MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_OS_MKDIR,"Native OS create folder command.") MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_OS_COPY,"Native OS copy command.") +MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_OS_ECHO,"Native OS echo command.") MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_OS_ERRIGNORE,"Native OS error to ignore redirect.") MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_OS_SEP,"Native OS path seperator.") MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_OS_CACHE,"Native OS application cache folder.") From 8a18cb494dea68e498ad4ff67983b2adcab96d60 Mon Sep 17 00:00:00 2001 From: Willem Date: Sat, 6 Jul 2024 01:09:59 +0200 Subject: [PATCH 135/274] Moved all file convert function to namespace. --- lib/make/mb_conv.mk | 13 +++++++++++++ lib/make/msxbuild.mk | 12 +----------- src/ahello-m80/0module.mk | 4 ++-- src/dist/0module.mk | 2 +- src/make-on-msx/0module.mk | 6 +++--- 5 files changed, 20 insertions(+), 17 deletions(-) create mode 100644 lib/make/mb_conv.mk diff --git a/lib/make/mb_conv.mk b/lib/make/mb_conv.mk new file mode 100644 index 0000000..d32d41a --- /dev/null +++ b/lib/make/mb_conv.mk @@ -0,0 +1,13 @@ + + +define mb_conv_unix2dos + unix2dos -q -n $(1) $(2) +endef +MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_conv_unix2dos,"Converts an unix file to dos."," ") + + +define mb_conv_dos2unix + dos2unix -q -n $(1) $(2) +endef +MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_conv_dos2unix,"Converts an dos file to unix."," ") + diff --git a/lib/make/msxbuild.mk b/lib/make/msxbuild.mk index 4588c2a..6926937 100644 --- a/lib/make/msxbuild.mk +++ b/lib/make/msxbuild.mk @@ -18,6 +18,7 @@ include $(PATH_MSXBUILD)/lib/make/mb_msxhub.mk include $(PATH_MSXBUILD)/lib/make/mb_msxpipe.mk include $(PATH_MSXBUILD)/lib/make/mb_openmsx.mk include $(PATH_MSXBUILD)/lib/make/mb_autoexec.mk +include $(PATH_MSXBUILD)/lib/make/mb_conv.mk include $(PATH_MSXBUILD)/lib/make/mb_os.mk # Below mb_doc.mk for white space... @@ -52,17 +53,6 @@ endef MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_copy,"Copy an file."," ") -define mb_unix2dos - unix2dos -q -n $(1) $(2) -endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_unix2dos,"Converts an unix file to dos."," ") - - -define mb_dos2unix - dos2unix -q -n $(1) $(2) -endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_dos2unix,"Converts an dos file to unix."," ") - define mb_create_dist $(call mb_make_call,mb_make_echo_good,Creating distribution archive $(2)) diff --git a/src/ahello-m80/0module.mk b/src/ahello-m80/0module.mk index c36b227..dce6c58 100644 --- a/src/ahello-m80/0module.mk +++ b/src/ahello-m80/0module.mk @@ -17,7 +17,7 @@ $(2): | $(1) MB_DOC_FIRE_TARGET_DEEP += $$(call mb_make_call,mb_doc_target_deep,$(2)) $(2)/$(4).mac: $(3)/$(4).mac | $(2) - $$(call mb_make_call,mb_unix2dos,$(3)/$(4).mac,$(2)/$(4).mac) + $$(call mb_make_call,mb_conv_unix2dos,$(3)/$(4).mac,$(2)/$(4).mac) MB_DOC_FIRE_TARGET_DEEP += $$(call mb_make_call,mb_doc_target_deep,$(2)/$(4).mac) $(2)/$(4).rel: $(2)/$(4).mac @@ -63,7 +63,7 @@ $(AHELLO_M80_BIN): | $(PATH_BIN)/@prepare $(call mb_msxhub_get_z80asmuk,$(AHELLO_M80_BIN)/utils) $(AHELLO_M80_BIN)/%.mac: $(AHELLO_M80_SRC)/%.mac | $(AHELLO_M80_BIN) - $(call mb_unix2dos,$<,$@) + $(call mb_conv_unix2dos,$<,$@) $(AHELLO_M80_BIN)/ahello.rel: $(AHELLO_M80_BIN)/ahello.mac $(call mb_msxpipe_safe_cmd,$(AHELLO_M80_BIN),m80 =ahello/Z) diff --git a/src/dist/0module.mk b/src/dist/0module.mk index 8561e19..3540f54 100644 --- a/src/dist/0module.mk +++ b/src/dist/0module.mk @@ -9,7 +9,7 @@ $(DIST_BIN): | $(PATH_BIN)/@prepare $(call mb_mkdir,$(DIST_BIN)) $(DIST_BIN)/readme.txt: $(DIST_SRC)/readme.txt | $(DIST_BIN) - $(call mb_unix2dos,$<,$@) + $(call mb_conv_unix2dos,$<,$@) $(DIST_BIN)/ahello1.com: bin/ahello-m80/ahello.com | $(DIST_BIN) $(call mb_copy,$<,$@) diff --git a/src/make-on-msx/0module.mk b/src/make-on-msx/0module.mk index d90b16a..19c0ccb 100644 --- a/src/make-on-msx/0module.mk +++ b/src/make-on-msx/0module.mk @@ -14,17 +14,17 @@ MB_DOC_FIRE_TARGET_DEEP += $(call mb_make_call,mb_doc_target_deep,$(MAKE_ON_MSX_ $(MAKE_ON_MSX_BIN)/nfbsshot.mac: $(MAKE_ON_MSX_SRC)/nfbsshot.mac | $(MAKE_ON_MSX_BIN) - $(call mb_make_call,mb_unix2dos,$<,$@) + $(call mb_make_call,mb_conv_unix2dos,$<,$@) MB_DOC_FIRE_TARGET_DEEP += $(call mb_make_call,mb_doc_target_deep,$(MAKE_ON_MSX_BIN)/nfbsshot.mac) $(MAKE_ON_MSX_BIN)/nfbsshot.mf: $(MAKE_ON_MSX_SRC)/nfbsshot.mf | $(MAKE_ON_MSX_BIN)/nfbsshot.mac - $(call mb_make_call,mb_unix2dos,$<,$@) + $(call mb_make_call,mb_conv_unix2dos,$<,$@) MB_DOC_FIRE_TARGET_DEEP += $(call mb_make_call,mb_doc_target_deep,$(MAKE_ON_MSX_BIN)/nfbsshot.mf) $(MAKE_ON_MSX_BIN)/build.bat: $(MAKE_ON_MSX_SRC)/build.bat | $(MAKE_ON_MSX_BIN)/nfbsshot.mf - $(call mb_make_call,mb_unix2dos,$<,$@) + $(call mb_make_call,mb_conv_unix2dos,$<,$@) MB_DOC_FIRE_TARGET_DEEP += $(call mb_make_call,mb_doc_target_deep,$(MAKE_ON_MSX_BIN)/build.bat) From 678f81ba6b04a6cc8840d58eab0ea8f2742fe51f Mon Sep 17 00:00:00 2001 From: Willem Date: Sat, 6 Jul 2024 01:20:42 +0200 Subject: [PATCH 136/274] Added openMSX backlog item. --- README.md | 1 + 1 file changed, 1 insertion(+) diff --git a/README.md b/README.md index 3a2ad2c..818ae5e 100644 --- a/README.md +++ b/README.md @@ -125,6 +125,7 @@ When you want to see whats happening do a debug run; * (FIXED) Audio device init option + warning messages on computer without sound card * MIDI device init option + warning messages on computer without sound card * Enhancing the IDE CD-ROM support +* Allow IDEHDOPENMSX IDEHD now hardcoded in IDEHD.CC:37 + update default for mode 40 * Command setcolor does not work on MSX1 vdp TMS9918 * Diskmanipulator export (sync) fully non-cased filename compare (duplicates) * Diskmanipulator export (sync) don't touch/overwrite unmodified files From f956f0a32436ff684381c985856de75bc7297cc2 Mon Sep 17 00:00:00 2001 From: Willem Date: Sat, 6 Jul 2024 12:15:28 +0200 Subject: [PATCH 137/274] Added make backlog item. --- README.md | 47 +++++++++++++++++++++++++++++++++++++++-------- 1 file changed, 39 insertions(+), 8 deletions(-) diff --git a/README.md b/README.md index 818ae5e..22f4fbb 100644 --- a/README.md +++ b/README.md @@ -112,30 +112,61 @@ When you want to see whats happening do a debug run; ## Errata +* boot_exec_setcolor: does not work on MSX1 vdp TMS9918 * Doesn't work on windows * TODO: mbboot80+add arg prefix and move to other repro + msxhub package * TODO: Missing C and mixed support * TODO: Use TCL for BASIC/ASM/C lcov (Cobertura) code coverage + ## OpenMSX backlog issues -* (FIXED:SDL is removed) Bug: screenshots sometimes segfaults when using SDL renderer -* Bug: screenshots don't work without throttle -* Bug: Imported folders in openMSX; `cd utils;cd ..;dir` breaks, use `cd utils:cd a:\;dir` as workaround -* (FIXED) Audio device init option + warning messages on computer without sound card +* (FIXED:rm-SDL) Bug: screenshots sometimes segfaults when using SDL renderer +* Screenshots don't work without throttle +* (FIXED++) Audio device init option + warning messages on computer without sound card * MIDI device init option + warning messages on computer without sound card * Enhancing the IDE CD-ROM support -* Allow IDEHDOPENMSX IDEHD now hardcoded in IDEHD.CC:37 + update default for mode 40 -* Command setcolor does not work on MSX1 vdp TMS9918 +* Allow `IDEHDOPENMSX IDEHD` now hardcoded in IDEHD.CC:37 + update default for mode 40 * Diskmanipulator export (sync) fully non-cased filename compare (duplicates) * Diskmanipulator export (sync) don't touch/overwrite unmodified files * Diskmanipulator reuse the device defined filename +* Diskmanipulator Imported folders in openMSX; `cd utils;cd ..;dir` breaks, use `cd utils:cd a:\;dir` as workaround * run instance-id or run/process-label to replace hardcoded "untitled1" path * Remove msg or add ignore sram-fully or blank setting: SRAM file nms8250.cmos not found, assuming blank SRAM content. * Video record append mode + optional multiple previds to glue videos as one -* Video record remove blue start frame on start of recording -* (FIXED) Allow for `set renderer none` in TCL or command argument for headless mode * SDL-NULL as window less renderer so that screenshot and/or video works headless +* (FIXED:rm-SDL)Video record remove blue start frame on start of recording +* (FIXED++) Allow for `set renderer none` in TCL or command argument for headless mode +* (DONE) DocUpdate: Added optional exit code of exit command * DocUpdate: Add speed note because it is slow + add example "after quit {export hdd}" * DocUpdate: Wrap all TCL commands in catch+stderr+exit1 * DocUpdate: Add 'headless' example via stdio+new + link to control omsxctl + +## Make4++ backlog issues + +* Add a few new define's to have official make documentation +* Add function `call_real` which exits on undefined call argument +* Add -e option to make internal echo command for escaped sequences +* Add native OS functions for `os_copy,os_rmfile,rmdir,mkdir,touch,delfile,deldir` +* Add string str_lenght function +* Add string str_is_ascii function (death with unicode) +* Add str_lowercase and str_uppercase functions (full 21bit unicode support) +* Add `call_flow` fail on undefined argument and puts result in eval to parse flow rules +* Add `define_flow` which reverses dollar escaping so write $$(1) for $(1) and other are like normal +* Add XML output for help system for integration with IDE's +* Add rwildcard for deeper searches +* Add namespace support for variables/functions/targets like: moduleX::bin/prog.o: moduleX::src.prog.c +* Add checksum function to calc checksum for file +* Add `os_copy_diff` function to use checksums to copy only changed files +* Allow make to use checksums not timestamps to detect file updates +* Define (binary) namespaced XML to replace ascii Makefile syntax +* Make5: Add converter command for upgrade make4 syntax and option to run make4 file. +* Make5: move all internal's to namespace's +* Make5: upgrade to new BDOS split file and folder API in OS kernel VFS layer +* Make5: Delete all Old-Fashioned Suffix Rules code +* Make5: Delete .EXPORT_ALL_VARIABLES and `export` without variable +* Make5: Delete VPATH search variable (replace with namespace vpath ??) +* Make5: Delete/refactor all (old) code that make the make code ugly +* Make5: Adds zillala (emacs like) bug reporting output for when make fails script or internally +* Make6: Only support 18bit four corner method, fixed i18n words, no letters, no ascii, no unicode + From d2e5711d6a492028a39152fb4b65755c7eec28a8 Mon Sep 17 00:00:00 2001 From: Willem Date: Sat, 6 Jul 2024 12:29:38 +0200 Subject: [PATCH 138/274] Also remove guile support. --- README.md | 3 ++- 1 file changed, 2 insertions(+), 1 deletion(-) diff --git a/README.md b/README.md index 22f4fbb..331c7eb 100644 --- a/README.md +++ b/README.md @@ -155,11 +155,12 @@ When you want to see whats happening do a debug run; * Add `define_flow` which reverses dollar escaping so write $$(1) for $(1) and other are like normal * Add XML output for help system for integration with IDE's * Add rwildcard for deeper searches -* Add namespace support for variables/functions/targets like: moduleX::bin/prog.o: moduleX::src.prog.c +* Add namespace support for variables/functions/targets like: moduleX::bin/prog.o: moduleX::src/prog.c * Add checksum function to calc checksum for file * Add `os_copy_diff` function to use checksums to copy only changed files * Allow make to use checksums not timestamps to detect file updates * Define (binary) namespaced XML to replace ascii Makefile syntax +* Make5: Remove guile support fully (maybe replace with embedded MSX BASIC) * Make5: Add converter command for upgrade make4 syntax and option to run make4 file. * Make5: move all internal's to namespace's * Make5: upgrade to new BDOS split file and folder API in OS kernel VFS layer From 1b2e6fbe99c416e11550871deab2d4030e16e72a Mon Sep 17 00:00:00 2001 From: Willem Date: Sat, 6 Jul 2024 12:34:48 +0200 Subject: [PATCH 139/274] Replace some ascii magic keywords for modern name with human letters. --- README.md | 1 + 1 file changed, 1 insertion(+) diff --git a/README.md b/README.md index 331c7eb..58e6bdd 100644 --- a/README.md +++ b/README.md @@ -163,6 +163,7 @@ When you want to see whats happening do a debug run; * Make5: Remove guile support fully (maybe replace with embedded MSX BASIC) * Make5: Add converter command for upgrade make4 syntax and option to run make4 file. * Make5: move all internal's to namespace's +* Make5: Replace all ultra short 1980's magic templates variables with ultra long human readable name * Make5: upgrade to new BDOS split file and folder API in OS kernel VFS layer * Make5: Delete all Old-Fashioned Suffix Rules code * Make5: Delete .EXPORT_ALL_VARIABLES and `export` without variable From e3b9df25e97ce080dc8158cf8936f3aa4fd4a7c2 Mon Sep 17 00:00:00 2001 From: Willem Date: Sat, 6 Jul 2024 13:10:46 +0200 Subject: [PATCH 140/274] Implemented mb_make_call_eval function. --- Makefile | 4 ++-- README.md | 4 ++-- lib/make/mb_make.mk | 7 +++++++ 3 files changed, 11 insertions(+), 4 deletions(-) diff --git a/Makefile b/Makefile index c460cab..2040704 100644 --- a/Makefile +++ b/Makefile @@ -14,6 +14,6 @@ PATH_BIN := bin PATH_SRC := src PATH_MSXBUILD ?= . include $(PATH_MSXBUILD)/lib/make/msxbuild.mk -$(eval $(call mb_make_call,mb_doc_flow_help,MSXBUILD-Example)) -$(eval $(call mb_make_call,mb_make_flow_0module,$(PATH_SRC))) +$(call mb_make_call_eval,mb_doc_flow_help,MSXBUILD-Example) +$(call mb_make_call_eval,mb_make_flow_0module,$(PATH_SRC)) diff --git a/README.md b/README.md index 58e6bdd..126c02c 100644 --- a/README.md +++ b/README.md @@ -151,8 +151,8 @@ When you want to see whats happening do a debug run; * Add string str_lenght function * Add string str_is_ascii function (death with unicode) * Add str_lowercase and str_uppercase functions (full 21bit unicode support) -* Add `call_flow` fail on undefined argument and puts result in eval to parse flow rules -* Add `define_flow` which reverses dollar escaping so write $$(1) for $(1) and other are like normal +* Add `call_eval` fail on undefined argument and puts result in eval to parse flow rules +* Add `define_eval` which reverses dollar escaping so write $$(1) for $(1) and other are like normal * Add XML output for help system for integration with IDE's * Add rwildcard for deeper searches * Add namespace support for variables/functions/targets like: moduleX::bin/prog.o: moduleX::src/prog.c diff --git a/lib/make/mb_make.mk b/lib/make/mb_make.mk index 600e92a..1e1beed 100644 --- a/lib/make/mb_make.mk +++ b/lib/make/mb_make.mk @@ -54,6 +54,13 @@ endef MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_make_call,"Checked origin call function wrapper."," [args...]") +define mb_make_call_eval +$(eval $(call mb_make_call,$(1),$(2),$(3),$(4),$(5),$(6),$(7),$(8),$(9))) +endef +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_make_call_eval,"Eval and origin checked flow injector."," [args...]") + + + define mb_make_lowercase $(subst A,a,$(subst B,b,$(subst C,c,$(subst D,d,$(subst E,e,$(subst F,f,$(subst G,g,$(subst H,h,$(subst I,i,$(subst J,j,$(subst K,k,$(subst L,l,$(subst M,m,$(subst N,n,$(subst O,o,$(subst P,p,$(subst Q,q,$(subst R,r,$(subst S,s,$(subst T,t,$(subst U,u,$(subst V,v,$(subst W,w,$(subst X,x,$(subst Y,y,$(subst Z,z,$(1))))))))))))))))))))))))))) endef From 51a3276e3913a11f645be840a0487f27be9c39d4 Mon Sep 17 00:00:00 2001 From: Willem Date: Sat, 6 Jul 2024 13:11:02 +0200 Subject: [PATCH 141/274] Fixed white space. --- lib/make/mb_make.mk | 1 - 1 file changed, 1 deletion(-) diff --git a/lib/make/mb_make.mk b/lib/make/mb_make.mk index 1e1beed..d015bae 100644 --- a/lib/make/mb_make.mk +++ b/lib/make/mb_make.mk @@ -60,7 +60,6 @@ endef MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_make_call_eval,"Eval and origin checked flow injector."," [args...]") - define mb_make_lowercase $(subst A,a,$(subst B,b,$(subst C,c,$(subst D,d,$(subst E,e,$(subst F,f,$(subst G,g,$(subst H,h,$(subst I,i,$(subst J,j,$(subst K,k,$(subst L,l,$(subst M,m,$(subst N,n,$(subst O,o,$(subst P,p,$(subst Q,q,$(subst R,r,$(subst S,s,$(subst T,t,$(subst U,u,$(subst V,v,$(subst W,w,$(subst X,x,$(subst Y,y,$(subst Z,z,$(1))))))))))))))))))))))))))) endef From f7324721c2eab1c26e73e1c2777d577836e56607 Mon Sep 17 00:00:00 2001 From: Willem Date: Sun, 7 Jul 2024 04:33:03 +0200 Subject: [PATCH 142/274] Added project phases and flow generators. --- .forgejo/workflows/run-test-asserts.yaml | 2 +- .gitignore | 1 + Makefile | 24 ++ README.md | 12 +- lib/make/mb_assert.mk | 26 ++ lib/make/mb_autoexec.mk | 6 +- lib/make/mb_conv.mk | 2 + lib/make/mb_make.mk | 29 ++- lib/make/mb_openmsx.mk | 13 +- lib/make/mb_proj.mk | 301 +++++++++++++++++++++++ lib/make/mb_sdcc.mk | 12 +- lib/make/mb_tool.mk | 88 +++++++ lib/make/msxbuild.mk | 13 +- src/0module/0module.mk | 3 - src/0module/assert-all/0module.mk | 17 -- src/0module/clean/0module.mk | 5 - src/0module/prepare/0module.mk | 5 - src/ahello-m80-test/0module.mk | 23 -- src/ahello-m80/0module.mk | 85 +------ src/ahello-sdcc-test/0module.mk | 22 -- src/ahello-sdcc/0module.mk | 22 +- src/dist-qa-dos1/0module.mk | 18 +- src/dist-qa-dos2/0module.mk | 22 +- src/dist-qa-msx1/0module.mk | 22 +- src/dist-qa-msxhub/0module.mk | 26 +- src/dist/0module.mk | 5 +- src/make-on-msx/0module.mk | 29 ++- src/mbboot80-test/0module.mk | 22 -- src/mbboot80/0module.mk | 38 +-- 29 files changed, 606 insertions(+), 287 deletions(-) create mode 100644 lib/make/mb_assert.mk create mode 100644 lib/make/mb_proj.mk create mode 100644 lib/make/mb_tool.mk delete mode 100644 src/0module/0module.mk delete mode 100644 src/0module/assert-all/0module.mk delete mode 100644 src/0module/clean/0module.mk delete mode 100644 src/0module/prepare/0module.mk delete mode 100644 src/ahello-m80-test/0module.mk delete mode 100644 src/ahello-sdcc-test/0module.mk delete mode 100644 src/mbboot80-test/0module.mk diff --git a/.forgejo/workflows/run-test-asserts.yaml b/.forgejo/workflows/run-test-asserts.yaml index 5eb74c4..ed0ea8e 100644 --- a/.forgejo/workflows/run-test-asserts.yaml +++ b/.forgejo/workflows/run-test-asserts.yaml @@ -16,4 +16,4 @@ jobs: - name: Check out uses: actions/checkout@v3 - name: Run asserts - run: make -j1 bin/@assert-all + run: make -j1 @package-qa diff --git a/.gitignore b/.gitignore index 189231c..415ce4f 100644 --- a/.gitignore +++ b/.gitignore @@ -1,6 +1,7 @@ syntax: glob # Project ignores +Makelocal.mk bin bin/** diff --git a/Makefile b/Makefile index 2040704..5c71ad5 100644 --- a/Makefile +++ b/Makefile @@ -1,6 +1,9 @@ # # Module based project makefile for msxbuild. # + + +# Configure make .SUFFIXES: .PHONY: Makefile ifndef VERBOSE @@ -10,10 +13,31 @@ ifeq ($(.DEFAULT_GOAL),) .DEFAULT_GOAL := @help endif + +# Configure project +-include Makelocal.mk PATH_BIN := bin PATH_SRC := src PATH_MSXBUILD ?= . + + +# Generate project flow include $(PATH_MSXBUILD)/lib/make/msxbuild.mk $(call mb_make_call_eval,mb_doc_flow_help,MSXBUILD-Example) $(call mb_make_call_eval,mb_make_flow_0module,$(PATH_SRC)) +$(call mb_make_call_eval,mb_proj_flow_setup,$(PATH_BIN)) +$(call mb_make_call_eval,mb_proj_flow_flight_video,$(PATH_BIN)) + + +# Add japanese support +ifdef LANG_JP +MB_MAKE_ECHO_CRAYON = "©Δ∞ 仙上主天" +MB_PROJ_META_NAME = "メッカシステム拡大建てる" +MB_PROJ_STEP_BEFORE_PREFIX = "フェーズ前 " +MB_PROJ_STEP_AFTER_PREFIX = "フェーズ後 " +MB_PROJ_STEP_DONE_PREFIX = "プライムパイターゲットの実行を終了しました " +MB_OPENMSX_LOG_PREFIX = "オープンメッカシステム拡張を開始しましょう!のために" +MB_AUTOEXEC_LOG_PREFIX = "自動実行の書き込み" +$(call mb_make_call_eval,mb_proj_flow_setup_jp) +endif diff --git a/README.md b/README.md index 126c02c..2e34c7c 100644 --- a/README.md +++ b/README.md @@ -84,27 +84,27 @@ Running: `make` When you want to see whats happening do a debug run; normal run; - time make bin/@assert-all + time make @package-qa real 0m3.223s debug run at 11 Mhz; - time VERBOSE=on MB_OPENMSX_HEADLESS=off MB_OPENMSX_THROTTLE=on make bin/@assert-all + time VERBOSE=on MB_OPENMSX_HEADLESS=off MB_OPENMSX_THROTTLE=on make @package-qa real 1m4.856s debug run real msx speed; - time VERBOSE=on MB_OPENMSX_HEADLESS=off MB_OPENMSX_THROTTLE=on MB_OPENMSX_SPEED=100 make bin/@assert-all + time VERBOSE=on MB_OPENMSX_HEADLESS=off MB_OPENMSX_THROTTLE=on MB_OPENMSX_SPEED=100 make @package-qa real 2m37.379s record run with screenshot on exit; (black screens without throttle) - time MB_OPENMSX_HEADLESS=off MB_OPENMSX_THROTTLE=on MB_FLIGHT_SCREEN=on make bin/@assert-all + time MB_OPENMSX_HEADLESS=off MB_OPENMSX_THROTTLE=on MB_FLIGHT_SCREEN=on make @package-qa real 1m14.043s record run with video per session and merge to one video file; - time MB_OPENMSX_HEADLESS=off MB_FLIGHT_VIDEO=on make bin/@assert-all + time make @package-qa-video real 0m7.136s build parallel; - time make -j4 bin/@assert-all + time make -j4 @package-qa real 0m1.817s manual test msxhub on machine; diff --git a/lib/make/mb_assert.mk b/lib/make/mb_assert.mk new file mode 100644 index 0000000..7048229 --- /dev/null +++ b/lib/make/mb_assert.mk @@ -0,0 +1,26 @@ + + +define mb_assert_flow_grep_binary +$(1)/@assert-$(2): $(1)/@build + grep -q -U $(4) $(1)/$(3) + $$(call mb_make_call,mb_make_echo_assert,Assert succes @assert-$(2)) +MB_DOC_FIRE_TARGET += $$(call mb_doc_target,$(1)/@assert-$(2),"Asserts that $(3) output matches.") +MB_PROJ_PHASE_TEST_DEPS += $(1)/@assert-$(2) +.PHONY: $(1)/@assert-$(2) +endef +MB_DOC_FIRE_FUNCTION_FLOW += $(call mb_make_call,mb_doc_function_flow,mb_assert_flow_grep_binary,"Prints flow of single binary grep assert."," ") + +#$$(call mb_delete,$(1)/$(3).out) +define mb_assert_flow_bdos_grep +$(1)-test-$(2)/@assert: $(1)/@build + $$(call mb_mkdir,$(1)-test-$(2)) + $$(call mb_copy,$(1)/$(3).com,$(1)-test-$(2)) + $$(call mb_msxpipe_safe_test,$(1)-test-$(2),$(3) > $(3).out) + grep -q $(4) $(1)-test-$(2)/$(3).out + $$(call mb_make_call,mb_make_echo_assert,Assert succes $(1)-test-$(2)/@assert) +MB_DOC_FIRE_TARGET += $$(call mb_doc_target,$(1)-test-$(2)/@assert,"Asserts that $(3) output matches.") +MB_PROJ_PHASE_TEST_DEPS += $(1)-test-$(2)/@assert +.PHONY: $(1)-test-$(2)/@assert +endef +MB_DOC_FIRE_FUNCTION_FLOW += $(call mb_make_call,mb_doc_function_flow,mb_assert_flow_bdos_grep,"Prints flow of single grep assert."," ") + diff --git a/lib/make/mb_autoexec.mk b/lib/make/mb_autoexec.mk index 1ee9e5f..a068f12 100644 --- a/lib/make/mb_autoexec.mk +++ b/lib/make/mb_autoexec.mk @@ -1,4 +1,5 @@ + MB_AUTOEXEC_SHOW_VERSION ?= off MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_SHOW_VERSION,"Print OS version on boot.") @@ -65,6 +66,9 @@ MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_PROMPT_F MB_AUTOEXEC_PROMPT_SPACE ?= on MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_PROMPT_SPACE,"When on adds an white space after the prompt format.") +MB_AUTOEXEC_LOG_PREFIX ?= "Write autoexec for " +MB_DOC_FIRE_VARIABLE_DEEP += $(call mb_make_call,mb_doc_variable_deep,MB_AUTOEXEC_LOG_PREFIX,"Prefix of message to log when writing a default autoexec.") + define mb_autoexec_append_cmd echo "$(2)\r" >> $(1)/autoexec.bat @@ -155,7 +159,7 @@ endef define mb_autoexec_write_default - $(call mb_make_call,mb_make_echo_good,Write autoexec for $@) + $(call mb_make_call,mb_make_echo_command,$(MB_AUTOEXEC_LOG_PREFIX)$@) @echo -n "" > $(1)/autoexec.bat $(if $(wildcard $(1)/utils),$(call _mb_autoexec_write_preboot,$(1),utils\,$(2),$(3)),$(call _mb_autoexec_write_preboot,$(1),,$(2),$(3))) $(if $(MB_AUTOEXEC_STARTUP_NOTE),$(call mb_autoexec_append_echo,$(1),mb::note $(MB_AUTOEXEC_STARTUP_NOTE))) diff --git a/lib/make/mb_conv.mk b/lib/make/mb_conv.mk index d32d41a..1bd916e 100644 --- a/lib/make/mb_conv.mk +++ b/lib/make/mb_conv.mk @@ -1,12 +1,14 @@ define mb_conv_unix2dos + $(call mb_make_call,mb_make_echo_command,Convert to dos-EOL $(2)) unix2dos -q -n $(1) $(2) endef MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_conv_unix2dos,"Converts an unix file to dos."," ") define mb_conv_dos2unix + $(call mb_make_call,mb_make_echo_command,Convert to nix-EOL $(2)) dos2unix -q -n $(1) $(2) endef MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_conv_dos2unix,"Converts an dos file to unix."," ") diff --git a/lib/make/mb_make.mk b/lib/make/mb_make.mk index d015bae..25b0b19 100644 --- a/lib/make/mb_make.mk +++ b/lib/make/mb_make.mk @@ -1,4 +1,5 @@ + MB_MAKE_COMMA := , MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_MAKE_COMMA,"Expanded special char; comma.") @@ -66,6 +67,12 @@ endef MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_make_lowercase,"Converts ascii string to lowercase.","") +define mb_make_uppercase +$(subst a,A,$(subst b,B,$(subst c,C,$(subst d,D,$(subst e,E,$(subst f,F,$(subst g,G,$(subst h,H,$(subst i,I,$(subst j,J,$(subst k,K,$(subst l,L,$(subst m,M,$(subst n,N,$(subst o,O,$(subst p,P,$(subst q,Q,$(subst r,R,$(subst s,S,$(subst t,T,$(subst u,U,$(subst v,V,$(subst w,W,$(subst x,X,$(subst y,Y,$(subst z,Z,$(1))))))))))))))))))))))))))) +endef +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_make_uppercase,"Converts ascii string to uppercase.","") + + define mb_make_xml_open $(MB_MAKE_LT)$(1)$(if $(2), $(2)=\"$(3)\")$(if $(4), $(4)=\"$(5)\")$(MB_MAKE_GT) endef @@ -90,6 +97,12 @@ endef MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_make_xml_tag_value,"Print xml tag with value inside."," [value]") +define mb_make_flow_0module +include $$(call mb_make_call,mb_make_rwildcard,$(1),*/0module.mk) +endef +MB_DOC_FIRE_FUNCTION_FLOW += $(call mb_make_call,mb_doc_function_flow,mb_make_flow_0module,"Prints flow of recursive 0module.mk include.","") + + define mb_make_echo_good $(if $(filter -1,$(MB_OS_COLORS)),@echo $(MB_MAKE_ECHO_CRAYON) $(1),$(MB_OS_ECHO) -e "\x1B[32m$(MB_MAKE_ECHO_CRAYON)$$1\x1B[39m $(1)") endef @@ -102,10 +115,20 @@ endef MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_make_echo_fail,"Echo's an message to stdout with 'red' crayon.","") -define mb_make_flow_0module -include $$(call mb_make_call,mb_make_rwildcard,$(1),*/0module.mk) +define mb_make_echo_command +$(if $(filter -1,$(MB_OS_COLORS)),@echo $(MB_MAKE_ECHO_CRAYON) $(1),$(MB_OS_ECHO) -e "\x1B[34m$(MB_MAKE_ECHO_CRAYON)$$1\x1B[39m $(1)") endef -MB_DOC_FIRE_FUNCTION_FLOW += $(call mb_make_call,mb_doc_function_flow,mb_make_flow_0module,"Prints flow of recursive 0module.mk include.","") +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_make_echo_command,"Echo's an message to stdout with 'blue' crayon.","") +define mb_make_echo_phase +$(if $(filter -1,$(MB_OS_COLORS)),@echo $(MB_MAKE_ECHO_CRAYON) $(1),$(MB_OS_ECHO) -e "\x1B[35m$(MB_MAKE_ECHO_CRAYON)$$1\x1B[39m $(1)") +endef +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_make_echo_phase,"Echo's an message to stdout with 'magenta' crayon.","") + + +define mb_make_echo_assert +$(if $(filter -1,$(MB_OS_COLORS)),@echo $(MB_MAKE_ECHO_CRAYON) $(1),$(MB_OS_ECHO) -e "\x1B[33m$(MB_MAKE_ECHO_CRAYON)$$1\x1B[39m $(1)") +endef +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_make_echo_phase,"Echo's an message to stdout with 'yellow' crayon.","") diff --git a/lib/make/mb_openmsx.mk b/lib/make/mb_openmsx.mk index 09d2794..e97396b 100644 --- a/lib/make/mb_openmsx.mk +++ b/lib/make/mb_openmsx.mk @@ -1,4 +1,5 @@ + MB_OPENMSX_BOOT_TIMEOUT ?= 25 MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_OPENMSX_BOOT_TIMEOUT,"Timeout in seconds to guard for boot failures.") @@ -32,6 +33,13 @@ MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_OPENMSX_HEADLESS, MB_OPENMSX_RENDERER ?= SDLGL-PP MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_OPENMSX_RENDERER,"The gui renderer used to display the window head with.") +MB_OPENMSX_LOG_PREFIX ?= "Start openMSX! for " +MB_DOC_FIRE_VARIABLE_DEEP += $(call mb_make_call,mb_doc_variable_deep,MB_OPENMSX_LOG_PREFIX,"Prefix of startup message of openMSX.") + +# Kills valuable info on build server, thus keep off until issues openMSX are solved, rm this variale ASP; +MB_OPENMSX_STDOUT_NULL ?= off +#MB_DOC_FIRE_VARIABLE_DEEP += $(call mb_make_call,mb_doc_variable_deep,MB_OPENMSX_STDOUT_NULL,"Pipes openMSX stdout to null for silence messages.") + define mb_openmsx_setup $(if $(wildcard $(1)-omsx),,$(call mb_mkdir,$(1)-omsx)) @@ -55,7 +63,7 @@ MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_openmsx define _mb_openmsx_run - $(call mb_make_call,mb_make_echo_good,Start openMSX! for $@ on $(2)) + $(call mb_make_call,mb_make_echo_command,$(MB_OPENMSX_LOG_PREFIX)$@ on $(2)) $(if $(wildcard $(1)-omsx/persistent/fire-hdd/untitled1/sync),,$(call mb_make_call,mb_mkdir,$(1)-omsx/persistent/fire-hdd/untitled1/sync)) BOOT_WATCHDOG=$(MB_OPENMSX_BOOT_TIMEOUT) \ FIRE_HDD_PATH="$(1)" \ @@ -82,7 +90,7 @@ define _mb_openmsx_run $(if $(filter ram4mb,$(3)),-ext ram2mb) \ $(if $(filter ram4mb,$(3)),-ext ram4mb) \ $(MB_OPENMSX_ARGS) \ - -control stdio < $(1)-omsx/stdio.xml + -control stdio < $(1)-omsx/stdio.xml $(if $(filter on,$(MB_OPENMSX_STDOUT_NULL)),> /dev/null) rsync --checksum --recursive "$(1)-omsx/persistent/fire-hdd/untitled1/sync/" "$(1)/" endef @@ -103,3 +111,4 @@ define mb_openmsx_dosctl $(call mb_make_call,_mb_openmsx_run,$(1),$(if $(2),$(2),$(MB_OPENMSX_MACHINE)),$(if $(3),$(3),$(MB_OPENMSX_MACHINE_RAM))) endef MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_openmsx_dosctl,"Starts openMSX with dos controller."," [machine] [mem-ext]") + diff --git a/lib/make/mb_proj.mk b/lib/make/mb_proj.mk new file mode 100644 index 0000000..400cd71 --- /dev/null +++ b/lib/make/mb_proj.mk @@ -0,0 +1,301 @@ + + +MB_PROJ_META_NAME ?= MSXBUILD +MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_PROJ_META_NAME,"The project name.") + +MB_PROJ_META_DESCRIPTION ?= +MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_PROJ_META_DESCRIPTION,"The project description.") + +MB_PROJ_META_WEBSITE ?= +MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_PROJ_META_WEBSITE,"The project website location.") + +MB_PROJ_STEP_BEFORE_PREFIX ?= "Before -- " +MB_DOC_FIRE_VARIABLE_DEEP += $(call mb_make_call,mb_doc_variable_deep,MB_PROJ_STEP_BEFORE_PREFIX,"Prefix for begin phase message.") + +MB_PROJ_STEP_AFTER_PREFIX ?= "After ---- " +MB_DOC_FIRE_VARIABLE_DEEP += $(call mb_make_call,mb_doc_variable_deep,MB_PROJ_STEP_AFTER_PREFIX,"Prefix for af phase message.") + +MB_PROJ_STEP_DONE_PREFIX ?= "Finished executing prime pi target " +MB_DOC_FIRE_VARIABLE_DEEP += $(call mb_make_call,mb_doc_variable_deep,MB_PROJ_STEP_DONE_PREFIX,"Prefix for af phase message.") + +MB_PROJ_PHASE_PROCESS_DEPS ?= +MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,mb_doc_variable_flow,MB_PROJ_PHASE_PROCESS_DEPS,"The targets to trigger by project @process target.") + +MB_PROJ_PHASE_COMPILE_DEPS ?= +MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,mb_doc_variable_flow,MB_PROJ_PHASE_COMPILE_DEPS,"The targets to trigger by project @compile target.") + +MB_PROJ_PHASE_LINK_DEPS ?= +MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,mb_doc_variable_flow,MB_PROJ_PHASE_LINK_DEPS,"The targets to trigger by project @link target.") + +MB_PROJ_PHASE_BUILD_DEPS ?= +MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,mb_doc_variable_flow,MB_PROJ_PHASE_BUILD_DEPS,"The targets to trigger by project @build target.") + +MB_PROJ_PHASE_TEST_DEPS ?= +MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,mb_doc_variable_flow,MB_PROJ_PHASE_TEST_DEPS,"The targets to trigger by project @test target.") + +MB_PROJ_PHASE_PACKAGE_DEPS ?= +MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,mb_doc_variable_flow,MB_PROJ_PHASE_PACKAGE_DEPS,"The targets to trigger by project @package target.") + +MB_PROJ_PHASE_PACKAGE_QA_DEPS ?= +MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,mb_doc_variable_flow,MB_PROJ_PHASE_PACKAGE_QA_DEPS,"The targets to trigger by project @package-qa target.") + +MB_PROJ_PHASE_PACKAGE_DEPLOY_DEPS ?= +MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,mb_doc_variable_flow,MB_PROJ_PHASE_PACKAGE_QA_DEPLOY,"The targets to trigger by project @package-deploy target.") + +MB_PROJ_PHASE_RUN_DEPS ?= +MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,mb_doc_variable_flow,MB_PROJ_PHASE_QA_DEPS,"The targets to trigger by project @run target.") + + +define mb_proj_module_path_src +$(1)/$(subst /,,$(subst $(1),,$(dir $(lastword $(MAKEFILE_LIST))))) +endef +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_proj_module_path_src,"Convert include location folder as src folder.","") + + +define mb_proj_module_path_bin +$(2)/$(subst /,,$(subst $(1),,$(dir $(lastword $(MAKEFILE_LIST))))) +endef +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_proj_module_path_src,"Convert include location folder as bin folder."," ") + + +define mb_proj_module_local_deps +$(foreach dep,$(2),$(1)/$(notdir $(dep))) +endef +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_proj_module_deps,"Convert other files to local deps."," ") + + + +define _mb_proj_flow_step_before + $(call mb_make_call,mb_make_echo_phase,$(MB_PROJ_STEP_BEFORE_PREFIX)$@) +endef +define _mb_proj_flow_step_done + $(call mb_make_call,mb_make_echo_phase,$(MB_PROJ_STEP_AFTER_PREFIX)$@) + $(if $(filter $@,$(MAKECMDGOALS)),$(call mb_make_call,mb_make_echo_good,$(MB_PROJ_STEP_DONE_PREFIX)$@)) +endef +define _mb_proj_run_clean + $(foreach folder,$(1),$(if $(wildcard $(folder)),$(call mb_make_call,mb_make_echo_command,Removing folder $(folder));)) + $(foreach folder,$(1),$(if $(wildcard $(folder)),$(call mb_make_call,mb_clean,$(folder)))) +endef +define _mb_proj_run_init + $(foreach folder,$(1),$(if $(wildcard $(folder)),,$(call mb_make_call,mb_make_echo_command,Creating folder $(folder));)) + $(foreach folder,$(1),$(if $(wildcard $(folder)),,$(call mb_make_call,mb_mkdir,$(folder)))) +endef +define _mb_proj_run_selector + @$(MB_OS_ECHO) -e -n "Please run one of the following targets;\n\n " + @$(MB_OS_ECHO) -e $(subst bin,"* bin",$(subst @run,@run\\\n,$(MB_PROJ_PHASE_RUN_DEPS))) +endef + + +define mb_proj_flow_setup +@@clean: + $$(call mb_make_call,_mb_proj_flow_step_before) +.PHONY: @@clean + + +@clean: @@clean + $$(call mb_make_call,_mb_proj_run_clean,$(1)) + $$(call mb_make_call,_mb_proj_flow_step_done) +MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@clean,"Clean's the project build folders.") +.PHONY: @clean + + +@@init: + $$(call mb_make_call,_mb_proj_flow_step_before) +.PHONY: @@init + + +@init: @@init + $$(call mb_make_call,_mb_proj_run_init,$(1)) + $$(call mb_make_call,_mb_proj_flow_step_done) +MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@init,"Setup and project output folder.") +.PHONY: @init + + +@@process: @init + $$(call mb_make_call,_mb_proj_flow_step_before) +.PHONY: @@process + + +@process: @@process $$(MB_PROJ_PHASE_PROCESS_DEPS) + $$(call mb_make_call,_mb_proj_flow_step_done) +MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@process,"Process sources before compiling.") +.PHONY: @process + + +@@compile: @process + $$(call mb_make_call,_mb_proj_flow_step_before) +.PHONY: @@compile + + +@compile: @@compile $$(MB_PROJ_PHASE_COMPILE_DEPS) + $$(call mb_make_call,_mb_proj_flow_step_done) +MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@compile,"Compiles all project sources.") +.PHONY: @compile + + +@@link: @compile + $$(call mb_make_call,_mb_proj_flow_step_before) +.PHONY: @@link + + +@link: @@link $$(MB_PROJ_PHASE_LINK_DEPS) + $$(call mb_make_call,_mb_proj_flow_step_done) +MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@link,"Link all project intermediate files.") +.PHONY: @link + + +@@build: @link + $$(call mb_make_call,_mb_proj_flow_step_before) +.PHONY: @@build + + +@build: @@build $$(MB_PROJ_PHASE_BUILD_DEPS) + $$(call mb_make_call,_mb_proj_flow_step_done) +MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@build,"Build all project artifacts.") +.PHONY: @build + + +@@test: @build + $$(call mb_make_call,_mb_proj_flow_step_before) +.PHONY: @@test + + +@test: @@test $$(MB_PROJ_PHASE_TEST_DEPS) + $$(call mb_make_call,_mb_proj_flow_step_done) +MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@test,"Run all assertion tests.") +.PHONY: @test + + +@@package: @test + $$(call mb_make_call,_mb_proj_flow_step_before) +.PHONY: @@package + + +@package: @@package $$(MB_PROJ_PHASE_PACKAGE_DEPS) + $$(call mb_make_call,_mb_proj_flow_step_done) +MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@package,"Create package/packages of project.") +.PHONY: @package + + +@@package-qa: @package + $$(call mb_make_call,_mb_proj_flow_step_before) +.PHONY: @@package-qa + + +@package-qa: @@package-qa $$(MB_PROJ_PHASE_PACKAGE_QA_DEPS) + $$(call mb_make_call,_mb_proj_flow_step_done) +MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@package-qa,"Run all package QA of project.") +.PHONY: @package-qa + + +@@package-deploy: @package-qa + $$(call mb_make_call,_mb_proj_flow_step_before) +.PHONY: @@package-deploy + + +@package-deploy: @@package-deploy $$(MB_PROJ_PHASE_PACKAGE_DEPLOY_DEPS) + $$(call mb_make_call,_mb_proj_flow_step_done) +MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@package-deploy,"Deploy the package/packages.") +.PHONY: @package-deploy + + +@@run: + $$(call mb_make_call,_mb_proj_flow_step_before) +.PHONY: @@run + + +@run: @@run + $$(call mb_make_call,_mb_proj_run_selector) + $$(call mb_make_call,_mb_proj_flow_step_done) +MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@run,"Run one or more artifacts.") +.PHONY: @run +endef +MB_DOC_FIRE_FUNCTION_FLOW += $(call mb_make_call,mb_doc_function_flow,mb_proj_flow_setup,"Prints flow of abstract project build cycle.","") + + +define mb_proj_flow_setup_jp +@クリーン: @clean + $$(call mb_make_call,_mb_proj_flow_step_done) +MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@クリーン,"プロジェクトのビルドフォルダーをクリーンアップします。") +.PHONY: @クリーン + +@初期化する: @init + $$(call mb_make_call,_mb_proj_flow_step_done) +MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@初期化する,"モジュール出力フォルダーをセットアップして検証します。") +.PHONY: @@初期化する + +@プロセス: @初期化する @process + $$(call mb_make_call,_mb_proj_flow_step_done) +MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@プロセス,"コンパイル前にソースを処理します。") +.PHONY: @プロセス + +@コンパイル: @プロセス @compile + $$(call mb_make_call,_mb_proj_flow_step_done) +MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@コンパイル,"すべてのプロジェクト ソースをコンパイルします。") +.PHONY: @コンパイル + +@リンク: @コンパイル @link + $$(call mb_make_call,_mb_proj_flow_step_done) +MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@リンク,"すべてのプロジェクト中間ファイルをリンクします。") +.PHONY: @リンク + +@建てる: @リンク @build + $$(call mb_make_call,_mb_proj_flow_step_done) +MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@建てる,"すべてのプロジェクト成果物をビルドします。") +.PHONY: @建てる + +@テスト: @建てる @test + $$(call mb_make_call,_mb_proj_flow_step_done) +MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@テスト,"すべてのアサーション テストを実行します。") +.PHONY: @テスト + +@パッケージ: @テスト @package + $$(call mb_make_call,_mb_proj_flow_step_done) +MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@パッケージ,"プロジェクトのパッケージを作成します。") +.PHONY: @パッケージ + +@パッケージの品質保証: @パッケージ @package-qa + $$(call mb_make_call,_mb_proj_flow_step_done) +MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@パッケージの品質保証,"すべてのパッケージを実行します。プロジェクトの品質保証。") +.PHONY: @パッケージの品質保証 + +@パッケージのデプロイ: @パッケージの品質保証 @package-deploy + $$(call mb_make_call,_mb_proj_flow_step_done) +MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@パッケージのデプロイ,"パッケージをデプロイします。") +.PHONY: @パッケージのデプロイ + +@走る: @run + $$(call mb_make_call,_mb_proj_flow_step_done) +MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@走る,"1 つ以上のアーティファクトを実行します。") +.PHONY: @走る +endef +MB_DOC_FIRE_FUNCTION_FLOW += $(call mb_make_call,mb_doc_function_flow,mb_proj_flow_setup_jp,"Prints flow for japanese wrapper of project build cycle.") + + +define mb_proj_flow_flight_video +@@test-video: @test + $$(if $$(filter on,$$(MB_FLIGHT_VIDEO)),$$(call mb_make_call,mb_flight_video_merge,$(1))) + + +@test-video: @clean + $$(call mb_make_call,_mb_proj_flow_step_before) + MB_OPENMSX_HEADLESS=off MB_FLIGHT_VIDEO=on $$(MAKE) @@test-video + $$(call mb_make_call,_mb_proj_flow_step_done) +MB_DOC_FIRE_HELP += $(call mb_make_call,mb_doc_target_help,@test-video,"Runs @test with video recorder and merges flight videos to one.") +.PHONY: @test-video + + +@@package-qa-video: @package-qa + $$(if $$(filter on,$$(MB_FLIGHT_VIDEO)),$$(call mb_make_call,mb_flight_video_merge,$(1))) + + +@package-qa-video: @clean + $$(call mb_make_call,_mb_proj_flow_step_before) + MB_OPENMSX_HEADLESS=off MB_FLIGHT_VIDEO=on $$(MAKE) @@package-qa-video + $$(call mb_make_call,_mb_proj_flow_step_done) +MB_DOC_FIRE_HELP += $(call mb_make_call,mb_doc_target_help,@package-qa-video,"Runs @package-qa with video recorder and merges flight videos to one.") +.PHONY: @test-video +endef +MB_DOC_FIRE_FUNCTION_FLOW += $(call mb_make_call,mb_doc_function_flow,mb_proj_flow_flight_video,"Prints flow for adding video targets in project build cycle.","") + + diff --git a/lib/make/mb_sdcc.mk b/lib/make/mb_sdcc.mk index 6769751..fab90c6 100644 --- a/lib/make/mb_sdcc.mk +++ b/lib/make/mb_sdcc.mk @@ -1,4 +1,5 @@ + MB_SDCC_FLAG_CPU ?= -mz80 MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_SDCC_FLAG_CPU,"The SDCC cpu target option.") @@ -21,28 +22,28 @@ MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_SDCC_AR_FLAGS,"Th define mb_sdcc_compile_asm - $(call mb_make_call,mb_make_echo_good,SDCC Compile module asm for $(2)) + $(call mb_make_call,mb_make_echo_command,SDCC Compile super $(2) from ASM) $(PATH_SDCC)/sdasz80 $(MB_SDCC_ASZ80_FLAGS) $(2) $(1) endef MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_sdcc_compile_asm,"Compiles asm source code."," ") define mb_sdcc_link_asm_lib - $(call mb_make_call,mb_make_echo_good,SDCC Link module asm lib for $(2)) + $(call mb_make_call,mb_make_echo_command,SDCC Link ASM lib $(2)) $(PATH_SDCC)/sdar $(MB_SDCC_AR_FLAGS) $(1) $(2) endef MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_sdcc_link_asm_lib,"Link asm lib module."," ") define mb_sdcc_link_asm - $(call mb_make_call,mb_make_echo_good,SDCC Link asm module at $(3) for $(2)) + $(call mb_make_call,mb_make_echo_command,SDCC Link megaocto $(2) at $(3)) $(PATH_SDCC)/sdcc $(MB_SDCC_FLAG_CPU) $(MB_SDCC_CC_FLAGS) --no-std-crt0 --code-loc $(3) -o $(2) $(1) endef MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_sdcc_link_asm,"Links asm to custom code location."," ") define mb_sdcc_link_asm_0000 - $(call mb_sdcc_link_asm,$(1),$(2),0x0000) + $(call mb_sdcc_link_asm,$(1),$(2),0x0000)rule endef MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_sdcc_link_asm_0000,"Links asm to 0x0000."," ") @@ -84,7 +85,8 @@ MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_sdcc_link_asm_bdo define mb_sdcc_hex2bin - $(call mb_make_call,mb_make_echo_good,SDCC hex2bin for $(2)) + $(call mb_make_call,mb_make_echo_command,SDCC sdobjcopy for $(2)) $(PATH_SDCC)/sdobjcopy -I ihex --output-target=binary $(1) $(2) endef MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_sdcc_hex2bin,"Converts an hex file to binary."," ") + diff --git a/lib/make/mb_tool.mk b/lib/make/mb_tool.mk new file mode 100644 index 0000000..f767431 --- /dev/null +++ b/lib/make/mb_tool.mk @@ -0,0 +1,88 @@ + + +define mb_tool_m80_flow_bdos_mono +$(1): | @init + $$(call mb_make_call,mb_mkdir,$(1)) + $$(call mb_make_call,mb_mkdir,$(1)/utils) + $$(call mb_make_call,mb_msxhub_get_macro80,$(1)/utils) + $$(call mb_make_call,mb_msxhub_get_z80asmuk,$(1)/utils) +MB_DOC_FIRE_TARGET_DEEP += $$(call mb_make_call,mb_doc_target_deep,$(1)) +.PHONY: $(1) + + +$(1)/$(3).mac: $(2)/$(3).mac | $(1) + $$(call mb_make_call,mb_conv_unix2dos,$(2)/$(3).mac,$(1)/$(3).mac) +MB_DOC_FIRE_TARGET_DEEP += $$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).mac) +MB_PROJ_PHASE_PROCESS_DEPS += $(1)/$(3).mac + + +$(1)/$(3).rel: $(1)/$(3).mac + $$(call mb_make_call,mb_msxpipe_safe_cmd,$(1),m80 $$(MB_MAKE_EQUALS)$(3)/Z) +MB_DOC_FIRE_TARGET_DEEP += $$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).rel) +MB_PROJ_PHASE_COMPILE_DEPS += $(1)/$(3).rel + + +$(1)/$(3).hex: $(1)/$(3).rel + $$(call mb_make_call,mb_msxpipe_safe_cmd,$(1),l80 $(3)$$(MB_MAKE_COMMA)$(3)/N/X/Y/E) +MB_DOC_FIRE_TARGET_DEEP += $$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).hex) +MB_PROJ_PHASE_LINK_DEPS += $(1)/$(3).hex + + +$(1)/$(3).com: $(1)/$(3).hex + $$(call mb_make_call,mb_msxpipe_safe_cmd,$(1),hextocom $(3)) +MB_DOC_FIRE_TARGET_DEEP += $$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).com) +MB_PROJ_PHASE_BUILD_DEPS += $(1)/$(3).com + + +$(1)/@build: $(1)/$(3).com +MB_DOC_FIRE_TARGET += $$(call mb_make_call,mb_doc_target,$(1)/@build,"Builds the $(1) module.") +.PHONY: $(1)/@build + + +$(1)/@run: $(1)/@build + $$(call mb_make_call,mb_msxpipe_run_gui,$(1)) +MB_DOC_FIRE_TARGET += $$(call mb_make_call,mb_doc_target,$(1)/@run,"Run $(3).com manually with gui.") +MB_PROJ_PHASE_RUN_DEPS += $(1)/@run +.PHONY: $(1)/@run +endef +MB_DOC_FIRE_FUNCTION_FLOW += $(call mb_make_call,mb_doc_function_flow,mb_tool_m80_flow_bdos_mono,"Prints flow of m80 toolchain bdos mono file module."," ") + + +define mb_tool_sdcc_flow_bdos_mono +$(1): | @init + $$(call mb_make_call,mb_mkdir,$(1)) +MB_DOC_FIRE_TARGET_DEEP += $$(call mb_make_call,mb_doc_target_deep,$(1)) +.PHONY: $(1) + + +$(1)/$(3).rel: $(2)/$(3).asm | $(1) + $$(call mb_sdcc_compile_asm,$$<,$$@) +MB_DOC_FIRE_TARGET_DEEP += $$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).rel) +MB_PROJ_PHASE_COMPILE_DEPS += $(1)/$(3).rel + + +$(1)/$(3).hex: $(1)/$(3).rel + $$(call mb_sdcc_link_asm_bdos,$$<,$$@) +MB_DOC_FIRE_TARGET_DEEP += $$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).hex) +MB_PROJ_PHASE_LINK_DEPS += $(1)/$(3).hex + + +$(1)/$(3).com: $(1)/$(3).hex + $$(call mb_sdcc_hex2bin,$$<,$$@) +MB_DOC_FIRE_TARGET_DEEP += $$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).com) +MB_PROJ_PHASE_BUILD_DEPS += $(1)/$(3).com + + +$(1)/@build: $(1)/$(3).com +MB_DOC_FIRE_TARGET += $$(call mb_make_call,mb_doc_target,$(1)/@build,"Builds the $(1) module.") +.PHONY: $(1)/@build + + +$(1)/@run: $(1)/@build + $$(call mb_make_call,mb_msxpipe_run_gui,$(1)) +MB_DOC_FIRE_TARGET += $$(call mb_make_call,mb_doc_target,$(1)/@run,"Run $(3).com manually with gui.") +MB_PROJ_PHASE_RUN_DEPS += $(1)/@run +.PHONY: $(1)/@run +endef +MB_DOC_FIRE_FUNCTION_FLOW += $(call mb_make_call,mb_doc_function_flow,mb_tool_sdcc_flow_bdos_mono,"Prints flow of sdcc toolchain bdos mono file module."," ") + diff --git a/lib/make/msxbuild.mk b/lib/make/msxbuild.mk index 6926937..fb46978 100644 --- a/lib/make/msxbuild.mk +++ b/lib/make/msxbuild.mk @@ -2,15 +2,18 @@ # msxbuild.mk - Makefile helper to use with msx projects. # + # Setup default tools paths PATH_SDCC ?= /usr/bin PATH_OPENMSX ?= /usr/bin PATH_MSXBUILD ?= $(dir $(lastword $(MAKEFILE_LIST)))../.. PATH_MSXBUILD_REAL := $(if $(realpath $(PATH_MSXBUILD)),$(realpath $(PATH_MSXBUILD)),$(PATH_MSXBUILD)) + # Include extra features include $(PATH_MSXBUILD)/lib/make/mb_doc.mk include $(PATH_MSXBUILD)/lib/make/mb_make.mk +include $(PATH_MSXBUILD)/lib/make/mb_conv.mk include $(PATH_MSXBUILD)/lib/make/mb_sdcc.mk include $(PATH_MSXBUILD)/lib/make/mb_flight.mk include $(PATH_MSXBUILD)/lib/make/mb_msxrom.mk @@ -18,9 +21,12 @@ include $(PATH_MSXBUILD)/lib/make/mb_msxhub.mk include $(PATH_MSXBUILD)/lib/make/mb_msxpipe.mk include $(PATH_MSXBUILD)/lib/make/mb_openmsx.mk include $(PATH_MSXBUILD)/lib/make/mb_autoexec.mk -include $(PATH_MSXBUILD)/lib/make/mb_conv.mk +include $(PATH_MSXBUILD)/lib/make/mb_assert.mk +include $(PATH_MSXBUILD)/lib/make/mb_tool.mk +include $(PATH_MSXBUILD)/lib/make/mb_proj.mk include $(PATH_MSXBUILD)/lib/make/mb_os.mk + # Below mb_doc.mk for white space... MB_DOC_FIRE_VARIABLE_DEEP += $(call mb_make_call,mb_doc_variable_deep,PATH_SDCC,"Path where SDCC binaries are located.") MB_DOC_FIRE_VARIABLE_DEEP += $(call mb_make_call,mb_doc_variable_deep,PATH_OPENMSX,"Path where openMSX binary is located.") @@ -29,7 +35,6 @@ MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,PATH_MSXBU define mb_clean - $(call mb_make_call,mb_make_echo_good,Cleaning build folder $(1)) $(MB_OS_RMDIR) $(1) endef MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_clean,"Removed the full folder.","") @@ -53,9 +58,9 @@ endef MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_copy,"Copy an file."," ") - define mb_create_dist - $(call mb_make_call,mb_make_echo_good,Creating distribution archive $(2)) + $(call mb_make_call,mb_make_echo_command,Creating distribution archive $(2)) tar -czf $(2) -C $(1) `ls $(1)` endef MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_create_dist,"Create an distribution archive."," ") + diff --git a/src/0module/0module.mk b/src/0module/0module.mk deleted file mode 100644 index e5e344c..0000000 --- a/src/0module/0module.mk +++ /dev/null @@ -1,3 +0,0 @@ - -$(PATH_BIN): - $(call mb_mkdir,$(PATH_BIN)) diff --git a/src/0module/assert-all/0module.mk b/src/0module/assert-all/0module.mk deleted file mode 100644 index 70dd07f..0000000 --- a/src/0module/assert-all/0module.mk +++ /dev/null @@ -1,17 +0,0 @@ - -ASSERT_ALL_DEPS := \ -bin/ahello-m80-test/@assert \ -bin/ahello-sdcc-test/@assert \ -bin/dist-qa-dos1/@assert \ -bin/dist-qa-dos2/@assert \ -bin/dist-qa-msx1/@assert \ -bin/dist-qa-msxhub/@assert \ -bin/make-on-msx/@assert \ -bin/mbboot80-test/@assert - -$(PATH_BIN)/@assert-all: | $(ASSERT_ALL_DEPS) - @echo === All assertions completed - @echo === All $(MB_DEP_ALL_ASSERT) - $(if $(filter on,$(MB_FLIGHT_VIDEO)),$(call mb_make_call,mb_flight_video_merge,$(PATH_BIN))) -MB_DOC_FIRE_TARGET += $(call mb_make_call,mb_doc_target,$(PATH_BIN)/@assert-all,"Asserts all assertions tests.") -.PHONY: $(PATH_BIN)/@assert-all diff --git a/src/0module/clean/0module.mk b/src/0module/clean/0module.mk deleted file mode 100644 index b6d59c6..0000000 --- a/src/0module/clean/0module.mk +++ /dev/null @@ -1,5 +0,0 @@ - -$(PATH_BIN)/@clean: - $(call mb_make_call,mb_clean,$(PATH_BIN)) -MB_DOC_FIRE_TARGET += $(call mb_make_call,mb_doc_target,$(PATH_BIN)/@clean,"Clean's the build folder.") -.PHONY: $(PATH_BIN)/@clean diff --git a/src/0module/prepare/0module.mk b/src/0module/prepare/0module.mk deleted file mode 100644 index b3b1702..0000000 --- a/src/0module/prepare/0module.mk +++ /dev/null @@ -1,5 +0,0 @@ - -$(PATH_BIN)/@prepare: | $(PATH_BIN) - @echo === .PHONY: $(PATH_BIN)/@prepare -MB_DOC_FIRE_TARGET += $(call mb_make_call,mb_doc_target,$(PATH_BIN)/@prepare,"Virtual prepare phase of binary folder.") -.PHONY: $(PATH_BIN)/@prepare diff --git a/src/ahello-m80-test/0module.mk b/src/ahello-m80-test/0module.mk deleted file mode 100644 index 61f8a89..0000000 --- a/src/ahello-m80-test/0module.mk +++ /dev/null @@ -1,23 +0,0 @@ - -AHELLO_M80_TEST_MOD := ahello-m80-test -AHELLO_M80_TEST_SRC := $(PATH_SRC)/$(AHELLO_M80_TEST_MOD) -AHELLO_M80_TEST_BIN := $(PATH_BIN)/$(AHELLO_M80_TEST_MOD) - -$(AHELLO_M80_TEST_BIN): | $(PATH_BIN)/@prepare - $(call mb_mkdir,$(AHELLO_M80_TEST_BIN)) - -$(AHELLO_M80_TEST_BIN)/ahello.com: $(AHELLO_M80_BIN)/ahello.com | $(AHELLO_M80_TEST_BIN) - $(call mb_copy,$<,$@) - -$(AHELLO_M80_TEST_BIN)/@run: | $(AHELLO_M80_TEST_BIN)/ahello.com - $(call mb_msxpipe_run_gui,$(AHELLO_M80_TEST_BIN)) -MB_DOC_HELP_TARGET += $(call mb_doc_target,$(AHELLO_M80_TEST_BIN)/@run,"Run binaries from ahello-m80.") -.PHONY: $(AHELLO_M80_TEST_BIN)/@run - -$(AHELLO_M80_TEST_BIN)/@assert: | $(AHELLO_M80_TEST_BIN)/ahello.com - $(call mb_delete,$(AHELLO_M80_TEST_BIN)/ahello.out) - $(call mb_msxpipe_safe_test,$(AHELLO_M80_TEST_BIN),ahello > ahello.out) - grep "M80: Hello world..." $(AHELLO_M80_TEST_BIN)/ahello.out -MB_DOC_HELP_TARGET += $(call mb_doc_target,$(AHELLO_M80_TEST_BIN)/@assert,"Assert binaries from ahello-m80.") -MB_DEP_ALL_ASSERT += $(AHELLO_M80_TEST_BIN)/@assert -.PHONY: $(AHELLO_M80_TEST_BIN)/@assert diff --git a/src/ahello-m80/0module.mk b/src/ahello-m80/0module.mk index dce6c58..bdc87e6 100644 --- a/src/ahello-m80/0module.mk +++ b/src/ahello-m80/0module.mk @@ -1,85 +1,10 @@ -AHELLO_M80_MOD := ahello-m80 -AHELLO_M80_SRC := $(PATH_SRC)/$(AHELLO_M80_MOD) -AHELLO_M80_BIN := $(PATH_BIN)/$(AHELLO_M80_MOD) - -#PROJECT_ROOT = $(dir $(abspath $(lastword $(MAKEFILE_LIST)))) -#PROJECT_ROOT = $(dir $(lastword $(MAKEFILE_LIST))) -# src/ahello-m80/0module.mk - -define mb_flow_m80_mono_com -$(2): | $(1) - $$(call mb_make_call,mb_mkdir,$(2)) - $$(call mb_make_call,mb_mkdir,$(2)/utils) - $$(call mb_make_call,mb_msxhub_get_macro80,$(2)/utils) - $$(call mb_make_call,mb_msxhub_get_z80asmuk,$(2)/utils) -MB_DOC_FIRE_TARGET_DEEP += $$(call mb_make_call,mb_doc_target_deep,$(2)) - -$(2)/$(4).mac: $(3)/$(4).mac | $(2) - $$(call mb_make_call,mb_conv_unix2dos,$(3)/$(4).mac,$(2)/$(4).mac) -MB_DOC_FIRE_TARGET_DEEP += $$(call mb_make_call,mb_doc_target_deep,$(2)/$(4).mac) - -$(2)/$(4).rel: $(2)/$(4).mac - $$(call mb_make_call,mb_msxpipe_safe_cmd,$(2),m80 $$(MB_MAKE_EQUALS)$(4)/Z) -MB_DOC_FIRE_TARGET_DEEP += $$(call mb_make_call,mb_doc_target_deep,$(2)/$(4).rel) - -$(2)/$(4).hex: $(2)/$(4).rel - $$(call mb_make_call,mb_msxpipe_safe_cmd,$(2),l80 $(4)$$(MB_MAKE_COMMA)$(4)/N/X/Y/E) -MB_DOC_FIRE_TARGET_DEEP += $$(call mb_make_call,mb_doc_target_deep,$(2)/$(4).hex) - -$(2)/$(4).com: $(2)/$(4).hex - $$(call mb_make_call,mb_msxpipe_safe_cmd,$(2),hextocom $(4)) -MB_DOC_FIRE_TARGET_DEEP += $$(call mb_make_call,mb_doc_target_deep,$(2)/$(4).com) - -$(2)/@build: $(2)/$(4).com -MB_DOC_FIRE_TARGET += $$(call mb_make_call,mb_doc_target,$(2)/@build,"Builds the $(2) module.") -MB_DEP_ALL_COMPILE += $(2)/@build -.PHONY: $(2)/@build - -$(2)/@run: $(2)/@build - $$(call mb_make_call,mb_msxpipe_run_gui,$(2)) -MB_DOC_FIRE_TARGET += $$(call mb_make_call,mb_doc_target,$(2)/@run,"Run $(4).com manually with gui.") -.PHONY: $(2)/@run -endef - -#$(eval $(call mb_make_call,mb_m80_flow_bdos_mono,$(PATH_BIN)/@prepare,bin/foobar,$(AHELLO_M80_SRC),ahello)) -$(eval $(call mb_make_call,mb_flow_m80_mono_com,$(PATH_BIN)/@prepare,bin/foobar2,$(AHELLO_M80_SRC),ahello)) +AHELLO_M80_SRC := $(call mb_make_call,mb_proj_module_path_src,$(PATH_SRC)) +AHELLO_M80_BIN := $(call mb_make_call,mb_proj_module_path_bin,$(PATH_SRC),$(PATH_BIN)) -bin/foobar2/@assert: bin/foobar2/@build - $(call mb_delete,bin/foobar2/ahello.out) - $(call mb_msxpipe_safe_test,bin/foobar2,ahello > ahello.out) - grep "M80: Hello world..." bin/foobar2/ahello.out -MB_DOC_FIRE_TARGET += $(call mb_doc_target,bin/foobar2/@assert,"Assert binaries from ahello-m80.") -MB_DEP_ALL_ASSERT += bin/foobar2/@assert -.PHONY: bin/foobar2/@assert +$(call mb_make_call_eval,mb_tool_m80_flow_bdos_mono,$(AHELLO_M80_BIN),$(AHELLO_M80_SRC),ahello) +$(call mb_make_call_eval,mb_assert_flow_bdos_grep,$(AHELLO_M80_BIN),check-m80,ahello,M80) +$(call mb_make_call_eval,mb_assert_flow_bdos_grep,$(AHELLO_M80_BIN),check-txt,ahello,"o world...") - -$(AHELLO_M80_BIN): | $(PATH_BIN)/@prepare - $(call mb_mkdir,$(AHELLO_M80_BIN)) - $(call mb_mkdir,$(AHELLO_M80_BIN)/utils) - $(call mb_msxhub_get_macro80,$(AHELLO_M80_BIN)/utils) - $(call mb_msxhub_get_z80asmuk,$(AHELLO_M80_BIN)/utils) - -$(AHELLO_M80_BIN)/%.mac: $(AHELLO_M80_SRC)/%.mac | $(AHELLO_M80_BIN) - $(call mb_conv_unix2dos,$<,$@) - -$(AHELLO_M80_BIN)/ahello.rel: $(AHELLO_M80_BIN)/ahello.mac - $(call mb_msxpipe_safe_cmd,$(AHELLO_M80_BIN),m80 =ahello/Z) - -$(AHELLO_M80_BIN)/ahello.hex: $(AHELLO_M80_BIN)/ahello.rel - $(call mb_msxpipe_safe_cmd,$(AHELLO_M80_BIN),l80 ahello$(MB_MAKE_COMMA)ahello/N/X/Y/E) - -$(AHELLO_M80_BIN)/ahello.com: $(AHELLO_M80_BIN)/ahello.hex - $(call mb_msxpipe_safe_cmd,$(AHELLO_M80_BIN),hextocom ahello) -MB_DOC_FIRE_TARGET += $(call mb_doc_target,$(AHELLO_M80_BIN)/ahello.com,"Compiles hello world with macro80.") -MB_DEP_ALL_COMPILE += $(AHELLO_M80_BIN)/ahello.com - -$(AHELLO_M80_BIN)/@build: $(AHELLO_M80_BIN)/ahello.com -.PHONY: $(AHELLO_M80_BIN)/@build - -$(AHELLO_M80_BIN)/@run: $(AHELLO_M80_BIN)/ahello.com - $(call mb_make_call,mb_msxpipe_run_gui,$(AHELLO_M80_BIN)) -MB_DOC_FIRE_TARGET += $(call mb_doc_target,$(AHELLO_M80_BIN)/@run,"Run the compiled hello world from macro80.") -.PHONY: $(AHELLO_M80_BIN)/@run diff --git a/src/ahello-sdcc-test/0module.mk b/src/ahello-sdcc-test/0module.mk deleted file mode 100644 index 0afb807..0000000 --- a/src/ahello-sdcc-test/0module.mk +++ /dev/null @@ -1,22 +0,0 @@ - -AHELLO_SDCC_TEST_MOD := ahello-sdcc-test -AHELLO_SDCC_TEST_SRC := $(PATH_SRC)/$(AHELLO_SDCC_TEST_MOD) -AHELLO_SDCC_TEST_BIN := $(PATH_BIN)/$(AHELLO_SDCC_TEST_MOD) - -$(AHELLO_SDCC_TEST_BIN): | $(PATH_BIN)/@prepare - $(call mb_mkdir,$(AHELLO_SDCC_TEST_BIN)) - -$(AHELLO_SDCC_TEST_BIN)/ahello.com: $(AHELLO_SDCC_BIN)/ahello.com | $(AHELLO_SDCC_TEST_BIN) - $(call mb_copy,$<,$@) - -$(AHELLO_SDCC_TEST_BIN)/@run: | $(AHELLO_SDCC_TEST_BIN)/ahello.com - $(call mb_msxpipe_run_gui,$(AHELLO_SDCC_TEST_BIN)) -MB_DOC_FIRE_TARGET += $(call mb_doc_target,$(AHELLO_SDCC_TEST_BIN)/@run,"Run binaries from ahello-sdcc.") -.PHONY: $(AHELLO_SDCC_TEST_BIN)/@run - -$(AHELLO_SDCC_TEST_BIN)/@assert: | $(AHELLO_SDCC_TEST_BIN)/ahello.com - $(call mb_delete,$(AHELLO_SDCC_TEST_BIN)/ahello.out) - $(call mb_msxpipe_safe_test,$(AHELLO_SDCC_TEST_BIN),ahello > ahello.out) - grep "SDCC: Hello world..." $(AHELLO_SDCC_TEST_BIN)/ahello.out -MB_DOC_FIRE_TARGET += $(call mb_doc_target,$(AHELLO_SDCC_TEST_BIN)/@assert,"Assert binaries from ahello-sdcc.") -.PHONY: $(AHELLO_SDCC_TEST_BIN)/@assert \ No newline at end of file diff --git a/src/ahello-sdcc/0module.mk b/src/ahello-sdcc/0module.mk index a0d7f97..79192d5 100644 --- a/src/ahello-sdcc/0module.mk +++ b/src/ahello-sdcc/0module.mk @@ -1,22 +1,10 @@ -AHELLO_SDCC_MOD := ahello-sdcc -AHELLO_SDCC_SRC := $(PATH_SRC)/$(AHELLO_SDCC_MOD) -AHELLO_SDCC_BIN := $(PATH_BIN)/$(AHELLO_SDCC_MOD) -$(AHELLO_SDCC_BIN): | $(PATH_BIN)/@prepare - $(call mb_mkdir,$(AHELLO_SDCC_BIN)) +AHELLO_SDCC_SRC := $(call mb_make_call,mb_proj_module_path_src,$(PATH_SRC)) +AHELLO_SDCC_BIN := $(call mb_make_call,mb_proj_module_path_bin,$(PATH_SRC),$(PATH_BIN)) -$(AHELLO_SDCC_BIN)/%.rel: $(AHELLO_SDCC_SRC)/%.asm | $(AHELLO_SDCC_BIN) - $(call mb_sdcc_compile_asm,$<,$@) -$(AHELLO_SDCC_BIN)/ahello.hex: $(AHELLO_SDCC_BIN)/ahello.rel - $(call mb_sdcc_link_asm_bdos,$<,$@) +$(call mb_make_call_eval,mb_tool_sdcc_flow_bdos_mono,$(AHELLO_SDCC_BIN),$(AHELLO_SDCC_SRC),ahello) +$(call mb_make_call_eval,mb_assert_flow_bdos_grep,$(AHELLO_SDCC_BIN),check-sdcc,ahello,SDCC) +$(call mb_make_call_eval,mb_assert_flow_bdos_grep,$(AHELLO_SDCC_BIN),check-hell,ahello,Hell) -$(AHELLO_SDCC_BIN)/ahello.com: $(AHELLO_SDCC_BIN)/ahello.hex - $(call mb_sdcc_hex2bin,$<,$@) -MB_DOC_FIRE_TARGET += $(call mb_doc_target,$(AHELLO_SDCC_BIN)/ahello.com,"Compiles hello world with sdcc.") - -$(AHELLO_SDCC_BIN)/@run: | $(AHELLO_SDCC_BIN)/ahello.com - $(call mb_msxpipe_run_gui,$(AHELLO_SDCC_BIN)) -MB_DOC_FIRE_TARGET += $(call mb_doc_target,$(AHELLO_SDCC_BIN)/@run,"Run the compiled hello world from sdcc.") -.PHONY: $(AHELLO_SDCC_BIN)/@run diff --git a/src/dist-qa-dos1/0module.mk b/src/dist-qa-dos1/0module.mk index 583ed83..0ca9a0f 100644 --- a/src/dist-qa-dos1/0module.mk +++ b/src/dist-qa-dos1/0module.mk @@ -1,26 +1,34 @@ -DIST_QA_DOS1_MOD := dist-qa-dos1 -DIST_QA_DOS1_SRC := $(PATH_SRC)/$(DIST_QA_DOS1_MOD) -DIST_QA_DOS1_BIN := $(PATH_BIN)/$(DIST_QA_DOS1_MOD) -DIST_QA_DOS1_DEPS := $(subst dist,$(DIST_QA_DOS1_MOD),$(DIST_DEPS)) -$(DIST_QA_DOS1_BIN): | $(PATH_BIN)/@prepare +DIST_QA_DOS1_SRC := $(call mb_make_call,mb_proj_module_path_src,$(PATH_SRC)) +DIST_QA_DOS1_BIN := $(call mb_make_call,mb_proj_module_path_bin,$(PATH_SRC),$(PATH_BIN)) +DIST_QA_DOS1_DEPS := $(call mb_make_call,mb_proj_module_local_deps,$(DIST_QA_DOS1_BIN),$(DIST_DEPS)) + + +$(DIST_QA_DOS1_BIN): | @init $(call mb_mkdir,$(DIST_QA_DOS1_BIN)) $(call mb_msxhub_get_msxdos1_boot,$(DIST_QA_DOS1_BIN)) + $(DIST_QA_DOS1_BIN)/%: $(DIST_BIN)/% | $(DIST_QA_DOS1_BIN) $(DIST_DEPS) $(call mb_copy,$<,$@) + $(DIST_QA_DOS1_BIN)/@run: | $(DIST_QA_DOS1_DEPS) $(call mb_msxpipe_run_gui,$(DIST_QA_DOS1_BIN)) MB_DOC_FIRE_TARGET += $(call mb_doc_target,$(DIST_QA_DOS1_BIN)/@run,"Run binaries on msxdos1 machine.") +MB_PROJ_PHASE_RUN_DEPS += $(DIST_QA_DOS1_BIN)/@run .PHONY: $(DIST_QA_DOS1_BIN)/@run + $(DIST_QA_DOS1_BIN)/@assert: | $(DIST_QA_DOS1_DEPS) $(call mb_autoexec_write_default,$(DIST_QA_DOS1_BIN)) $(call mb_autoexec_append_safe_test,$(DIST_QA_DOS1_BIN),ahello1) $(call mb_autoexec_append_safe_test,$(DIST_QA_DOS1_BIN),ahello2) $(call mb_autoexec_append_exit,$(DIST_QA_DOS1_BIN)) $(call mb_openmsx_dosctl,$(DIST_QA_DOS1_BIN)) + $(call mb_make_call,mb_make_echo_assert,Assert succes $(DIST_QA_DOS1_BIN)/@assert) MB_DOC_FIRE_TARGET += $(call mb_doc_target,$(DIST_QA_DOS1_BIN)/@assert,"Assert binaries on msxdos1.") +MB_PROJ_PHASE_PACKAGE_QA_DEPS += $(DIST_QA_DOS1_BIN)/@assert .PHONY: $(DIST_QA_DOS1_BIN)/@assert + diff --git a/src/dist-qa-dos2/0module.mk b/src/dist-qa-dos2/0module.mk index 7bce522..ce80645 100644 --- a/src/dist-qa-dos2/0module.mk +++ b/src/dist-qa-dos2/0module.mk @@ -1,21 +1,26 @@ -DIST_QA_DOS2_MOD := dist-qa-dos2 -DIST_QA_DOS2_SRC := $(PATH_SRC)/$(DIST_QA_DOS2_MOD) -DIST_QA_DOS2_BIN := $(PATH_BIN)/$(DIST_QA_DOS2_MOD) -DIST_QA_DOS2_DEPS := $(subst dist,$(DIST_QA_DOS2_MOD),$(DIST_DEPS)) -$(DIST_QA_DOS2_BIN): | $(PATH_BIN)/@prepare +DIST_QA_DOS2_SRC := $(call mb_make_call,mb_proj_module_path_src,$(PATH_SRC)) +DIST_QA_DOS2_BIN := $(call mb_make_call,mb_proj_module_path_bin,$(PATH_SRC),$(PATH_BIN)) +DIST_QA_DOS2_DEPS := $(call mb_make_call,mb_proj_module_local_deps,$(DIST_QA_DOS2_BIN),$(DIST_DEPS)) + + +$(DIST_QA_DOS2_BIN): | @init $(call mb_mkdir,$(DIST_QA_DOS2_BIN)) $(call mb_msxhub_get_msxdos2_boot,$(DIST_QA_DOS2_BIN)) + $(DIST_QA_DOS2_BIN)/%: $(DIST_BIN)/% | $(DIST_QA_DOS2_BIN) $(DIST_DEPS) $(call mb_copy,$<,$@) + $(DIST_QA_DOS2_BIN)/@run: | $(DIST_QA_DOS2_DEPS) $(call mb_msxpipe_run_gui,$(DIST_QA_DOS2_BIN)) MB_DOC_FIRE_TARGET += $(call mb_doc_target,$(DIST_QA_DOS2_BIN)/@run,"Run binaries on msxdos2 machine.") +MB_PROJ_PHASE_RUN_DEPS += $(DIST_QA_DOS2_BIN)/@run .PHONY: $(DIST_QA_DOS2_BIN)/@run + $(DIST_QA_DOS2_BIN)/@assert: | $(DIST_QA_DOS2_DEPS) $(call mb_delete,$(DIST_QA_DOS2_BIN)/ahello1.out) $(call mb_delete,$(DIST_QA_DOS2_BIN)/ahello2.out) @@ -24,7 +29,10 @@ $(DIST_QA_DOS2_BIN)/@assert: | $(DIST_QA_DOS2_DEPS) $(call mb_autoexec_append_safe_test,$(DIST_QA_DOS2_BIN),ahello2 > ahello2.out) $(call mb_autoexec_append_exit,$(DIST_QA_DOS2_BIN)) $(call mb_openmsx_dosctl,$(DIST_QA_DOS2_BIN)) - grep "M80: Hello world..." $(DIST_QA_DOS2_BIN)/ahello1.out - grep "SDCC: Hello world..." $(DIST_QA_DOS2_BIN)/ahello2.out + grep -q "M80: Hello world..." $(DIST_QA_DOS2_BIN)/ahello1.out + grep -q "SDCC: Hello world..." $(DIST_QA_DOS2_BIN)/ahello2.out + $(call mb_make_call,mb_make_echo_assert,Assert succes $(DIST_QA_DOS2_BIN)/@assert) MB_DOC_FIRE_TARGET += $(call mb_doc_target,$(DIST_QA_DOS2_BIN)/@assert,"Assert binaries on msxdos2.") +MB_PROJ_PHASE_PACKAGE_QA_DEPS += $(DIST_QA_DOS2_BIN)/@assert .PHONY: $(DIST_QA_DOS2_BIN)/@assert + diff --git a/src/dist-qa-msx1/0module.mk b/src/dist-qa-msx1/0module.mk index a45f26e..17a440d 100644 --- a/src/dist-qa-msx1/0module.mk +++ b/src/dist-qa-msx1/0module.mk @@ -1,23 +1,28 @@ + DIST_QA_MSX1_MACHINE ?= Canon_V-20 MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,DIST_QA_MSX1_MACHINE,"The machine to run the $(DIST_QA_MSX1_MOD) module.") -DIST_QA_MSX1_MOD := dist-qa-msx1 -DIST_QA_MSX1_SRC := $(PATH_SRC)/$(DIST_QA_MSX1_MOD) -DIST_QA_MSX1_BIN := $(PATH_BIN)/$(DIST_QA_MSX1_MOD) -DIST_QA_MSX1_DEPS := $(subst dist,$(DIST_QA_MSX1_MOD),$(DIST_DEPS)) +DIST_QA_MSX1_SRC := $(call mb_make_call,mb_proj_module_path_src,$(PATH_SRC)) +DIST_QA_MSX1_BIN := $(call mb_make_call,mb_proj_module_path_bin,$(PATH_SRC),$(PATH_BIN)) +DIST_QA_MSX1_DEPS := $(call mb_make_call,mb_proj_module_local_deps,$(DIST_QA_MSX1_BIN),$(DIST_DEPS)) -$(DIST_QA_MSX1_BIN): | $(PATH_BIN)/@prepare + +$(DIST_QA_MSX1_BIN): | @init $(call mb_make_call,mb_mkdir,$(DIST_QA_MSX1_BIN)) + $(DIST_QA_MSX1_BIN)/%: $(DIST_BIN)/% | $(DIST_QA_MSX1_BIN) $(DIST_DEPS) $(call mb_make_call,mb_copy,$<,$@) + $(DIST_QA_MSX1_BIN)/@run: | $(DIST_QA_MSX1_DEPS) $(call mb_make_call,mb_msxpipe_run_gui,$(DIST_QA_MSX1_BIN),,$(DIST_QA_MSX1_MACHINE)) MB_DOC_FIRE_TARGET += $(call mb_make_call,mb_doc_target,$(DIST_QA_MSX1_BIN)/@run,"Run binaries on MSX1 machine.") +MB_PROJ_PHASE_RUN_DEPS += $(DIST_QA_MSX1_BIN)/@run .PHONY: $(DIST_QA_MSX1_BIN)/@run + $(DIST_QA_MSX1_BIN)/@assert: | $(DIST_QA_MSX1_DEPS) $(call mb_make_call,mb_delete,$(DIST_QA_MSX1_BIN)/ahello1.out) $(call mb_make_call,mb_delete,$(DIST_QA_MSX1_BIN)/ahello2.out) @@ -26,7 +31,10 @@ $(DIST_QA_MSX1_BIN)/@assert: | $(DIST_QA_MSX1_DEPS) $(call mb_make_call,mb_autoexec_append_safe_test,$(DIST_QA_MSX1_BIN),ahello2 > ahello2.out,66,99) $(call mb_make_call,mb_autoexec_append_exit,$(DIST_QA_MSX1_BIN)) $(call mb_make_call,mb_openmsx_dosctl,$(DIST_QA_MSX1_BIN),$(DIST_QA_MSX1_MACHINE)) - grep "M80: Hello world..." $(DIST_QA_MSX1_BIN)/ahello1.out - grep "SDCC: Hello world..." $(DIST_QA_MSX1_BIN)/ahello2.out + grep -q "M80: Hello world..." $(DIST_QA_MSX1_BIN)/ahello1.out + grep -q "SDCC: Hello world..." $(DIST_QA_MSX1_BIN)/ahello2.out + $(call mb_make_call,mb_make_echo_assert,Assert succes $(DIST_QA_MSX1_BIN)/@assert) MB_DOC_FIRE_TARGET += $(call mb_make_call,mb_doc_target,$(DIST_QA_MSX1_BIN)/@assert,"Assert binaries on MSX1 machine.") +MB_PROJ_PHASE_PACKAGE_QA_DEPS += $(DIST_QA_MSX1_BIN)/@assert .PHONY: $(DIST_QA_MSX1_BIN)/@assert + diff --git a/src/dist-qa-msxhub/0module.mk b/src/dist-qa-msxhub/0module.mk index 424dceb..1081f5b 100644 --- a/src/dist-qa-msxhub/0module.mk +++ b/src/dist-qa-msxhub/0module.mk @@ -1,13 +1,14 @@ + DIST_QA_MSXHUB_MACHINE ?= Boosted_MSX2+_JP MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,DIST_QA_MSXHUB_MACHINE,"The machine to run the $(DIST_QA_MSXHUB_MOD) module.") -DIST_QA_MSXHUB_MOD := dist-qa-msxhub -DIST_QA_MSXHUB_SRC := $(PATH_SRC)/$(DIST_QA_MSXHUB_MOD) -DIST_QA_MSXHUB_BIN := $(PATH_BIN)/$(DIST_QA_MSXHUB_MOD) -DIST_QA_MSXHUB_DEPS := $(subst dist,$(DIST_QA_MSXHUB_MOD),$(DIST_DEPS)) +DIST_QA_MSXHUB_SRC := $(call mb_make_call,mb_proj_module_path_src,$(PATH_SRC)) +DIST_QA_MSXHUB_BIN := $(call mb_make_call,mb_proj_module_path_bin,$(PATH_SRC),$(PATH_BIN)) +DIST_QA_MSXHUB_DEPS := $(call mb_make_call,mb_proj_module_local_deps,$(DIST_QA_MSXHUB_BIN),$(DIST_DEPS)) -$(DIST_QA_MSXHUB_BIN): | $(PATH_BIN)/@prepare + +$(DIST_QA_MSXHUB_BIN): | @init $(call mb_make_call,mb_mkdir,$(DIST_QA_MSXHUB_BIN)) $(call mb_make_call,mb_mkdir,$(DIST_QA_MSXHUB_BIN)/utils) $(call mb_make_call,mb_msxhub_get_msxdos2_utils,$(DIST_QA_MSXHUB_BIN)/utils) @@ -32,14 +33,18 @@ $(DIST_QA_MSXHUB_BIN): | $(PATH_BIN)/@prepare $(call mb_make_call,mb_msxhub_get_msxdos2t,$(DIST_QA_MSXHUB_BIN)/utils) $(call mb_make_call,mb_msxhub_get_gfxage,$(DIST_QA_MSXHUB_BIN)/utils) + $(DIST_QA_MSXHUB_BIN)/%: $(DIST_BIN)/% | $(DIST_QA_MSXHUB_BIN) $(DIST_DEPS) $(call mb_make_call,mb_copy,$<,$@) + $(DIST_QA_MSXHUB_BIN)/@run: | $(DIST_QA_MSXHUB_DEPS) $(call mb_make_call,mb_msxpipe_run_gui,$(DIST_QA_MSXHUB_BIN),,$(DIST_QA_MSXHUB_MACHINE)) MB_DOC_FIRE_TARGET += $(call mb_make_call,mb_doc_target,$(DIST_QA_MSXHUB_BIN)/@run,"Run the dist with all msxhub packages.") +MB_PROJ_PHASE_RUN_DEPS += $(DIST_QA_MSXHUB_BIN)/@run .PHONY: $(DIST_QA_MSXHUB_BIN)/@run + $(DIST_QA_MSXHUB_BIN)/@assert: | $(DIST_QA_MSXHUB_DEPS) $(call mb_make_call,mb_delete,$(DIST_QA_MSXHUB_BIN)/ahello1.out) $(call mb_make_call,mb_delete,$(DIST_QA_MSXHUB_BIN)/ahello2.out) @@ -50,9 +55,12 @@ $(DIST_QA_MSXHUB_BIN)/@assert: | $(DIST_QA_MSXHUB_DEPS) $(call mb_make_call,mb_autoexec_append_safe_test,$(DIST_QA_MSXHUB_BIN),dir utils > utils.out) $(call mb_make_call,mb_autoexec_append_exit,$(DIST_QA_MSXHUB_BIN)) $(call mb_make_call,mb_openmsx_dosctl,$(DIST_QA_MSXHUB_BIN),$(DIST_QA_MSXHUB_MACHINE)) - grep "M80: Hello world..." $(DIST_QA_MSXHUB_BIN)/ahello1.out - grep "SDCC: Hello world..." $(DIST_QA_MSXHUB_BIN)/ahello2.out - grep "KONPASS COM" $(DIST_QA_MSXHUB_BIN)/utils.out - grep "MAKE COM" $(DIST_QA_MSXHUB_BIN)/utils.out + grep -q "M80: Hello world..." $(DIST_QA_MSXHUB_BIN)/ahello1.out + grep -q "SDCC: Hello world..." $(DIST_QA_MSXHUB_BIN)/ahello2.out + grep -q "KONPASS COM" $(DIST_QA_MSXHUB_BIN)/utils.out + grep -q "MAKE COM" $(DIST_QA_MSXHUB_BIN)/utils.out + $(call mb_make_call,mb_make_echo_assert,Assert succes $(DIST_QA_MSXHUB_BIN)/@assert) MB_DOC_FIRE_TARGET += $(call mb_make_call,mb_doc_target,$(DIST_QA_MSXHUB_BIN)/@assert,"Asserts that all dist artifacts execute results.") +MB_PROJ_PHASE_TEST_DEPS += $(DIST_QA_MSXHUB_BIN)/@assert .PHONY: $(DIST_QA_MSXHUB_BIN)/@assert + diff --git a/src/dist/0module.mk b/src/dist/0module.mk index 3540f54..6dd3d55 100644 --- a/src/dist/0module.mk +++ b/src/dist/0module.mk @@ -5,7 +5,7 @@ DIST_BIN := $(PATH_BIN)/$(DIST_MOD) DIST_OUT := $(PATH_BIN)/$(DIST_MOD).tar.gz DIST_DEPS := $(DIST_BIN)/readme.txt $(DIST_BIN)/ahello1.com $(DIST_BIN)/ahello2.com -$(DIST_BIN): | $(PATH_BIN)/@prepare +$(DIST_BIN): | @init $(call mb_mkdir,$(DIST_BIN)) $(DIST_BIN)/readme.txt: $(DIST_SRC)/readme.txt | $(DIST_BIN) @@ -18,9 +18,10 @@ $(DIST_BIN)/ahello2.com: bin/ahello-sdcc/ahello.com | $(DIST_BIN) $(call mb_copy,$<,$@) $(DIST_BIN)/@prepare: | $(DIST_DEPS) -MB_DOC_FIRE_TARGET += $(call mb_doc_target,$(DIST_BIN)/@prepare,"Prepare folder with binaries for distribution.") +MB_DOC_FIRE_TARGET += $(call mb_doc_target,$(DIST_BIN)/@prepare,"Prepare folder with binaries for distribution.") .PHONY: $(DIST_BIN)/@prepare $(DIST_OUT): | $(DIST_BIN)/@prepare $(call mb_create_dist,$(DIST_BIN),$@) MB_DOC_FIRE_TARGET += $(call mb_doc_target,$(DIST_OUT),"Build archive distribution artifact.") +MB_PROJ_PHASE_PACKAGE_DEPS += $(DIST_OUT) diff --git a/src/make-on-msx/0module.mk b/src/make-on-msx/0module.mk index 19c0ccb..dc40c68 100644 --- a/src/make-on-msx/0module.mk +++ b/src/make-on-msx/0module.mk @@ -1,31 +1,34 @@ -MAKE_ON_MSX_MOD := make-on-msx -MAKE_ON_MSX_SRC := $(PATH_SRC)/$(MAKE_ON_MSX_MOD) -MAKE_ON_MSX_BIN := $(PATH_BIN)/$(MAKE_ON_MSX_MOD) +MAKE_ON_MSX_SRC := $(call mb_make_call,mb_proj_module_path_src,$(PATH_SRC)) +MAKE_ON_MSX_BIN := $(call mb_make_call,mb_proj_module_path_bin,$(PATH_SRC),$(PATH_BIN)) -$(MAKE_ON_MSX_BIN): | $(PATH_BIN)/@prepare +$(MAKE_ON_MSX_BIN): | @init $(call mb_make_call,mb_mkdir,$(MAKE_ON_MSX_BIN)) $(call mb_make_call,mb_mkdir,$(MAKE_ON_MSX_BIN)/utils) $(call mb_make_call,mb_msxhub_get_make,$(MAKE_ON_MSX_BIN)/utils) $(call mb_make_call,mb_msxhub_get_macro80,$(MAKE_ON_MSX_BIN)/utils) $(call mb_make_call,mb_msxhub_get_z80asmuk,$(MAKE_ON_MSX_BIN)/utils) MB_DOC_FIRE_TARGET_DEEP += $(call mb_make_call,mb_doc_target_deep,$(MAKE_ON_MSX_BIN)) +.PHONY: $(MAKE_ON_MSX_BIN) $(MAKE_ON_MSX_BIN)/nfbsshot.mac: $(MAKE_ON_MSX_SRC)/nfbsshot.mac | $(MAKE_ON_MSX_BIN) $(call mb_make_call,mb_conv_unix2dos,$<,$@) MB_DOC_FIRE_TARGET_DEEP += $(call mb_make_call,mb_doc_target_deep,$(MAKE_ON_MSX_BIN)/nfbsshot.mac) +MB_PROJ_PHASE_PROCESS_DEPS += $(MAKE_ON_MSX_BIN)/nfbsshot.mac -$(MAKE_ON_MSX_BIN)/nfbsshot.mf: $(MAKE_ON_MSX_SRC)/nfbsshot.mf | $(MAKE_ON_MSX_BIN)/nfbsshot.mac +$(MAKE_ON_MSX_BIN)/nfbsshot.mf: $(MAKE_ON_MSX_SRC)/nfbsshot.mf $(MAKE_ON_MSX_BIN)/nfbsshot.mac $(call mb_make_call,mb_conv_unix2dos,$<,$@) MB_DOC_FIRE_TARGET_DEEP += $(call mb_make_call,mb_doc_target_deep,$(MAKE_ON_MSX_BIN)/nfbsshot.mf) +MB_PROJ_PHASE_PROCESS_DEPS += $(MAKE_ON_MSX_BIN)/nfbsshot.mf -$(MAKE_ON_MSX_BIN)/build.bat: $(MAKE_ON_MSX_SRC)/build.bat | $(MAKE_ON_MSX_BIN)/nfbsshot.mf +$(MAKE_ON_MSX_BIN)/build.bat: $(MAKE_ON_MSX_SRC)/build.bat $(MAKE_ON_MSX_BIN)/nfbsshot.mf $(call mb_make_call,mb_conv_unix2dos,$<,$@) MB_DOC_FIRE_TARGET_DEEP += $(call mb_make_call,mb_doc_target_deep,$(MAKE_ON_MSX_BIN)/build.bat) +MB_PROJ_PHASE_PROCESS_DEPS += $(MAKE_ON_MSX_BIN)/build.bat $(MAKE_ON_MSX_BIN)/nfbsshot.bin: $(MAKE_ON_MSX_BIN)/build.bat @@ -35,17 +38,21 @@ MB_DOC_FIRE_TARGET_DEEP += $(call mb_make_call,mb_doc_target_deep,$(MAKE_ON_MSX_ $(MAKE_ON_MSX_BIN)/@build: $(MAKE_ON_MSX_BIN)/nfbsshot.bin MB_DOC_FIRE_TARGET += $(call mb_make_call,mb_doc_target,$(MAKE_ON_MSX_BIN)/@build,"Compiles binary with make on msx.") +MB_PROJ_PHASE_BUILD_DEPS +=$(MAKE_ON_MSX_BIN)/@build .PHONY: $(MAKE_ON_MSX_BIN)/@build $(MAKE_ON_MSX_BIN)/@run: $(MAKE_ON_MSX_BIN)/@build $(call mb_make_call,mb_msxpipe_run_gui,$(MAKE_ON_MSX_BIN)) MB_DOC_FIRE_TARGET += $(call mb_make_call,mb_doc_target,$(MAKE_ON_MSX_BIN)/@run,"Run the make build manually.") +MB_PROJ_PHASE_RUN_DEPS += $(MAKE_ON_MSX_BIN)/@run .PHONY: $(MAKE_ON_MSX_BIN)/@run -$(MAKE_ON_MSX_BIN)/@assert: $(MAKE_ON_MSX_BIN)/@build - grep -U "screenshot" $(MAKE_ON_MSX_BIN)/nfbsshot.bin -MB_DOC_FIRE_TARGET += $(call mb_make_call,mb_doc_target,$(MAKE_ON_MSX_BIN)/@assert,"Asserts that binary contains screenshot string.") -MB_DEP_ALL_ASSERT += $(MAKE_ON_MSX_BIN)/@assert -.PHONY: $(MAKE_ON_MSX_BIN)/@assert +$(call mb_make_call_eval,mb_assert_flow_grep_binary,$(MAKE_ON_MSX_BIN),check-bin,nfbsshot.bin,screenshot) +#$(MAKE_ON_MSX_BIN)/@assert-check-bin: $(MAKE_ON_MSX_BIN)/@build +# grep -U "screenshot" $(MAKE_ON_MSX_BIN)/nfbsshot.bin +#MB_DOC_FIRE_TARGET += $(call mb_make_call,mb_doc_target,$(MAKE_ON_MSX_BIN)/@assert-check-bin,"Asserts that binary contains screenshot string.") +#MB_PROJ_PHASE_TEST_DEPS += $(MAKE_ON_MSX_BIN)/@assert-check-bin +#.PHONY: $(MAKE_ON_MSX_BIN)/@assert-check-bin + diff --git a/src/mbboot80-test/0module.mk b/src/mbboot80-test/0module.mk deleted file mode 100644 index a66b072..0000000 --- a/src/mbboot80-test/0module.mk +++ /dev/null @@ -1,22 +0,0 @@ - -MBBOOT80_TEST_MOD := mbboot80-test -MBBOOT80_TEST_SRC := $(PATH_SRC)/$(MBBOOT80_TEST_MOD) -MBBOOT80_TEST_BIN := $(PATH_BIN)/$(MBBOOT80_TEST_MOD) - -$(MBBOOT80_TEST_BIN): | $(PATH_BIN)/@prepare - $(call mb_mkdir,$(MBBOOT80_TEST_BIN)) - -$(MBBOOT80_TEST_BIN)/mbboot80.com: $(MBBOOT80_BIN)/mbboot80.com | $(MBBOOT80_TEST_BIN) - $(call mb_copy,$<,$@) - -$(MBBOOT80_TEST_BIN)/@run: | $(MBBOOT80_TEST_BIN)/mbboot80.com - $(call mb_msxpipe_run_gui,$(MBBOOT80_TEST_BIN)) -MB_DOC_FIRE_TARGET += $(call mb_doc_target,$(MBBOOT80_TEST_BIN)/@run,"Run binaries from mbboot80.") -.PHONY: $(MBBOOT80_TEST_BIN)/@run - -$(MBBOOT80_TEST_BIN)/@assert: | $(MBBOOT80_TEST_BIN)/mbboot80.com - $(call mb_delete,$(MBBOOT80_TEST_BIN)/ahello.out) - $(call mb_msxpipe_safe_test,$(MBBOOT80_TEST_BIN),mbboot80 > mbboot80.out) - grep "mb::boot mode 80" $(MBBOOT80_TEST_BIN)/mbboot80.out -MB_DOC_FIRE_TARGET += $(call mb_doc_target,$(MBBOOT80_TEST_BIN)/@assert,"Assert binaries from mbboot80.") -.PHONY: $(MBBOOT80_TEST_BIN)/@assert \ No newline at end of file diff --git a/src/mbboot80/0module.mk b/src/mbboot80/0module.mk index f1a5fe8..2afc5b1 100644 --- a/src/mbboot80/0module.mk +++ b/src/mbboot80/0module.mk @@ -1,37 +1,15 @@ -MBBOOT80_MOD := mbboot80 -MBBOOT80_SRC := $(PATH_SRC)/$(MBBOOT80_MOD) -MBBOOT80_BIN := $(PATH_BIN)/$(MBBOOT80_MOD) - -$(MBBOOT80_BIN): | $(PATH_BIN)/@prepare - $(call mb_mkdir,$(MBBOOT80_BIN)) - -$(MBBOOT80_BIN)/%.rel: $(MBBOOT80_SRC)/%.asm | $(MBBOOT80_BIN) - $(call mb_sdcc_compile_asm,$<,$@) - -$(MBBOOT80_BIN)/mbboot80.hex: $(MBBOOT80_BIN)/mbboot80.rel - $(call mb_sdcc_link_asm_bdos,$<,$@) - -$(MBBOOT80_BIN)/mbboot80.com: $(MBBOOT80_BIN)/mbboot80.hex - $(call mb_sdcc_hex2bin,$<,$@) -MB_DOC_FIRE_TARGET += $(call mb_doc_target,$(MBBOOT80_BIN)/mbboot80.com,"Compiles mbboot80.") - -$(MBBOOT80_BIN)/@run: | $(MBBOOT80_BIN)/mbboot80.com - $(call mb_msxpipe_run_gui,$(MBBOOT80_BIN)) -MB_DOC_FIRE_TARGET += $(call mb_doc_target,$(MBBOOT80_BIN)/@run,"Run the compiled mbboot80.") -.PHONY: $(MBBOOT80_BIN)/@run - -#$(eval $(call mb_make_call,mb_flow_sdcc_asm_bdos_mono,$(PATH_BIN)/@prepare,$(MBBOOT80_BIN),$(MBBOOT80_SRC),$(MBBOOT80_MOD))) +MBBOOT80_SRC := $(call mb_make_call,mb_proj_module_path_src,$(PATH_SRC)) +MBBOOT80_BIN := $(call mb_make_call,mb_proj_module_path_bin,$(PATH_SRC),$(PATH_BIN)) -$(MBBOOT80_BIN)/@assert: | $(MBBOOT80_BIN)/$(MBBOOT80_MOD).com - $(call mb_delete,$(MBBOOT80_BIN)/$(MBBOOT80_MOD).out) - $(call mb_msxpipe_safe_test,$(MBBOOT80_BIN),$(MBBOOT80_MOD) > $(MBBOOT80_MOD).out) - grep "mb::boot mode 80" $(MBBOOT80_BIN)/$(MBBOOT80_MOD).out -MB_DOC_FIRE_TARGET += $(call mb_make_call,mb_doc_target,$(MBBOOT80_BIN)/@assert,"Assert binaries from mbboot80.") -.PHONY: $(MBBOOT80_BIN)/@assert -$(MBBOOT80_BIN)/@release-local: | $(MBBOOT80_BIN)/@assert +$(call mb_make_call_eval,mb_tool_sdcc_flow_bdos_mono,$(MBBOOT80_BIN),$(MBBOOT80_SRC),mbboot80) +$(call mb_make_call_eval,mb_assert_flow_bdos_grep,$(MBBOOT80_BIN),check-boot80,mbboot80,"mb::boot mode 80") + + +$(MBBOOT80_BIN)/@release-local: | $(MBBOOT80_BIN)/@build $(call mb_copy,$(MBBOOT80_BIN)/mbboot80.com,lib/emuctl/mbboot80.com) MB_DOC_HELP_TARGET += $(call mb_make_call,mb_doc_target,$(MBBOOT80_BIN)/@release-local,"Updates binary copy in lib/emuctl folder.") .PHONY: $(MBBOOT80_BIN)/@release-local + From e0b2d90980dd30e33a8df7cadb6d754aca10b2f2 Mon Sep 17 00:00:00 2001 From: Willem Date: Sun, 7 Jul 2024 04:47:37 +0200 Subject: [PATCH 143/274] Fixed doc typos. --- lib/make/mb_make.mk | 2 +- src/dist-qa-msx1/0module.mk | 7 +++---- src/dist-qa-msxhub/0module.mk | 7 +++---- 3 files changed, 7 insertions(+), 9 deletions(-) diff --git a/lib/make/mb_make.mk b/lib/make/mb_make.mk index 25b0b19..e52944e 100644 --- a/lib/make/mb_make.mk +++ b/lib/make/mb_make.mk @@ -130,5 +130,5 @@ MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_make_ec define mb_make_echo_assert $(if $(filter -1,$(MB_OS_COLORS)),@echo $(MB_MAKE_ECHO_CRAYON) $(1),$(MB_OS_ECHO) -e "\x1B[33m$(MB_MAKE_ECHO_CRAYON)$$1\x1B[39m $(1)") endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_make_echo_phase,"Echo's an message to stdout with 'yellow' crayon.","") +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_make_echo_assert,"Echo's an message to stdout with 'yellow' crayon.","") diff --git a/src/dist-qa-msx1/0module.mk b/src/dist-qa-msx1/0module.mk index 17a440d..a5ca411 100644 --- a/src/dist-qa-msx1/0module.mk +++ b/src/dist-qa-msx1/0module.mk @@ -1,12 +1,11 @@ - -DIST_QA_MSX1_MACHINE ?= Canon_V-20 -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,DIST_QA_MSX1_MACHINE,"The machine to run the $(DIST_QA_MSX1_MOD) module.") - DIST_QA_MSX1_SRC := $(call mb_make_call,mb_proj_module_path_src,$(PATH_SRC)) DIST_QA_MSX1_BIN := $(call mb_make_call,mb_proj_module_path_bin,$(PATH_SRC),$(PATH_BIN)) DIST_QA_MSX1_DEPS := $(call mb_make_call,mb_proj_module_local_deps,$(DIST_QA_MSX1_BIN),$(DIST_DEPS)) +DIST_QA_MSX1_MACHINE ?= Canon_V-20 +MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,DIST_QA_MSX1_MACHINE,"The machine to run the $(DIST_QA_MSX1_BIN) module.") + $(DIST_QA_MSX1_BIN): | @init $(call mb_make_call,mb_mkdir,$(DIST_QA_MSX1_BIN)) diff --git a/src/dist-qa-msxhub/0module.mk b/src/dist-qa-msxhub/0module.mk index 1081f5b..28816ae 100644 --- a/src/dist-qa-msxhub/0module.mk +++ b/src/dist-qa-msxhub/0module.mk @@ -1,12 +1,11 @@ - -DIST_QA_MSXHUB_MACHINE ?= Boosted_MSX2+_JP -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,DIST_QA_MSXHUB_MACHINE,"The machine to run the $(DIST_QA_MSXHUB_MOD) module.") - DIST_QA_MSXHUB_SRC := $(call mb_make_call,mb_proj_module_path_src,$(PATH_SRC)) DIST_QA_MSXHUB_BIN := $(call mb_make_call,mb_proj_module_path_bin,$(PATH_SRC),$(PATH_BIN)) DIST_QA_MSXHUB_DEPS := $(call mb_make_call,mb_proj_module_local_deps,$(DIST_QA_MSXHUB_BIN),$(DIST_DEPS)) +DIST_QA_MSXHUB_MACHINE ?= Boosted_MSX2+_JP +MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,DIST_QA_MSXHUB_MACHINE,"The machine to run the $(DIST_QA_MSXHUB_BIN) module.") + $(DIST_QA_MSXHUB_BIN): | @init $(call mb_make_call,mb_mkdir,$(DIST_QA_MSXHUB_BIN)) From 6f29502ab9373abdc9f63358bad5f2591378848e Mon Sep 17 00:00:00 2001 From: Willem Date: Sun, 7 Jul 2024 04:53:18 +0200 Subject: [PATCH 144/274] Test silent build log. --- .forgejo/workflows/run-test-asserts.yaml | 3 ++- Makefile | 2 +- 2 files changed, 3 insertions(+), 2 deletions(-) diff --git a/.forgejo/workflows/run-test-asserts.yaml b/.forgejo/workflows/run-test-asserts.yaml index ed0ea8e..9fe7566 100644 --- a/.forgejo/workflows/run-test-asserts.yaml +++ b/.forgejo/workflows/run-test-asserts.yaml @@ -5,7 +5,8 @@ on: - master pull_request: env: - VERBOSE: please-show-me-all + VERBOSE: off + MB_OPENMSX_STDOUT_NULL: on MB_AUTOEXEC_STARTUP_NOTE: ${{github.workflow}} MB_AUTOEXEC_EMBED_USER: GITHUB_ACTOR MB_AUTOEXEC_EMBED_VARS: GITHUB_EVENT_NAME GITHUB_REF_NAME GITHUB_REF_TYPE GITHUB_REPOSITORY GITHUB_REPOSITORY_OWNER diff --git a/Makefile b/Makefile index 5c71ad5..076cfe7 100644 --- a/Makefile +++ b/Makefile @@ -6,7 +6,7 @@ # Configure make .SUFFIXES: .PHONY: Makefile -ifndef VERBOSE +ifeq ($(VERBOSE),off) .SILENT: endif ifeq ($(.DEFAULT_GOAL),) From f91840f1cc1b1739a8dac349ebed38a19729e279 Mon Sep 17 00:00:00 2001 From: Willem Date: Sun, 7 Jul 2024 05:38:57 +0200 Subject: [PATCH 145/274] Add verbose code to read verbose flags. --- Makefile | 78 ++++++++++++++++++++++++++++++++++++++++++--- lib/make/mb_make.mk | 2 ++ 2 files changed, 75 insertions(+), 5 deletions(-) diff --git a/Makefile b/Makefile index 076cfe7..1bc3b88 100644 --- a/Makefile +++ b/Makefile @@ -3,14 +3,80 @@ # -# Configure make +# Configure make, can't use tabs here, than no indenting, +# as white space is not for typing multiple white spaces. .SUFFIXES: .PHONY: Makefile -ifeq ($(VERBOSE),off) +ifdef VERBOSE +ifeq ("$(VERBOSE)", "off") .SILENT: endif -ifeq ($(.DEFAULT_GOAL),) -.DEFAULT_GOAL := @help +endif +ifdef 冗長な +ifeq ("$(冗長な)", "オフ") +.SILENT: +endif +endif +ifdef UITGEBREID +ifeq ("$(UITGEBREID)", "uit") +.SILENT: +endif +endif +ifdef VERBOSA +ifeq ("$(VERBOSA)", "apagada") +.SILENT: +endif +endif +ifdef VERBOSO +ifeq ("$(VERBOSO)", "apagado") +.SILENT: +endif +endif +ifdef VERBEUSE +ifeq ("$(VERBEUSE)", "désactivé") +.SILENT: +endif +endif +ifdef VERBEUX +ifeq ("$(VERBEUX)", "désactivé") +.SILENT: +endif +endif +ifdef подробный +ifeq ("$(подробный)", "выключенный") +.SILENT: +endif +endif +ifdef 冗長的 +ifeq ("$(冗長的)", "離開") +.SILENT: +endif +endif +ifdef वाचाल +ifeq ("$(वाचाल)", "बंद") +.SILENT: +endif +endif +ifndef VERBOSE +ifndef 冗長な +ifndef UITGEBREID +ifndef VERBOSA +ifndef VERBOSO +ifndef VERBEUSE +ifndef VERBEUX +ifndef подробный +ifndef 冗長的 +ifndef वाचाल +.SILENT: +endif +endif +endif +endif +endif +endif +endif +endif +endif endif @@ -19,7 +85,9 @@ endif PATH_BIN := bin PATH_SRC := src PATH_MSXBUILD ?= . - +ifeq ($(.DEFAULT_GOAL),) +.DEFAULT_GOAL := @help +endif # Generate project flow include $(PATH_MSXBUILD)/lib/make/msxbuild.mk diff --git a/lib/make/mb_make.mk b/lib/make/mb_make.mk index e52944e..3fb3eda 100644 --- a/lib/make/mb_make.mk +++ b/lib/make/mb_make.mk @@ -132,3 +132,5 @@ $(if $(filter -1,$(MB_OS_COLORS)),@echo $(MB_MAKE_ECHO_CRAYON) $(1),$(MB_OS_ECHO endef MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_make_echo_assert,"Echo's an message to stdout with 'yellow' crayon.","") +# Last color: Cyan: \u001b[36m + From e7f1b75a1f58e08122b0ac2df9441615e0d5516a Mon Sep 17 00:00:00 2001 From: Willem Date: Sun, 7 Jul 2024 14:29:32 +0200 Subject: [PATCH 146/274] Moved run phase into firemake run target help system. --- lib/make/mb_doc.mk | 44 +++++++++++++++++++++++++++-------- lib/make/mb_proj.mk | 34 +++++---------------------- lib/make/mb_tool.mk | 6 ++--- src/dist-qa-dos1/0module.mk | 5 ++-- src/dist-qa-dos2/0module.mk | 5 ++-- src/dist-qa-msx1/0module.mk | 3 +-- src/dist-qa-msxhub/0module.mk | 3 +-- src/make-on-msx/0module.mk | 3 +-- 8 files changed, 49 insertions(+), 54 deletions(-) diff --git a/lib/make/mb_doc.mk b/lib/make/mb_doc.mk index 0dd0054..dfa6599 100644 --- a/lib/make/mb_doc.mk +++ b/lib/make/mb_doc.mk @@ -3,31 +3,34 @@ MB_DOC_FIRE_VARIABLE_FLOW ?= "" #*** Recursive variable: MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,mb_doc_variable_flow,MB_DOC_FIRE_VARIABLE_FLOW,"Flow builder of fire flow variable data.") MB_DOC_FIRE_HELP ?= "" -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,mb_doc_variable_flow,MB_DOC_FIRE_HELP,"Flow builder of fire help data.") +MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,mb_doc_variable_flow,MB_DOC_FIRE_HELP,"Flow builder of firemake help data.") MB_DOC_FIRE_TARGET ?= "" -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,mb_doc_variable_flow,MB_DOC_FIRE_TARGET,"Flow builder of fire target data.") +MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,mb_doc_variable_flow,MB_DOC_FIRE_TARGET,"Flow builder of firemake target data.") MB_DOC_FIRE_TARGET_DEEP ?= "" -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,mb_doc_variable_flow,MB_DOC_FIRE_TARGET_DEEP,"Flow builder of fire deep target data.") +MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,mb_doc_variable_flow,MB_DOC_FIRE_TARGET_DEEP,"Flow builder of firemake deep target data.") + +MB_DOC_FIRE_TARGET_RUN ?= "" +MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,mb_doc_variable_flow,MB_DOC_FIRE_TARGET_RUN,"Flow builder of firemake run target data.") MB_DOC_FIRE_VARIABLE ?= "" -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,mb_doc_variable_flow,MB_DOC_FIRE_VARIABLE,"Flow builder of fire variable data.") +MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,mb_doc_variable_flow,MB_DOC_FIRE_VARIABLE,"Flow builder of firemake variable data.") MB_DOC_FIRE_VARIABLE_DEEP ?= "" -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,mb_doc_variable_flow,MB_DOC_FIRE_VARIABLE_DEEP,"Flow builder of fire deep variable data.") +MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,mb_doc_variable_flow,MB_DOC_FIRE_VARIABLE_DEEP,"Flow builder of makefire deep variable data.") MB_DOC_FIRE_VARIABLE_ROCK ?= "" -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,mb_doc_variable_flow,MB_DOC_FIRE_VARIABLE_ROCK,"Flow builder of fire rock variable data.") +MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,mb_doc_variable_flow,MB_DOC_FIRE_VARIABLE_ROCK,"Flow builder of makefire rock variable data.") MB_DOC_FIRE_FUNCTION ?= "" -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,mb_doc_variable_flow,MB_DOC_FIRE_FUNCTION,"Flow builder of fire function data.") +MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,mb_doc_variable_flow,MB_DOC_FIRE_FUNCTION,"Flow builder of firemake function data.") MB_DOC_FIRE_FUNCTION_DEEP ?= "" -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,mb_doc_variable_flow,MB_DOC_FIRE_FUNCTION_DEEP,"Flow builder of fire deep function data.") +MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,mb_doc_variable_flow,MB_DOC_FIRE_FUNCTION_DEEP,"Flow builder of firemake deep function data.") MB_DOC_FIRE_FUNCTION_FLOW ?= "" -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,mb_doc_variable_flow,MB_DOC_FIRE_FUNCTION_FLOW,"Flow builder of fire flow function data.") +MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,mb_doc_variable_flow,MB_DOC_FIRE_FUNCTION_FLOW,"Flow builder of firemake flow function data.") MB_DOC_XML_ROOT := firemake MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_DOC_XML_ROOT,"XML root tag for firemake output.") @@ -48,7 +51,7 @@ MB_DOC_XML_TAG_VALUE := value MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_DOC_XML_TAG_VALUE,"XML value tag for firemake output.") MB_DOC_FORMAT ?= txt -MB_DOC_FIRE_VARIABLE_DEEP += $(call mb_make_call,mb_doc_variable_deep,MB_DOC_FORMAT,"Output format of documention$(MB_MAKE_COMMA) only 'txt' is supported currently.") +MB_DOC_FIRE_VARIABLE_DEEP += $(call mb_make_call,mb_doc_variable_deep,MB_DOC_FORMAT,"Output format of documention$(MB_MAKE_COMMA) only 'txt' or 'xml' is supported.") define _mb_doc_variable_txt @@ -113,6 +116,10 @@ define mb_doc_target_help $(call mb_make_call,_mb_doc_target_$(MB_DOC_FORMAT),help,$(1),$(2)) endef MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_doc_target_help,"Prints formatted documention of an help target."," [desc]") +define mb_doc_target_run + $(call mb_make_call,_mb_doc_target_$(MB_DOC_FORMAT),run,$(1),$(2)) +endef +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_doc_target_run,"Prints formatted documention of an run target."," [desc]") define mb_doc_flow_help @@ -205,6 +212,15 @@ MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@help-target,"Lists MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@help-target-deep,"Lists deep targets.") +@help-target-run: + $$(if $$(filter txt,$$(MB_DOC_FORMAT)),@echo "Build one of the following run make targets;\\n") + $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) + @echo $$(MB_DOC_FIRE_TARGET_RUN) + $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) +.PHONY: @help-target-run +MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@help-target-run,"Lists runnable targets.") + + @help-all: $$(if $$(filter txt,$$(MB_DOC_FORMAT)),@echo "Documention of all fire functions/variables/targets;\\n") $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) @@ -226,10 +242,18 @@ MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@help-target-deep,"L @echo $$(MB_DOC_FIRE_TARGET) $$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_make_echo_good,"Targets in the deep\\n")) @echo $$(MB_DOC_FIRE_TARGET_DEEP) + $$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_make_echo_good,"Targets that run away\\n")) + @echo $$(MB_DOC_FIRE_TARGET_RUN) $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) $$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_make_echo_good,OK)) .PHONY: @help-all MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@help-all,"Lists all documented information.") + + +@help-firemake: + MB_DOC_FORMAT=xml $$(MAKE) -s @help-all +MB_DOC_FIRE_HELP += $(call mb_make_call,mb_doc_target_help,@help-firemake,"Output all documention in XML for IDE integration.") +.PHONY: @help-firemake endef MB_DOC_FIRE_FUNCTION_FLOW += $(call mb_make_call,mb_doc_function_flow,mb_doc_flow_help,"Prints flow of fire help target.","[project-name]") diff --git a/lib/make/mb_proj.mk b/lib/make/mb_proj.mk index 400cd71..629133b 100644 --- a/lib/make/mb_proj.mk +++ b/lib/make/mb_proj.mk @@ -42,8 +42,6 @@ MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,mb_doc_variable_flow,MB_PROJ_PH MB_PROJ_PHASE_PACKAGE_DEPLOY_DEPS ?= MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,mb_doc_variable_flow,MB_PROJ_PHASE_PACKAGE_QA_DEPLOY,"The targets to trigger by project @package-deploy target.") -MB_PROJ_PHASE_RUN_DEPS ?= -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,mb_doc_variable_flow,MB_PROJ_PHASE_QA_DEPS,"The targets to trigger by project @run target.") define mb_proj_module_path_src @@ -80,10 +78,7 @@ define _mb_proj_run_init $(foreach folder,$(1),$(if $(wildcard $(folder)),,$(call mb_make_call,mb_make_echo_command,Creating folder $(folder));)) $(foreach folder,$(1),$(if $(wildcard $(folder)),,$(call mb_make_call,mb_mkdir,$(folder)))) endef -define _mb_proj_run_selector - @$(MB_OS_ECHO) -e -n "Please run one of the following targets;\n\n " - @$(MB_OS_ECHO) -e $(subst bin,"* bin",$(subst @run,@run\\\n,$(MB_PROJ_PHASE_RUN_DEPS))) -endef + define mb_proj_flow_setup @@ -107,7 +102,7 @@ MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@clean,"Clean's the @init: @@init $$(call mb_make_call,_mb_proj_run_init,$(1)) $$(call mb_make_call,_mb_proj_flow_step_done) -MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@init,"Setup and project output folder.") +MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@init,"Create the project output folders.") .PHONY: @init @@ -173,7 +168,7 @@ MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@test,"Run all asser @package: @@package $$(MB_PROJ_PHASE_PACKAGE_DEPS) $$(call mb_make_call,_mb_proj_flow_step_done) -MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@package,"Create package/packages of project.") +MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@package,"Create all packages of project.") .PHONY: @package @@ -184,7 +179,7 @@ MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@package,"Create pac @package-qa: @@package-qa $$(MB_PROJ_PHASE_PACKAGE_QA_DEPS) $$(call mb_make_call,_mb_proj_flow_step_done) -MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@package-qa,"Run all package QA of project.") +MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@package-qa,"Run all packages QA of project.") .PHONY: @package-qa @@ -195,20 +190,8 @@ MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@package-qa,"Run all @package-deploy: @@package-deploy $$(MB_PROJ_PHASE_PACKAGE_DEPLOY_DEPS) $$(call mb_make_call,_mb_proj_flow_step_done) -MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@package-deploy,"Deploy the package/packages.") +MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@package-deploy,"Deploy all the packages.") .PHONY: @package-deploy - - -@@run: - $$(call mb_make_call,_mb_proj_flow_step_before) -.PHONY: @@run - - -@run: @@run - $$(call mb_make_call,_mb_proj_run_selector) - $$(call mb_make_call,_mb_proj_flow_step_done) -MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@run,"Run one or more artifacts.") -.PHONY: @run endef MB_DOC_FIRE_FUNCTION_FLOW += $(call mb_make_call,mb_doc_function_flow,mb_proj_flow_setup,"Prints flow of abstract project build cycle.","") @@ -263,11 +246,6 @@ MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@パッケージの $$(call mb_make_call,_mb_proj_flow_step_done) MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@パッケージのデプロイ,"パッケージをデプロイします。") .PHONY: @パッケージのデプロイ - -@走る: @run - $$(call mb_make_call,_mb_proj_flow_step_done) -MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@走る,"1 つ以上のアーティファクトを実行します。") -.PHONY: @走る endef MB_DOC_FIRE_FUNCTION_FLOW += $(call mb_make_call,mb_doc_function_flow,mb_proj_flow_setup_jp,"Prints flow for japanese wrapper of project build cycle.") @@ -291,7 +269,7 @@ MB_DOC_FIRE_HELP += $(call mb_make_call,mb_doc_target_help,@test-video,"Runs @te @package-qa-video: @clean $$(call mb_make_call,_mb_proj_flow_step_before) - MB_OPENMSX_HEADLESS=off MB_FLIGHT_VIDEO=on $$(MAKE) @@package-qa-video + MB_OPENMSX_HEADLESS=off MB_FLIGHT_VIDEO=on $$(MAKE) -s @@package-qa-video $$(call mb_make_call,_mb_proj_flow_step_done) MB_DOC_FIRE_HELP += $(call mb_make_call,mb_doc_target_help,@package-qa-video,"Runs @package-qa with video recorder and merges flight videos to one.") .PHONY: @test-video diff --git a/lib/make/mb_tool.mk b/lib/make/mb_tool.mk index f767431..1ca0cfb 100644 --- a/lib/make/mb_tool.mk +++ b/lib/make/mb_tool.mk @@ -41,8 +41,7 @@ MB_DOC_FIRE_TARGET += $$(call mb_make_call,mb_doc_target,$(1)/@build,"Builds the $(1)/@run: $(1)/@build $$(call mb_make_call,mb_msxpipe_run_gui,$(1)) -MB_DOC_FIRE_TARGET += $$(call mb_make_call,mb_doc_target,$(1)/@run,"Run $(3).com manually with gui.") -MB_PROJ_PHASE_RUN_DEPS += $(1)/@run +MB_DOC_FIRE_TARGET_RUN += $$(call mb_make_call,mb_doc_target_run,$(1)/@run,"Run $(3).com manually with gui.") .PHONY: $(1)/@run endef MB_DOC_FIRE_FUNCTION_FLOW += $(call mb_make_call,mb_doc_function_flow,mb_tool_m80_flow_bdos_mono,"Prints flow of m80 toolchain bdos mono file module."," ") @@ -80,8 +79,7 @@ MB_DOC_FIRE_TARGET += $$(call mb_make_call,mb_doc_target,$(1)/@build,"Builds the $(1)/@run: $(1)/@build $$(call mb_make_call,mb_msxpipe_run_gui,$(1)) -MB_DOC_FIRE_TARGET += $$(call mb_make_call,mb_doc_target,$(1)/@run,"Run $(3).com manually with gui.") -MB_PROJ_PHASE_RUN_DEPS += $(1)/@run +MB_DOC_FIRE_TARGET_RUN += $$(call mb_make_call,mb_doc_target_run,$(1)/@run,"Run $(3).com manually with gui.") .PHONY: $(1)/@run endef MB_DOC_FIRE_FUNCTION_FLOW += $(call mb_make_call,mb_doc_function_flow,mb_tool_sdcc_flow_bdos_mono,"Prints flow of sdcc toolchain bdos mono file module."," ") diff --git a/src/dist-qa-dos1/0module.mk b/src/dist-qa-dos1/0module.mk index 0ca9a0f..eac1b23 100644 --- a/src/dist-qa-dos1/0module.mk +++ b/src/dist-qa-dos1/0module.mk @@ -16,8 +16,7 @@ $(DIST_QA_DOS1_BIN)/%: $(DIST_BIN)/% | $(DIST_QA_DOS1_BIN) $(DIST_DEPS) $(DIST_QA_DOS1_BIN)/@run: | $(DIST_QA_DOS1_DEPS) $(call mb_msxpipe_run_gui,$(DIST_QA_DOS1_BIN)) -MB_DOC_FIRE_TARGET += $(call mb_doc_target,$(DIST_QA_DOS1_BIN)/@run,"Run binaries on msxdos1 machine.") -MB_PROJ_PHASE_RUN_DEPS += $(DIST_QA_DOS1_BIN)/@run +MB_DOC_FIRE_TARGET_RUN += $(call mb_make_call,mb_doc_target_run,$(DIST_QA_DOS1_BIN)/@run,"Run binaries on msxdos1 machine.") .PHONY: $(DIST_QA_DOS1_BIN)/@run @@ -28,7 +27,7 @@ $(DIST_QA_DOS1_BIN)/@assert: | $(DIST_QA_DOS1_DEPS) $(call mb_autoexec_append_exit,$(DIST_QA_DOS1_BIN)) $(call mb_openmsx_dosctl,$(DIST_QA_DOS1_BIN)) $(call mb_make_call,mb_make_echo_assert,Assert succes $(DIST_QA_DOS1_BIN)/@assert) -MB_DOC_FIRE_TARGET += $(call mb_doc_target,$(DIST_QA_DOS1_BIN)/@assert,"Assert binaries on msxdos1.") +MB_DOC_FIRE_TARGET += $(call mb_make_call,mb_doc_target,$(DIST_QA_DOS1_BIN)/@assert,"Assert binaries on msxdos1.") MB_PROJ_PHASE_PACKAGE_QA_DEPS += $(DIST_QA_DOS1_BIN)/@assert .PHONY: $(DIST_QA_DOS1_BIN)/@assert diff --git a/src/dist-qa-dos2/0module.mk b/src/dist-qa-dos2/0module.mk index ce80645..ec8d3e2 100644 --- a/src/dist-qa-dos2/0module.mk +++ b/src/dist-qa-dos2/0module.mk @@ -16,8 +16,7 @@ $(DIST_QA_DOS2_BIN)/%: $(DIST_BIN)/% | $(DIST_QA_DOS2_BIN) $(DIST_DEPS) $(DIST_QA_DOS2_BIN)/@run: | $(DIST_QA_DOS2_DEPS) $(call mb_msxpipe_run_gui,$(DIST_QA_DOS2_BIN)) -MB_DOC_FIRE_TARGET += $(call mb_doc_target,$(DIST_QA_DOS2_BIN)/@run,"Run binaries on msxdos2 machine.") -MB_PROJ_PHASE_RUN_DEPS += $(DIST_QA_DOS2_BIN)/@run +MB_DOC_FIRE_TARGET_RUN += $(call mb_make_call,mb_doc_target_run,$(DIST_QA_DOS2_BIN)/@run,"Run binaries on msxdos2 machine.") .PHONY: $(DIST_QA_DOS2_BIN)/@run @@ -32,7 +31,7 @@ $(DIST_QA_DOS2_BIN)/@assert: | $(DIST_QA_DOS2_DEPS) grep -q "M80: Hello world..." $(DIST_QA_DOS2_BIN)/ahello1.out grep -q "SDCC: Hello world..." $(DIST_QA_DOS2_BIN)/ahello2.out $(call mb_make_call,mb_make_echo_assert,Assert succes $(DIST_QA_DOS2_BIN)/@assert) -MB_DOC_FIRE_TARGET += $(call mb_doc_target,$(DIST_QA_DOS2_BIN)/@assert,"Assert binaries on msxdos2.") +MB_DOC_FIRE_TARGET += $(call mb_make_call,mb_doc_target,$(DIST_QA_DOS2_BIN)/@assert,"Assert binaries on msxdos2.") MB_PROJ_PHASE_PACKAGE_QA_DEPS += $(DIST_QA_DOS2_BIN)/@assert .PHONY: $(DIST_QA_DOS2_BIN)/@assert diff --git a/src/dist-qa-msx1/0module.mk b/src/dist-qa-msx1/0module.mk index a5ca411..f166e3f 100644 --- a/src/dist-qa-msx1/0module.mk +++ b/src/dist-qa-msx1/0module.mk @@ -17,8 +17,7 @@ $(DIST_QA_MSX1_BIN)/%: $(DIST_BIN)/% | $(DIST_QA_MSX1_BIN) $(DIST_DEPS) $(DIST_QA_MSX1_BIN)/@run: | $(DIST_QA_MSX1_DEPS) $(call mb_make_call,mb_msxpipe_run_gui,$(DIST_QA_MSX1_BIN),,$(DIST_QA_MSX1_MACHINE)) -MB_DOC_FIRE_TARGET += $(call mb_make_call,mb_doc_target,$(DIST_QA_MSX1_BIN)/@run,"Run binaries on MSX1 machine.") -MB_PROJ_PHASE_RUN_DEPS += $(DIST_QA_MSX1_BIN)/@run +MB_DOC_FIRE_TARGET_RUN += $(call mb_make_call,mb_doc_target_run,$(DIST_QA_MSX1_BIN)/@run,"Run binaries on MSX1 machine.") .PHONY: $(DIST_QA_MSX1_BIN)/@run diff --git a/src/dist-qa-msxhub/0module.mk b/src/dist-qa-msxhub/0module.mk index 28816ae..bbd92d8 100644 --- a/src/dist-qa-msxhub/0module.mk +++ b/src/dist-qa-msxhub/0module.mk @@ -39,8 +39,7 @@ $(DIST_QA_MSXHUB_BIN)/%: $(DIST_BIN)/% | $(DIST_QA_MSXHUB_BIN) $(DIST_DEPS) $(DIST_QA_MSXHUB_BIN)/@run: | $(DIST_QA_MSXHUB_DEPS) $(call mb_make_call,mb_msxpipe_run_gui,$(DIST_QA_MSXHUB_BIN),,$(DIST_QA_MSXHUB_MACHINE)) -MB_DOC_FIRE_TARGET += $(call mb_make_call,mb_doc_target,$(DIST_QA_MSXHUB_BIN)/@run,"Run the dist with all msxhub packages.") -MB_PROJ_PHASE_RUN_DEPS += $(DIST_QA_MSXHUB_BIN)/@run +MB_DOC_FIRE_TARGET_RUN += $(call mb_make_call,mb_doc_target_run,$(DIST_QA_MSXHUB_BIN)/@run,"Run the dist with all msxhub packages.") .PHONY: $(DIST_QA_MSXHUB_BIN)/@run diff --git a/src/make-on-msx/0module.mk b/src/make-on-msx/0module.mk index dc40c68..1cabfd0 100644 --- a/src/make-on-msx/0module.mk +++ b/src/make-on-msx/0module.mk @@ -44,8 +44,7 @@ MB_PROJ_PHASE_BUILD_DEPS +=$(MAKE_ON_MSX_BIN)/@build $(MAKE_ON_MSX_BIN)/@run: $(MAKE_ON_MSX_BIN)/@build $(call mb_make_call,mb_msxpipe_run_gui,$(MAKE_ON_MSX_BIN)) -MB_DOC_FIRE_TARGET += $(call mb_make_call,mb_doc_target,$(MAKE_ON_MSX_BIN)/@run,"Run the make build manually.") -MB_PROJ_PHASE_RUN_DEPS += $(MAKE_ON_MSX_BIN)/@run +MB_DOC_FIRE_TARGET_RUN += $(call mb_make_call,mb_doc_target_run,$(MAKE_ON_MSX_BIN)/@run,"Run the make build manually.") .PHONY: $(MAKE_ON_MSX_BIN)/@run From d8319f15f7b61488120368f3d5f50fc99ace6949 Mon Sep 17 00:00:00 2001 From: Willem Date: Sun, 7 Jul 2024 14:48:12 +0200 Subject: [PATCH 147/274] Added dist proces dep and allignment of text. --- lib/make/mb_assert.mk | 4 ++-- src/dist-qa-dos1/0module.mk | 2 +- src/dist-qa-msx1/0module.mk | 2 +- src/dist-qa-msxhub/0module.mk | 2 +- src/dist/0module.mk | 1 + 5 files changed, 6 insertions(+), 5 deletions(-) diff --git a/lib/make/mb_assert.mk b/lib/make/mb_assert.mk index 7048229..9657706 100644 --- a/lib/make/mb_assert.mk +++ b/lib/make/mb_assert.mk @@ -3,7 +3,7 @@ define mb_assert_flow_grep_binary $(1)/@assert-$(2): $(1)/@build grep -q -U $(4) $(1)/$(3) - $$(call mb_make_call,mb_make_echo_assert,Assert succes @assert-$(2)) + $$(call mb_make_call,mb_make_echo_assert,Assert succesfully @assert-$(2)) MB_DOC_FIRE_TARGET += $$(call mb_doc_target,$(1)/@assert-$(2),"Asserts that $(3) output matches.") MB_PROJ_PHASE_TEST_DEPS += $(1)/@assert-$(2) .PHONY: $(1)/@assert-$(2) @@ -17,7 +17,7 @@ $(1)-test-$(2)/@assert: $(1)/@build $$(call mb_copy,$(1)/$(3).com,$(1)-test-$(2)) $$(call mb_msxpipe_safe_test,$(1)-test-$(2),$(3) > $(3).out) grep -q $(4) $(1)-test-$(2)/$(3).out - $$(call mb_make_call,mb_make_echo_assert,Assert succes $(1)-test-$(2)/@assert) + $$(call mb_make_call,mb_make_echo_assert,Assert succesfully $(1)-test-$(2)/@assert) MB_DOC_FIRE_TARGET += $$(call mb_doc_target,$(1)-test-$(2)/@assert,"Asserts that $(3) output matches.") MB_PROJ_PHASE_TEST_DEPS += $(1)-test-$(2)/@assert .PHONY: $(1)-test-$(2)/@assert diff --git a/src/dist-qa-dos1/0module.mk b/src/dist-qa-dos1/0module.mk index eac1b23..f8b56c1 100644 --- a/src/dist-qa-dos1/0module.mk +++ b/src/dist-qa-dos1/0module.mk @@ -26,7 +26,7 @@ $(DIST_QA_DOS1_BIN)/@assert: | $(DIST_QA_DOS1_DEPS) $(call mb_autoexec_append_safe_test,$(DIST_QA_DOS1_BIN),ahello2) $(call mb_autoexec_append_exit,$(DIST_QA_DOS1_BIN)) $(call mb_openmsx_dosctl,$(DIST_QA_DOS1_BIN)) - $(call mb_make_call,mb_make_echo_assert,Assert succes $(DIST_QA_DOS1_BIN)/@assert) + $(call mb_make_call,mb_make_echo_assert,Assert succesfully $(DIST_QA_DOS1_BIN)/@assert) MB_DOC_FIRE_TARGET += $(call mb_make_call,mb_doc_target,$(DIST_QA_DOS1_BIN)/@assert,"Assert binaries on msxdos1.") MB_PROJ_PHASE_PACKAGE_QA_DEPS += $(DIST_QA_DOS1_BIN)/@assert .PHONY: $(DIST_QA_DOS1_BIN)/@assert diff --git a/src/dist-qa-msx1/0module.mk b/src/dist-qa-msx1/0module.mk index f166e3f..b12affd 100644 --- a/src/dist-qa-msx1/0module.mk +++ b/src/dist-qa-msx1/0module.mk @@ -31,7 +31,7 @@ $(DIST_QA_MSX1_BIN)/@assert: | $(DIST_QA_MSX1_DEPS) $(call mb_make_call,mb_openmsx_dosctl,$(DIST_QA_MSX1_BIN),$(DIST_QA_MSX1_MACHINE)) grep -q "M80: Hello world..." $(DIST_QA_MSX1_BIN)/ahello1.out grep -q "SDCC: Hello world..." $(DIST_QA_MSX1_BIN)/ahello2.out - $(call mb_make_call,mb_make_echo_assert,Assert succes $(DIST_QA_MSX1_BIN)/@assert) + $(call mb_make_call,mb_make_echo_assert,Assert succesfully $(DIST_QA_MSX1_BIN)/@assert) MB_DOC_FIRE_TARGET += $(call mb_make_call,mb_doc_target,$(DIST_QA_MSX1_BIN)/@assert,"Assert binaries on MSX1 machine.") MB_PROJ_PHASE_PACKAGE_QA_DEPS += $(DIST_QA_MSX1_BIN)/@assert .PHONY: $(DIST_QA_MSX1_BIN)/@assert diff --git a/src/dist-qa-msxhub/0module.mk b/src/dist-qa-msxhub/0module.mk index bbd92d8..8295902 100644 --- a/src/dist-qa-msxhub/0module.mk +++ b/src/dist-qa-msxhub/0module.mk @@ -57,7 +57,7 @@ $(DIST_QA_MSXHUB_BIN)/@assert: | $(DIST_QA_MSXHUB_DEPS) grep -q "SDCC: Hello world..." $(DIST_QA_MSXHUB_BIN)/ahello2.out grep -q "KONPASS COM" $(DIST_QA_MSXHUB_BIN)/utils.out grep -q "MAKE COM" $(DIST_QA_MSXHUB_BIN)/utils.out - $(call mb_make_call,mb_make_echo_assert,Assert succes $(DIST_QA_MSXHUB_BIN)/@assert) + $(call mb_make_call,mb_make_echo_assert,Assert succesfully $(DIST_QA_MSXHUB_BIN)/@assert) MB_DOC_FIRE_TARGET += $(call mb_make_call,mb_doc_target,$(DIST_QA_MSXHUB_BIN)/@assert,"Asserts that all dist artifacts execute results.") MB_PROJ_PHASE_TEST_DEPS += $(DIST_QA_MSXHUB_BIN)/@assert .PHONY: $(DIST_QA_MSXHUB_BIN)/@assert diff --git a/src/dist/0module.mk b/src/dist/0module.mk index 6dd3d55..c0fdc28 100644 --- a/src/dist/0module.mk +++ b/src/dist/0module.mk @@ -10,6 +10,7 @@ $(DIST_BIN): | @init $(DIST_BIN)/readme.txt: $(DIST_SRC)/readme.txt | $(DIST_BIN) $(call mb_conv_unix2dos,$<,$@) +MB_PROJ_PHASE_PROCESS_DEPS += $(DIST_BIN)/readme.txt $(DIST_BIN)/ahello1.com: bin/ahello-m80/ahello.com | $(DIST_BIN) $(call mb_copy,$<,$@) From ffd7d845ff8b3b064e5a86eb720fc36c1444ab7e Mon Sep 17 00:00:00 2001 From: Willem Date: Sun, 7 Jul 2024 14:49:31 +0200 Subject: [PATCH 148/274] Test fully silent output. --- lib/make/mb_openmsx.mk | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/lib/make/mb_openmsx.mk b/lib/make/mb_openmsx.mk index e97396b..294f4f1 100644 --- a/lib/make/mb_openmsx.mk +++ b/lib/make/mb_openmsx.mk @@ -90,7 +90,7 @@ define _mb_openmsx_run $(if $(filter ram4mb,$(3)),-ext ram2mb) \ $(if $(filter ram4mb,$(3)),-ext ram4mb) \ $(MB_OPENMSX_ARGS) \ - -control stdio < $(1)-omsx/stdio.xml $(if $(filter on,$(MB_OPENMSX_STDOUT_NULL)),> /dev/null) + -control stdio < $(1)-omsx/stdio.xml $(if $(filter on,$(MB_OPENMSX_STDOUT_NULL)),$(MB_OS_ERRIGNORE) >/dev/null) rsync --checksum --recursive "$(1)-omsx/persistent/fire-hdd/untitled1/sync/" "$(1)/" endef From 4523aa26ebbf9fec241fef1431f124033897a175 Mon Sep 17 00:00:00 2001 From: Willem Date: Sun, 7 Jul 2024 15:02:36 +0200 Subject: [PATCH 149/274] Renamed to remove unix naming from silent openMSX hack. --- .forgejo/workflows/run-test-asserts.yaml | 3 ++- lib/make/mb_openmsx.mk | 8 +++++--- lib/make/mb_os.mk | 9 ++++++--- src/dist-qa-dos2/0module.mk | 2 +- 4 files changed, 14 insertions(+), 8 deletions(-) diff --git a/.forgejo/workflows/run-test-asserts.yaml b/.forgejo/workflows/run-test-asserts.yaml index 9fe7566..0286e81 100644 --- a/.forgejo/workflows/run-test-asserts.yaml +++ b/.forgejo/workflows/run-test-asserts.yaml @@ -6,7 +6,8 @@ on: pull_request: env: VERBOSE: off - MB_OPENMSX_STDOUT_NULL: on + MB_OPENMSX_STDOUT_IGNORE: on + MB_OPENMSX_STDERR_IGNORE: on MB_AUTOEXEC_STARTUP_NOTE: ${{github.workflow}} MB_AUTOEXEC_EMBED_USER: GITHUB_ACTOR MB_AUTOEXEC_EMBED_VARS: GITHUB_EVENT_NAME GITHUB_REF_NAME GITHUB_REF_TYPE GITHUB_REPOSITORY GITHUB_REPOSITORY_OWNER diff --git a/lib/make/mb_openmsx.mk b/lib/make/mb_openmsx.mk index 294f4f1..7580168 100644 --- a/lib/make/mb_openmsx.mk +++ b/lib/make/mb_openmsx.mk @@ -37,8 +37,8 @@ MB_OPENMSX_LOG_PREFIX ?= "Start openMSX! for " MB_DOC_FIRE_VARIABLE_DEEP += $(call mb_make_call,mb_doc_variable_deep,MB_OPENMSX_LOG_PREFIX,"Prefix of startup message of openMSX.") # Kills valuable info on build server, thus keep off until issues openMSX are solved, rm this variale ASP; -MB_OPENMSX_STDOUT_NULL ?= off -#MB_DOC_FIRE_VARIABLE_DEEP += $(call mb_make_call,mb_doc_variable_deep,MB_OPENMSX_STDOUT_NULL,"Pipes openMSX stdout to null for silence messages.") +MB_OPENMSX_STDOUT_IGNORE ?= off +MB_OPENMSX_STDERR_IGNORE ?= off define mb_openmsx_setup @@ -90,7 +90,9 @@ define _mb_openmsx_run $(if $(filter ram4mb,$(3)),-ext ram2mb) \ $(if $(filter ram4mb,$(3)),-ext ram4mb) \ $(MB_OPENMSX_ARGS) \ - -control stdio < $(1)-omsx/stdio.xml $(if $(filter on,$(MB_OPENMSX_STDOUT_NULL)),$(MB_OS_ERRIGNORE) >/dev/null) + -control stdio < $(1)-omsx/stdio.xml \ + $(if $(filter on,$(MB_OPENMSX_STDOUT_IGNORE)),$(MB_OS_STDOUT_IGNORE)) \ + $(if $(filter on,$(MB_OPENMSX_STDERR_IGNORE)),$(MB_OS_STDERR_IGNORE)) rsync --checksum --recursive "$(1)-omsx/persistent/fire-hdd/untitled1/sync/" "$(1)/" endef diff --git a/lib/make/mb_os.mk b/lib/make/mb_os.mk index a6ca5db..9e82bc3 100644 --- a/lib/make/mb_os.mk +++ b/lib/make/mb_os.mk @@ -6,7 +6,8 @@ ifeq ($(OS),Windows_NT) MB_OS_MKDIR = mkdir MB_OS_COPY = copy MB_OS_ECHO ?= echo - MB_OS_ERRIGNORE = 2>NUL || true + MB_OS_STDOUT_IGNORE = >NUL + MB_OS_STDERR_IGNORE = 2>NUL || true MB_OS_SEP = "\" MB_OS_CACHE ?= %LOCALAPPDATA% MB_OS_COLORS ?= -1 @@ -16,7 +17,8 @@ else MB_OS_MKDIR = mkdir -p MB_OS_COPY = cp MB_OS_ECHO ?= /usr/bin/echo - MB_OS_ERRIGNORE = 2>/dev/null + MB_OS_STDOUT_IGNORE = >/dev/null + MB_OS_STDERR_IGNORE = 2>/dev/null MB_OS_SEP = "/" MB_OS_CACHE ?= ~/.cache MB_OS_COLORS ?= $(shell tput colors 2> /dev/null;test $$? -eq 0 || echo "-1") @@ -28,7 +30,8 @@ MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_OS_RMDI MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_OS_MKDIR,"Native OS create folder command.") MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_OS_COPY,"Native OS copy command.") MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_OS_ECHO,"Native OS echo command.") -MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_OS_ERRIGNORE,"Native OS error to ignore redirect.") +MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_OS_STDOUT_IGNORE,"Native OS ignore stdout.") +MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_OS_STDERR_IGNORE,"Native OS ignore stderr.") MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_OS_SEP,"Native OS path seperator.") MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_OS_CACHE,"Native OS application cache folder.") MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_OS_COLORS,"Native OS terminal color count support.") diff --git a/src/dist-qa-dos2/0module.mk b/src/dist-qa-dos2/0module.mk index ec8d3e2..97df05e 100644 --- a/src/dist-qa-dos2/0module.mk +++ b/src/dist-qa-dos2/0module.mk @@ -30,7 +30,7 @@ $(DIST_QA_DOS2_BIN)/@assert: | $(DIST_QA_DOS2_DEPS) $(call mb_openmsx_dosctl,$(DIST_QA_DOS2_BIN)) grep -q "M80: Hello world..." $(DIST_QA_DOS2_BIN)/ahello1.out grep -q "SDCC: Hello world..." $(DIST_QA_DOS2_BIN)/ahello2.out - $(call mb_make_call,mb_make_echo_assert,Assert succes $(DIST_QA_DOS2_BIN)/@assert) + $(call mb_make_call,mb_make_echo_assert,Assert succesfully $(DIST_QA_DOS2_BIN)/@assert) MB_DOC_FIRE_TARGET += $(call mb_make_call,mb_doc_target,$(DIST_QA_DOS2_BIN)/@assert,"Assert binaries on msxdos2.") MB_PROJ_PHASE_PACKAGE_QA_DEPS += $(DIST_QA_DOS2_BIN)/@assert .PHONY: $(DIST_QA_DOS2_BIN)/@assert From 349120b8f5d1ab1d69206fdeedd40122928d691a Mon Sep 17 00:00:00 2001 From: Willem Date: Sun, 7 Jul 2024 16:33:49 +0200 Subject: [PATCH 150/274] Moved os function to os and finished wrapping all calls. --- lib/make/mb_assert.mk | 11 +-- lib/make/mb_autoexec.mk | 79 ++++++++------- lib/make/mb_conv.mk | 1 - lib/make/mb_doc.mk | 7 +- lib/make/mb_flight.mk | 1 + lib/make/mb_make.mk | 1 - lib/make/mb_msxhub.mk | 179 +++++++++++++++++----------------- lib/make/mb_msxpipe.mk | 1 + lib/make/mb_msxrom.mk | 109 +++++++++++---------- lib/make/mb_openmsx.mk | 37 ++++--- lib/make/mb_os.mk | 52 +++++++--- lib/make/mb_proj.mk | 6 +- lib/make/mb_sdcc.mk | 15 ++- lib/make/mb_tool.mk | 13 ++- lib/make/msxbuild.mk | 22 ----- src/dist-qa-dos1/0module.mk | 20 ++-- src/dist-qa-dos2/0module.mk | 22 ++--- src/dist-qa-msx1/0module.mk | 8 +- src/dist-qa-msxhub/0module.mk | 12 +-- src/dist/0module.mk | 10 +- src/make-on-msx/0module.mk | 4 +- src/mbboot80/0module.mk | 2 +- 22 files changed, 304 insertions(+), 308 deletions(-) diff --git a/lib/make/mb_assert.mk b/lib/make/mb_assert.mk index 9657706..c7e6b10 100644 --- a/lib/make/mb_assert.mk +++ b/lib/make/mb_assert.mk @@ -1,10 +1,9 @@ - define mb_assert_flow_grep_binary $(1)/@assert-$(2): $(1)/@build grep -q -U $(4) $(1)/$(3) $$(call mb_make_call,mb_make_echo_assert,Assert succesfully @assert-$(2)) -MB_DOC_FIRE_TARGET += $$(call mb_doc_target,$(1)/@assert-$(2),"Asserts that $(3) output matches.") +MB_DOC_FIRE_TARGET += $$(call mb_make_call,mb_doc_target,$(1)/@assert-$(2),"Asserts that $(3) output matches.") MB_PROJ_PHASE_TEST_DEPS += $(1)/@assert-$(2) .PHONY: $(1)/@assert-$(2) endef @@ -13,12 +12,12 @@ MB_DOC_FIRE_FUNCTION_FLOW += $(call mb_make_call,mb_doc_function_flow,mb_assert_ #$$(call mb_delete,$(1)/$(3).out) define mb_assert_flow_bdos_grep $(1)-test-$(2)/@assert: $(1)/@build - $$(call mb_mkdir,$(1)-test-$(2)) - $$(call mb_copy,$(1)/$(3).com,$(1)-test-$(2)) - $$(call mb_msxpipe_safe_test,$(1)-test-$(2),$(3) > $(3).out) + $$(call mb_make_call,mb_os_dir_create,$(1)-test-$(2)) + $$(call mb_make_call,mb_os_file_copy,$(1)/$(3).com,$(1)-test-$(2)) + $$(call mb_make_call,mb_msxpipe_safe_test,$(1)-test-$(2),$(3) > $(3).out) grep -q $(4) $(1)-test-$(2)/$(3).out $$(call mb_make_call,mb_make_echo_assert,Assert succesfully $(1)-test-$(2)/@assert) -MB_DOC_FIRE_TARGET += $$(call mb_doc_target,$(1)-test-$(2)/@assert,"Asserts that $(3) output matches.") +MB_DOC_FIRE_TARGET += $$(call mb_make_call,mb_doc_target,$(1)-test-$(2)/@assert,"Asserts that $(3) output matches.") MB_PROJ_PHASE_TEST_DEPS += $(1)-test-$(2)/@assert .PHONY: $(1)-test-$(2)/@assert endef diff --git a/lib/make/mb_autoexec.mk b/lib/make/mb_autoexec.mk index a068f12..73d59d0 100644 --- a/lib/make/mb_autoexec.mk +++ b/lib/make/mb_autoexec.mk @@ -1,5 +1,4 @@ - MB_AUTOEXEC_SHOW_VERSION ?= off MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_SHOW_VERSION,"Print OS version on boot.") @@ -77,107 +76,107 @@ MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_autoexec_append_c define mb_autoexec_append_echo - $(call mb_autoexec_append_cmd,$(1),echo $(subst >,>,$(2))) + $(call mb_make_call,mb_autoexec_append_cmd,$(1),echo $(subst >,>,$(2))) endef MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_autoexec_append_echo,"Appends an echo message."," ") define mb_autoexec_append_rem - $(call mb_autoexec_append_cmd,$(1),rem $(2)) + $(call mb_make_call,mb_autoexec_append_cmd,$(1),rem $(2)) endef MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_autoexec_append_rem,"Appends an script remark."," ") define mb_autoexec_append_show_gui - $(call mb_autoexec_append_cmd,$(1),omsxctl headless_show_gui) + $(call mb_make_call,mb_autoexec_append_cmd,$(1),omsxctl headless_show_gui) endef MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_autoexec_append_show_gui,"Appends headless show gui command.","") define mb_autoexec_append_stop_fail - $(call mb_autoexec_append_cmd,$(1),omsxctl fail_after 0) + $(call mb_make_call,mb_autoexec_append_cmd,$(1),omsxctl fail_after 0) endef MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_autoexec_append_stop_fail,"Appends stop automatic failure command.","") define mb_autoexec_append_exit - $(if $(filter on,$(MB_FLIGHT_SCREEN)),$(call mb_autoexec_append_save_screenshot,$(1))) - $(call mb_autoexec_append_cmd,$(1),omsxctl headless_exit) + $(if $(filter on,$(MB_FLIGHT_SCREEN)),$(call mb_make_call,mb_autoexec_append_save_screenshot,$(1))) + $(call mb_make_call,mb_autoexec_append_cmd,$(1),omsxctl headless_exit) endef MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_autoexec_append_exit,"Appends exit emulation command.","") define mb_autoexec_append_plug_porta - $(call mb_autoexec_append_cmd,$(1),omsxctl boot_exec_plug_porta $(2)) + $(call mb_make_call,mb_autoexec_append_cmd,$(1),omsxctl boot_exec_plug_porta $(2)) endef MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_autoexec_append_plug_porta,"Appends an plug device into joyporta action."," ") define mb_autoexec_append_plug_portb - $(call mb_autoexec_append_cmd,$(1),omsxctl boot_exec_plug_portb $(2)) + $(call mb_make_call,mb_autoexec_append_cmd,$(1),omsxctl boot_exec_plug_portb $(2)) endef MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_autoexec_append_plug_portb,"Appends an plug device into joyportb action."," ") define mb_autoexec_append_save_screenshot - $(call mb_autoexec_append_cmd,$(1),omsxctl night_flight_save_screenshot $(2)) + $(call mb_make_call,mb_autoexec_append_cmd,$(1),omsxctl night_flight_save_screenshot $(2)) endef MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_autoexec_append_save_screenshot,"Appends save screenshot command."," [prefix]") define mb_autoexec_append_save_video - $(call mb_autoexec_append_cmd,$(1),omsxctl night_flight_save_video $(2)) + $(call mb_make_call,mb_autoexec_append_cmd,$(1),omsxctl night_flight_save_video $(2)) endef MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_autoexec_append_save_video,"Appends save video command."," [prefix]") define mb_autoexec_append_safe_test - $(call mb_autoexec_append_cmd,$(1),omsxctl fail_after $(if $(3),$(3),$(MB_AUTOEXEC_SAFE_TEST_TIMEOUT)) seconds err_test $(if $(4),$(4),$(MB_AUTOEXEC_SAFE_TEST_EXITCODE))) - $(call mb_autoexec_append_echo,$(1),mb::safe test $(2)) - $(call mb_autoexec_append_cmd,$(1),$(2)) + $(call mb_make_call,mb_autoexec_append_cmd,$(1),omsxctl fail_after $(if $(3),$(3),$(MB_AUTOEXEC_SAFE_TEST_TIMEOUT)) seconds err_test $(if $(4),$(4),$(MB_AUTOEXEC_SAFE_TEST_EXITCODE))) + $(call mb_make_call,mb_autoexec_append_echo,$(1),mb::safe test $(2)) + $(call mb_make_call,mb_autoexec_append_cmd,$(1),$(2)) endef MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_autoexec_append_safe_test,"Appends running a safe test."," [timeout] [exit-code]") define mb_autoexec_append_safe_cmd - $(call mb_autoexec_append_cmd,$(1),omsxctl fail_after $(if $(3),$(3),$(MB_AUTOEXEC_SAFE_CMD_TIMEOUT)) seconds err_cmd $(if $(4),$(4),$(MB_AUTOEXEC_SAFE_CMD_EXITCODE))) - $(call mb_autoexec_append_echo,$(1),mb::safe command $(2)) - $(call mb_autoexec_append_cmd,$(1),$(2)) + $(call mb_make_call,mb_autoexec_append_cmd,$(1),omsxctl fail_after $(if $(3),$(3),$(MB_AUTOEXEC_SAFE_CMD_TIMEOUT)) seconds err_cmd $(if $(4),$(4),$(MB_AUTOEXEC_SAFE_CMD_EXITCODE))) + $(call mb_make_call,mb_autoexec_append_echo,$(1),mb::safe command $(2)) + $(call mb_make_call,mb_autoexec_append_cmd,$(1),$(2)) endef MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_autoexec_append_safe_cmd,"Appends running a safe command."," [timeout] [exit-code]") define _mb_autoexec_write_preboot - $(call mb_autoexec_append_cmd,$(1),$(2)mbboot80) - $(call mb_autoexec_append_cmd,$(1),$(2)omsxctl boot_exec_setcolor $(if $(3),$(3),$(MB_AUTOEXEC_COLOR_FG)) $(if $(4),$(4),$(MB_AUTOEXEC_COLOR_BG))) - $(call mb_autoexec_append_cmd,$(1),$(2)omsxctl fail_after $(MB_AUTOEXEC_STARTUP_TIMEOUT) seconds err_exec $(MB_AUTOEXEC_STARTUP_EXITCODE)) - $(if $(filter on,$(MB_AUTOEXEC_SHOW_INIT)),$(call mb_autoexec_append_cmd,$(1),$(2)omsxctl boot_exec_show_init)) - $(if $(filter on,$(MB_AUTOEXEC_SHOW_VERSION)),$(call mb_autoexec_append_cmd,$(1),ver)) - $(call mb_autoexec_append_cmd,$(1),PATH + A:\;) - $(if $(wildcard $(1)/utils),$(call mb_autoexec_append_cmd,$(1),PATH + A:\UTILS)) + $(call mb_make_call,mb_autoexec_append_cmd,$(1),$(2)mbboot80) + $(call mb_make_call,mb_autoexec_append_cmd,$(1),$(2)omsxctl boot_exec_setcolor $(if $(3),$(3),$(MB_AUTOEXEC_COLOR_FG)) $(if $(4),$(4),$(MB_AUTOEXEC_COLOR_BG))) + $(callmb_make_call, mb_autoexec_append_cmd,$(1),$(2)omsxctl fail_after $(MB_AUTOEXEC_STARTUP_TIMEOUT) seconds err_exec $(MB_AUTOEXEC_STARTUP_EXITCODE)) + $(if $(filter on,$(MB_AUTOEXEC_SHOW_INIT)),$(call mb_make_call,mb_autoexec_append_cmd,$(1),$(2)omsxctl boot_exec_show_init)) + $(if $(filter on,$(MB_AUTOEXEC_SHOW_VERSION)),$(call mb_make_call,mb_autoexec_append_cmd,$(1),ver)) + $(call mb_make_call,mb_autoexec_append_cmd,$(1),PATH + A:\;) + $(if $(wildcard $(1)/utils),$(call mb_make_call,mb_autoexec_append_cmd,$(1),PATH + A:\UTILS)) endef define mb_autoexec_write_default $(call mb_make_call,mb_make_echo_command,$(MB_AUTOEXEC_LOG_PREFIX)$@) @echo -n "" > $(1)/autoexec.bat - $(if $(wildcard $(1)/utils),$(call _mb_autoexec_write_preboot,$(1),utils\,$(2),$(3)),$(call _mb_autoexec_write_preboot,$(1),,$(2),$(3))) - $(if $(MB_AUTOEXEC_STARTUP_NOTE),$(call mb_autoexec_append_echo,$(1),mb::note $(MB_AUTOEXEC_STARTUP_NOTE))) - $(if $(filter on,$(MB_FLIGHT_VIDEO)),$(call mb_autoexec_append_save_video,$(1))) - $(if $(filter on,$(MB_AUTOEXEC_SHOW_HOST)),$(call mb_autoexec_append_cmd,$(1),omsxctl boot_exec_show_host)) + $(if $(wildcard $(1)/utils),$(call mb_make_call,_mb_autoexec_write_preboot,$(1),utils\,$(2),$(3)),$(call mb_make_call,_mb_autoexec_write_preboot,$(1),,$(2),$(3))) + $(if $(MB_AUTOEXEC_STARTUP_NOTE),$(call mb_make_call,mb_autoexec_append_echo,$(1),mb::note $(MB_AUTOEXEC_STARTUP_NOTE))) + $(if $(filter on,$(MB_FLIGHT_VIDEO)),$(call mb_make_call,mb_autoexec_append_save_video,$(1))) + $(if $(filter on,$(MB_AUTOEXEC_SHOW_HOST)),$(call mb_make_call,mb_autoexec_append_cmd,$(1),omsxctl boot_exec_show_host)) echo -n "$(subst $(MB_MAKE_SPACE)set,set,$(foreach var,$(MB_AUTOEXEC_EMBED_VARS),set MSX_$(var)=$($(var))\r\n))" >> $(1)/autoexec.bat - $(call mb_autoexec_append_cmd,$(1),set TIME=$(MB_AUTOEXEC_TIME_FORMAT)) - $(call mb_autoexec_append_cmd,$(1),set DATE=$(MB_AUTOEXEC_DATE_FORMAT)) - $(if $(filter on,$(MB_AUTOEXEC_SHOW_PATH)),$(call mb_autoexec_append_cmd,$(1),echo mb::path %PATH%)) - $(call mb_autoexec_append_cmd,$(1),set MB_SNAIL=$(notdir $@)) - $(call mb_autoexec_append_cmd,$(1),set MB_USER=$($(MB_AUTOEXEC_EMBED_USER))) - $(if $(filter on,$(MB_AUTOEXEC_SHOW_USER)),$(call mb_autoexec_append_echo,$(1),mb::user %MB_USER%)) - $(call mb_autoexec_append_cmd,$(1),set MB_SLIME=$(1)) - $(call mb_autoexec_append_cmd,$(1),set MB_TARGET=$@) - $(if $(filter on,$(MB_AUTOEXEC_SHOW_FOLDER)),$(call mb_autoexec_append_echo,$(1),mb::bath %MB_SLIME%)) - $(if $(filter on,$(MB_AUTOEXEC_SHOW_TARGET)),$(call mb_autoexec_append_echo,$(1),mb::pipe %MB_TARGET%)) - $(if $(filter on,$(MB_AUTOEXEC_PROMPT_SPACE)),$(call mb_autoexec_append_cmd,$(1),set PROMPT \"$(value MB_AUTOEXEC_PROMPT_FORMAT)$(MB_MAKE_SPACE)\")) - $(if $(filter on,$(MB_AUTOEXEC_PROMPT_SPACE)),,$(call mb_autoexec_append_cmd,$(1),,set PROMPT \"$(value MB_AUTOEXEC_PROMPT_FORMAT)\")) + $(call mb_make_call,mb_autoexec_append_cmd,$(1),set TIME=$(MB_AUTOEXEC_TIME_FORMAT)) + $(call mb_make_call,mb_autoexec_append_cmd,$(1),set DATE=$(MB_AUTOEXEC_DATE_FORMAT)) + $(if $(filter on,$(MB_AUTOEXEC_SHOW_PATH)),$(call mb_make_call,mb_autoexec_append_cmd,$(1),echo mb::path %PATH%)) + $(call mb_make_call,mb_autoexec_append_cmd,$(1),set MB_SNAIL=$(notdir $@)) + $(call mb_make_call,mb_autoexec_append_cmd,$(1),set MB_USER=$($(MB_AUTOEXEC_EMBED_USER))) + $(if $(filter on,$(MB_AUTOEXEC_SHOW_USER)),$(call mb_make_call,mb_autoexec_append_echo,$(1),mb::user %MB_USER%)) + $(call mb_make_call,mb_autoexec_append_cmd,$(1),set MB_SLIME=$(1)) + $(call mb_make_call,mb_autoexec_append_cmd,$(1),set MB_TARGET=$@) + $(if $(filter on,$(MB_AUTOEXEC_SHOW_FOLDER)),$(call mb_make_call,mb_autoexec_append_echo,$(1),mb::bath %MB_SLIME%)) + $(if $(filter on,$(MB_AUTOEXEC_SHOW_TARGET)),$(call mb_make_call,mb_autoexec_append_echo,$(1),mb::pipe %MB_TARGET%)) + $(if $(filter on,$(MB_AUTOEXEC_PROMPT_SPACE)),$(call mb_make_call,mb_autoexec_append_cmd,$(1),set PROMPT \"$(value MB_AUTOEXEC_PROMPT_FORMAT)$(MB_MAKE_SPACE)\")) + $(if $(filter on,$(MB_AUTOEXEC_PROMPT_SPACE)),,$(call mb_make_call,mb_autoexec_append_cmd,$(1),,set PROMPT \"$(value MB_AUTOEXEC_PROMPT_FORMAT)\")) endef MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_autoexec_write_default,"Write a default autoexec.bat file."," [fg-color] [bg-color]") diff --git a/lib/make/mb_conv.mk b/lib/make/mb_conv.mk index 1bd916e..dd1fec0 100644 --- a/lib/make/mb_conv.mk +++ b/lib/make/mb_conv.mk @@ -1,5 +1,4 @@ - define mb_conv_unix2dos $(call mb_make_call,mb_make_echo_command,Convert to dos-EOL $(2)) unix2dos -q -n $(1) $(2) diff --git a/lib/make/mb_doc.mk b/lib/make/mb_doc.mk index dfa6599..29bf0b1 100644 --- a/lib/make/mb_doc.mk +++ b/lib/make/mb_doc.mk @@ -58,7 +58,7 @@ define _mb_doc_variable_txt "* "$(2)=$(subst \\n,\\\n,$(subst >,?,$(4)))\\n$(if $(3),\\t$(3)\\n)\\n endef define _mb_doc_variable_xml - \\n\\t$(call mb_make_xml_open,variable,$(MB_DOC_XML_ATTR_NAME),$(2),$(MB_DOC_XML_ATTR_LEVEL),$(1))\\n\\t\\t$(call mb_make_xml_tag_value,$(MB_DOC_XML_TAG_VALUE),$(subst >,?,$(4)))\\n\\t\\t$(call mb_make_xml_tag_value,$(MB_DOC_XML_TAG_DESC),$(3))\\n\\t$(call mb_make_xml_close,variable) + \\n\\t$(call mb_make_call,mb_make_xml_open,variable,$(MB_DOC_XML_ATTR_NAME),$(2),$(MB_DOC_XML_ATTR_LEVEL),$(1))\\n\\t\\t$(call mb_make_call,mb_make_xml_tag_value,$(MB_DOC_XML_TAG_VALUE),$(subst >,?,$(4)))\\n\\t\\t$(call mb_make_call,mb_make_xml_tag_value,$(MB_DOC_XML_TAG_DESC),$(3))\\n\\t$(call mb_make_call,mb_make_xml_close,variable) endef define mb_doc_variable $(call mb_make_call,_mb_doc_variable_$(MB_DOC_FORMAT),build,$(1),$(2),$($(1))) @@ -82,7 +82,7 @@ define _mb_doc_function_txt "* "$(2)$(if $(4), $(4))$(if $(3),\\n\\t$(3)\\n)\\n endef define _mb_doc_function_xml - \\n\\t$(call mb_make_xml_open,function,$(MB_DOC_XML_ATTR_NAME),$(2),$(MB_DOC_XML_ATTR_LEVEL),$(1))\\n\\t\\t$(call mb_make_xml_tag_value,$(MB_DOC_XML_TAG_ARGS),$(4))\\n\\t\\t$(call mb_make_xml_tag_value,$(MB_DOC_XML_TAG_DESC),$(3))\\n\\t$(call mb_make_xml_close,function) + \\n\\t$(call mb_make_call,mb_make_xml_open,function,$(MB_DOC_XML_ATTR_NAME),$(2),$(MB_DOC_XML_ATTR_LEVEL),$(1))\\n\\t\\t$(call mb_make_call,mb_make_xml_tag_value,$(MB_DOC_XML_TAG_ARGS),$(4))\\n\\t\\t$(call mb_make_call,mb_make_xml_tag_value,$(MB_DOC_XML_TAG_DESC),$(3))\\n\\t$(call mb_make_call,mb_make_xml_close,function) endef define mb_doc_function $(call mb_make_call,_mb_doc_function_$(MB_DOC_FORMAT),build,$(1),$(2),$(3)) @@ -102,7 +102,7 @@ define _mb_doc_target_txt "* "$(2)$(if $(3),\\n\\t$(3))\\n\\n endef define _mb_doc_target_xml - \\n\\t$(call mb_make_xml_open,target,$(MB_DOC_XML_ATTR_NAME),$(2),$(MB_DOC_XML_ATTR_LEVEL),$(1))$(if $3,\\n\\t\\t$(call mb_make_xml_tag_value,$(MB_DOC_XML_TAG_DESC),$(3))\\n\\t$(call mb_make_xml_close,target),\\n\\t$(call mb_make_xml_close,target)) + \\n\\t$(call mb_make_call,mb_make_xml_open,target,$(MB_DOC_XML_ATTR_NAME),$(2),$(MB_DOC_XML_ATTR_LEVEL),$(1))$(if $3,\\n\\t\\t$(call mb_make_call,mb_make_xml_tag_value,$(MB_DOC_XML_TAG_DESC),$(3))\\n\\t$(call mb_make_call,mb_make_xml_close,target),\\n\\t$(call mb_make_call,mb_make_xml_close,target)) endef define mb_doc_target $(call mb_make_call,_mb_doc_target_$(MB_DOC_FORMAT),build,$(1),$(2)) @@ -257,4 +257,3 @@ MB_DOC_FIRE_HELP += $(call mb_make_call,mb_doc_target_help,@help-firemake,"Outpu endef MB_DOC_FIRE_FUNCTION_FLOW += $(call mb_make_call,mb_doc_function_flow,mb_doc_flow_help,"Prints flow of fire help target.","[project-name]") - diff --git a/lib/make/mb_flight.mk b/lib/make/mb_flight.mk index e70bc60..211837e 100644 --- a/lib/make/mb_flight.mk +++ b/lib/make/mb_flight.mk @@ -25,3 +25,4 @@ define mb_flight_video_merge $(call mb_make_call,mb_make_echo_good,Flight video completed) endef MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_flight_video_merge,"Merged all flight videos to one.","") + diff --git a/lib/make/mb_make.mk b/lib/make/mb_make.mk index 3fb3eda..46dc946 100644 --- a/lib/make/mb_make.mk +++ b/lib/make/mb_make.mk @@ -1,5 +1,4 @@ - MB_MAKE_COMMA := , MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_MAKE_COMMA,"Expanded special char; comma.") diff --git a/lib/make/mb_msxhub.mk b/lib/make/mb_msxhub.mk index 23bdba9..2fb150d 100644 --- a/lib/make/mb_msxhub.mk +++ b/lib/make/mb_msxhub.mk @@ -8,15 +8,15 @@ MB_DOC_FIRE_VARIABLE_DEEP += $(call mb_make_call,mb_doc_variable_deep,MB_MSXHUB_ define _mb_msxhub_file_fetch @echo === Fetch msxhub file - $(if $(wildcard $(dir $(MB_MSXHUB_CACHE)/$(subst $(MB_MSXHUB_API)/,,$(1)))),,$(call mb_mkdir,$(dir $(MB_MSXHUB_CACHE)/$(subst $(MB_MSXHUB_API)/,,$(1))))) + $(if $(wildcard $(dir $(MB_MSXHUB_CACHE)/$(subst $(MB_MSXHUB_API)/,,$(1)))),,$(call mb_make_call,mb_os_dir_create,$(dir $(MB_MSXHUB_CACHE)/$(subst $(MB_MSXHUB_API)/,,$(1))))) $(if $(wildcard $(MB_MSXHUB_CACHE)/$(subst $(MB_MSXHUB_API)/,,$(1))),,wget -O $(MB_MSXHUB_CACHE)/$(subst $(MB_MSXHUB_API)/,,$(1)) $(1)) endef # NOTE: with HDD import/export we get duplicate files after openMSX run, so force to lowercase. define mb_msxhub_file - $(if $(wildcard $(MB_MSXHUB_CACHE)/$(2)),,$(call _mb_msxhub_file_fetch,$(MB_MSXHUB_API)/$(2))) - $(if $(wildcard $(1)/$(call mb_make_lowercase,$(notdir $(2)))),,$(call mb_copy,$(MB_MSXHUB_CACHE)/$(2),$(1)/$(call mb_make_lowercase,$(notdir $(2))))) + $(if $(wildcard $(MB_MSXHUB_CACHE)/$(2)),,$(call mb_make_call,_mb_msxhub_file_fetch,$(MB_MSXHUB_API)/$(2))) + $(if $(wildcard $(1)/$(call mb_make_call,mb_make_lowercase,$(notdir $(2)))),,$(call mb_make_call,mb_os_file_copy,$(MB_MSXHUB_CACHE)/$(2),$(1)/$(call mb_make_call,mb_make_lowercase,$(notdir $(2))))) endef MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxhub_file,"Installs msxsub packages from slug into dir."," ") @@ -25,22 +25,22 @@ MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxhub_ # Operating System (minimal) # define mb_msxhub_get_msxdos1_boot - $(call mb_msxhub_file,$(1),MSXDOS1/1.03-2/get/MSXDOS1/MSXDOS.SYS) - $(call mb_msxhub_file,$(1),MSXDOS1/1.03-2/get/MSXDOS1/COMMAND.COM) + $(call mb_make_call,mb_msxhub_file,$(1),MSXDOS1/1.03-2/get/MSXDOS1/MSXDOS.SYS) + $(call mb_make_call,mb_msxhub_file,$(1),MSXDOS1/1.03-2/get/MSXDOS1/COMMAND.COM) endef MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxhub_get_msxdos1_boot,"Installs msxdos1 boot files into dir.","") define mb_msxhub_get_msxdos2_boot - $(call mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/MSXDOS2.SYS) - $(call mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/COMMAND2.COM) + $(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/MSXDOS2.SYS) + $(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/COMMAND2.COM) endef MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxhub_get_msxdos2_boot,"Installs msxdos2 boot files into dir.","") define mb_msxhub_get_nextor_boot - $(call mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/NEXTOR.SYS) - $(call mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/COMMAND2.COM) + $(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/NEXTOR.SYS) + $(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/COMMAND2.COM) endef MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxhub_get_nextor_boot,"Installs nextor boot files into dir.","") @@ -49,31 +49,31 @@ MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxhub_ # Native OS utils # define mb_msxhub_get_msxdos2_utils - $(call mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/CHKDSK.COM) - $(call mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/DISKCOPY.COM) - $(call mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/FIXDISK.COM) - $(call mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/UNDEL.COM) - $(call mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/XCOPY.COM) - $(call mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/XDIR.COM) - $(call mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/KMODE.COM) + $(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/CHKDSK.COM) + $(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/DISKCOPY.COM) + $(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/FIXDISK.COM) + $(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/UNDEL.COM) + $(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/XCOPY.COM) + $(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/XDIR.COM) + $(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/KMODE.COM) endef MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxhub_get_msxdos2_utils,"Installs msxdos2 util files into dir.","") define mb_msxhub_get_nextor_utils - $(call mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/DELALL.COM) - $(call mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/DEVINFO.COM) - $(call mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/DRIVERS.COM) - $(call mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/DRVINFO.COM) - $(call mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/FASTOUT.COM) - $(call mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/LOCK.COM) - $(call mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/MAPDRV.COM) - $(call mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/EMUFILE.COM) - $(call mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/RALLOC.COM) - $(call mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/Z80MODE.COM) - $(call mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/NSYSVER.COM) - $(call mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/NEXBOOT.COM) - $(call mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/CONCLUS.COM) + $(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/DELALL.COM) + $(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/DEVINFO.COM) + $(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/DRIVERS.COM) + $(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/DRVINFO.COM) + $(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/FASTOUT.COM) + $(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/LOCK.COM) + $(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/MAPDRV.COM) + $(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/EMUFILE.COM) + $(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/RALLOC.COM) + $(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/Z80MODE.COM) + $(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/NSYSVER.COM) + $(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/NEXBOOT.COM) + $(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/CONCLUS.COM) endef MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxhub_get_nextor_utils,"Installs nextor util files into dir.","") @@ -82,39 +82,39 @@ MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxhub_get_nextor # Compilers # define mb_msxhub_get_macro80 - $(call mb_msxhub_file,$(1),MACRO80/2.0-1/get/MACRO80/CREF80.COM) - $(call mb_msxhub_file,$(1),MACRO80/2.0-1/get/MACRO80/L80.COM) - $(call mb_msxhub_file,$(1),MACRO80/2.0-1/get/MACRO80/LIB80.COM) - $(call mb_msxhub_file,$(1),MACRO80/2.0-1/get/MACRO80/M80.COM) + $(call mb_make_call,mb_msxhub_file,$(1),MACRO80/2.0-1/get/MACRO80/CREF80.COM) + $(call mb_make_call,mb_msxhub_file,$(1),MACRO80/2.0-1/get/MACRO80/L80.COM) + $(call mb_make_call,mb_msxhub_file,$(1),MACRO80/2.0-1/get/MACRO80/LIB80.COM) + $(call mb_make_call,mb_msxhub_file,$(1),MACRO80/2.0-1/get/MACRO80/M80.COM) endef MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxhub_get_macro80,"Installs macro80 package into dir.","") define mb_msxhub_get_z80asmuk - $(call mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/BINTOASM.COM) - $(call mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/DUMP.COM) - $(call mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/HEXTOCOM.COM) - $(call mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/PRL.COM) - $(call mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/PRNTOSYM.COM) - $(call mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/RSX.COM) - $(call mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/ZSM.COM) - $(call mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/ZSMPP.COM) - $(call mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/HEXTOBIN.COM) + $(call mb_make_call,mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/BINTOASM.COM) + $(call mb_make_call,mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/DUMP.COM) + $(call mb_make_call,mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/HEXTOCOM.COM) + $(call mb_make_call,mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/PRL.COM) + $(call mb_make_call,mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/PRNTOSYM.COM) + $(call mb_make_call,mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/RSX.COM) + $(call mb_make_call,mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/ZSM.COM) + $(call mb_make_call,mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/ZSMPP.COM) + $(call mb_make_call,mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/HEXTOBIN.COM) endef MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxhub_get_z80asmuk,"Installs z80asmuk package into dir.","") define mb_msxhub_get_wbass2 - $(call mb_msxhub_file,$(1),WBASS2/2.0-1/get/WBASS2/zoekram.bin) - $(call mb_msxhub_file,$(1),WBASS2/2.0-1/get/WBASS2/wbass2.bin) - $(call mb_msxhub_file,$(1),WBASS2/2.0-1/get/WBASS2/wbass2.bas) + $(call mb_make_call,mb_msxhub_file,$(1),WBASS2/2.0-1/get/WBASS2/zoekram.bin) + $(call mb_make_call,mb_msxhub_file,$(1),WBASS2/2.0-1/get/WBASS2/wbass2.bin) + $(call mb_make_call,mb_msxhub_file,$(1),WBASS2/2.0-1/get/WBASS2/wbass2.bas) endef MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxhub_get_wbass2,"Installs wbass2 package into dir.","") define mb_msxhub_get_konpass - $(call mb_msxhub_file,$(1),KONPASS/1.3.0-1/get/KONPASS/KONPASS.COM) - $(call mb_msxhub_file,$(1),KONPASS/1.3.0-1/get/KONPASS/KONPASS.DAT) + $(call mb_make_call,mb_msxhub_file,$(1),KONPASS/1.3.0-1/get/KONPASS/KONPASS.COM) + $(call mb_make_call,mb_msxhub_file,$(1),KONPASS/1.3.0-1/get/KONPASS/KONPASS.DAT) endef MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxhub_get_konpass,"Installs konpass package into dir.","") @@ -123,42 +123,42 @@ MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxhub_get_konpas # Compressors # define mb_msxhub_get_pmarc - $(call mb_msxhub_file,$(1),PMARC/2.0-1/get/PMARC/PMARC.COM) - $(call mb_msxhub_file,$(1),PMARC/2.0-1/get/PMARC/PMCAT.COM) - $(call mb_msxhub_file,$(1),PMARC/2.0-1/get/PMARC/PMEXE2.COM) - $(call mb_msxhub_file,$(1),PMARC/2.0-1/get/PMARC/PMEXT.COM) - $(call mb_msxhub_file,$(1),PMARC/2.0-1/get/PMARC/PMSET.COM) - $(call mb_msxhub_file,$(1),PMARC/2.0-1/get/PMARC/PMSFX2.COM) + $(call mb_make_call,mb_msxhub_file,$(1),PMARC/2.0-1/get/PMARC/PMARC.COM) + $(call mb_make_call,mb_msxhub_file,$(1),PMARC/2.0-1/get/PMARC/PMCAT.COM) + $(call mb_make_call,mb_msxhub_file,$(1),PMARC/2.0-1/get/PMARC/PMEXE2.COM) + $(call mb_make_call,mb_msxhub_file,$(1),PMARC/2.0-1/get/PMARC/PMEXT.COM) + $(call mb_make_call,mb_msxhub_file,$(1),PMARC/2.0-1/get/PMARC/PMSET.COM) + $(call mb_make_call,mb_msxhub_file,$(1),PMARC/2.0-1/get/PMARC/PMSFX2.COM) endef MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxhub_get_pmarc,"Installs pmarc package into dir.","") define mb_msxhub_get_lhpack - $(call mb_msxhub_file,$(1),LHPACK/1.00-1/get/LHPACK/lhpack.com) + $(call mb_make_call,mb_msxhub_file,$(1),LHPACK/1.00-1/get/LHPACK/lhpack.com) endef MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxhub_get_lhpack,"Installs lhpack package into dir.","") define mb_msxhub_get_lhext - $(call mb_msxhub_file,$(1),LHEXT/1.34-2/get/LHEXT/lhext.com) + $(call mb_make_call,mb_msxhub_file,$(1),LHEXT/1.34-2/get/LHEXT/lhext.com) endef MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxhub_get_lhext,"Installs lhext package into dir.","") define mb_msxhub_get_gunzip - $(call mb_msxhub_file,$(1),GUNZIP/1.1-1/get/GUNZIP/gunzip.com) + $(call mb_make_call,mb_msxhub_file,$(1),GUNZIP/1.1-1/get/GUNZIP/gunzip.com) endef MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxhub_get_gunzip,"Installs gunzip package into dir.","") define mb_msxhub_get_tunzip - $(call mb_msxhub_file,$(1),TUNZIP/0.91-1/get/TUNZIP/tunzip.com) + $(call mb_make_call,mb_msxhub_file,$(1),TUNZIP/0.91-1/get/TUNZIP/tunzip.com) endef MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxhub_get_tunzip,"Installs tunzip package into dir.","") define mb_msxhub_get_popcom - $(call mb_msxhub_file,$(1),POPCOM/1.00-2/get/POPCOM/popcom.com) + $(call mb_make_call,mb_msxhub_file,$(1),POPCOM/1.00-2/get/POPCOM/popcom.com) endef MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxhub_get_popcom,"Installs popcom package into dir.","") @@ -167,71 +167,71 @@ MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxhub_get_popcom # Tools # define mb_msxhub_get_make - $(call mb_msxhub_file,$(1),MAKE/2.00-1/get/MAKE/MAKE.COM) + $(call mb_make_call,mb_msxhub_file,$(1),MAKE/2.00-1/get/MAKE/MAKE.COM) endef MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxhub_get_make,"Installs make package into dir.","") define mb_msxhub_get_adir - $(call mb_msxhub_file,$(1),ADIR/1.3-1/get/ADIR/adir.com) + $(call mb_make_call,mb_msxhub_file,$(1),ADIR/1.3-1/get/ADIR/adir.com) endef MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxhub_get_adir,"Installs adir package into dir.","") define mb_msxhub_get_turbo - $(call mb_msxhub_file,$(1),TURBO/2.1-1/get/TURBO/turbo.com) + $(call mb_make_call,mb_msxhub_file,$(1),TURBO/2.1-1/get/TURBO/turbo.com) endef MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxhub_get_turbo,"Installs turbo package into dir.","") define mb_msxhub_get_baskom - $(call mb_msxhub_file,$(1),BASKOM/1.0-1/get/BASKOM/baskom.com) + $(call mb_make_call,mb_msxhub_file,$(1),BASKOM/1.0-1/get/BASKOM/baskom.com) endef MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxhub_get_baskom,"Installs baskom package into dir.","") define mb_msxhub_get_binldr - $(call mb_msxhub_file,$(1),BINLDR/1.0.2-1/get/BINLDR/BINLDR.COM) + $(call mb_make_call,mb_msxhub_file,$(1),BINLDR/1.0.2-1/get/BINLDR/BINLDR.COM) endef MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxhub_get_binldr,"Installs binldr package into dir.","") define mb_msxhub_get_dmphex - $(call mb_msxhub_file,$(1),DMPHEX/1.00-1/get/DMPHEX/dmphex.com) + $(call mb_make_call,mb_msxhub_file,$(1),DMPHEX/1.00-1/get/DMPHEX/dmphex.com) endef MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxhub_get_dmphex,"Installs dmphex package into dir.","") define mb_msxhub_get_zd - $(call mb_msxhub_file,$(1),ZD/3.30-1/get/ZD/zd.com) + $(call mb_make_call,mb_msxhub_file,$(1),ZD/3.30-1/get/ZD/zd.com) endef MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxhub_get_zd,"Installs zd package into dir.","") define mb_msxhub_get_msxdos2t - $(call mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/ADDAUX.COM) - $(call mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/BEEP.COM) - $(call mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/BIO.COM) - $(call mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/BODY.COM) - $(call mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/BSAVE.COM) - $(call mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/CAL.COM) - $(call mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/CALC.COM) - $(call mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/DUMP.COM) - $(call mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/EXPAND.COM) - $(call mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/GREP.COM) - $(call mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/HEAD.COM) - $(call mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/KEY.COM) - $(call mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/LIST.COM) - $(call mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/LS.COM) - $(call mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/MENU.COM) - $(call mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/MORE.COM) - $(call mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/PATCH.COM) - $(call mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/SLEEP.COM) - $(call mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/TAIL.COM) - $(call mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/TR.COM) - $(call mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/UNIQ.COM) - $(call mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/VIEW.COM) - $(call mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/WC.COM) + $(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/ADDAUX.COM) + $(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/BEEP.COM) + $(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/BIO.COM) + $(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/BODY.COM) + $(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/BSAVE.COM) + $(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/CAL.COM) + $(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/CALC.COM) + $(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/DUMP.COM) + $(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/EXPAND.COM) + $(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/GREP.COM) + $(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/HEAD.COM) + $(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/KEY.COM) + $(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/LIST.COM) + $(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/LS.COM) + $(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/MENU.COM) + $(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/MORE.COM) + $(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/PATCH.COM) + $(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/SLEEP.COM) + $(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/TAIL.COM) + $(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/TR.COM) + $(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/UNIQ.COM) + $(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/VIEW.COM) + $(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/WC.COM) endef MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxhub_get_msxdos2t,"Installs msxdos2t package into dir.","") @@ -240,6 +240,7 @@ MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxhub_get_msxdos # Graphics editors # define mb_msxhub_get_gfxage - $(call mb_msxhub_file,$(1),GFXAGE/0.99-1/get/GFXAGE/gfxage.com) + $(call mb_make_call,mb_msxhub_file,$(1),GFXAGE/0.99-1/get/GFXAGE/gfxage.com) endef MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxhub_get_gfxage,"Installs gfxage package into dir.","") + diff --git a/lib/make/mb_msxpipe.mk b/lib/make/mb_msxpipe.mk index 98efc78..46574ed 100644 --- a/lib/make/mb_msxpipe.mk +++ b/lib/make/mb_msxpipe.mk @@ -58,3 +58,4 @@ define mb_msxpipe_run_gui_mouse $(call mb_make_call,_mb_msxpipe_run_gui,$(1),$(2),$(3),mouse) endef MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxpipe_run_gui_mouse,"Runs openMSX forever with renderer and mouse and optionals."," [cmd] [machine]") + diff --git a/lib/make/mb_msxrom.mk b/lib/make/mb_msxrom.mk index 21f5271..ee17b9a 100644 --- a/lib/make/mb_msxrom.mk +++ b/lib/make/mb_msxrom.mk @@ -9,179 +9,180 @@ MB_DOC_FIRE_VARIABLE_DEEP += $(call mb_make_call,mb_doc_variable_deep,MB_MSXROM_ define _mb_msxrom_file_fetch @echo === Fetch msxrom file - $(if $(wildcard $(dir $(MB_MSXROM_CACHE)/$(subst $(MB_MSXROM_API)/,,$(1)))),,$(call mb_mkdir,$(dir $(MB_MSXROM_CACHE)/$(subst $(MB_MSXROM_API)/,,$(1))))) + $(if $(wildcard $(dir $(MB_MSXROM_CACHE)/$(subst $(MB_MSXROM_API)/,,$(1)))),,$(call mb_make_call,mb_os_dir_create,$(dir $(MB_MSXROM_CACHE)/$(subst $(MB_MSXROM_API)/,,$(1))))) $(if $(wildcard $(MB_MSXROM_CACHE)/$(subst $(MB_MSXROM_API)/,,$(1))),,wget -O $(MB_MSXROM_CACHE)/$(subst $(MB_MSXROM_API)/,,$(1)) $(1)) endef define mb_msxrom_file - $(if $(wildcard $(MB_MSXROM_CACHE)/$(2)),,$(call _mb_msxrom_file_fetch,$(MB_MSXROM_API)/$(2))) - $(if $(wildcard $(1)/$(notdir $(2))),,$(call mb_copy,$(MB_MSXROM_CACHE)/$(2),$(1)/$(notdir $(2)))) + $(if $(wildcard $(MB_MSXROM_CACHE)/$(2)),,$(call mb_make_call,_mb_msxrom_file_fetch,$(MB_MSXROM_API)/$(2))) + $(if $(wildcard $(1)/$(notdir $(2))),,$(call mb_make_call,mb_os_file_copy,$(MB_MSXROM_CACHE)/$(2),$(1)/$(notdir $(2)))) endef MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_file,"Installs msxroms from slug into an subdir."," ") define mb_msxrom_setup - $(if $(wildcard $(1)-omsx),,$(call mb_mkdir,$(1)-omsx)) - $(if $(wildcard $(1)-omsx/share),,$(call mb_mkdir,$(1)-omsx/share)) - $(if $(wildcard $(1)-omsx/share/systemroms),,$(call mb_mkdir,$(1)-omsx/share/systemroms)) - $(if $(wildcard $(1)-omsx/share/systemroms/machines),,$(call mb_mkdir,$(1)-omsx/share/systemroms/machines)) - $(if $(wildcard $(1)-omsx/share/systemroms/extensions),,$(call mb_mkdir,$(1)-omsx/share/systemroms/extensions)) + $(if $(wildcard $(1)-omsx),,$(call mb_make_call,mb_os_dir_create,$(1)-omsx)) + $(if $(wildcard $(1)-omsx/share),,$(call mb_make_call,mb_os_dir_create,$(1)-omsx/share)) + $(if $(wildcard $(1)-omsx/share/systemroms),,$(call mb_make_call,mb_os_dir_create,$(1)-omsx/share/systemroms)) + $(if $(wildcard $(1)-omsx/share/systemroms/machines),,$(call mb_make_call,mb_os_dir_create,$(1)-omsx/share/systemroms/machines)) + $(if $(wildcard $(1)-omsx/share/systemroms/extensions),,$(call mb_make_call,mb_os_dir_create,$(1)-omsx/share/systemroms/extensions)) endef MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_setup,"Creates needed systemrom folders.","") define mb_msxrom_extension_ide - $(call mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/ide240.dat) + $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/ide240.dat) endef MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_ide,"Installs sunrise IDE classic rom.","") define mb_msxrom_extension_ide_nextor - $(call mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/Nextor-2.1.1.SunriseIDE.ROM) + $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/Nextor-2.1.1.SunriseIDE.ROM) endef MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_ide_nextor,"Installs sunrise IDE nextor rom.","") define mb_msxrom_extension_scsi_novaxis - $(call mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/novaxis.rom) + $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/novaxis.rom) endef MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_scsi_novaxis,"Installs Gouda SCSI novaxis rom.","") define mb_msxrom_extension_msxdos22 - $(call mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/msxdos22.rom) + $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/msxdos22.rom) endef MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_msxdos22,"Installs msxdos 2.20 rom.","") define mb_msxrom_extension_rs232 - $(call mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/rs232.rom) + $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/rs232.rom) endef MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_rs232,"Installs rs232 rom.","") define mb_msxrom_extension_fmpac - $(call mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/fmpac.rom) + $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/fmpac.rom) endef MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_fmpac,"Installs fmpac rom.","") define mb_msxrom_extension_fmpac_en - $(call mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/fmpac_en.rom) + $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/fmpac_en.rom) endef MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_fmpac_en,"Installs fmpac english rom.","") define mb_msxrom_extension_moonsound - $(call mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/yrw801.rom) + $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/yrw801.rom) endef MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_moonsound,"Installs moonsound rom.","") define mb_msxrom_extension_basickun - $(call mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,machines/sanyo/phc-70fd2_basickun.rom) + $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,machines/sanyo/phc-70fd2_basickun.rom) endef MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_basickun,"Installs basickun compiler rom.","") define mb_msxrom_machine_Canon_V-20 - $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/canon/v-20_basic-bios1.rom) + $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/canon/v-20_basic-bios1.rom) endef MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Canon_V-20,"Installs machine roms.","") define mb_msxrom_machine_Mitsubishi_ML-F80 - $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/mitsubishi/ml-f80_basic-bios1.rom) + $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/mitsubishi/ml-f80_basic-bios1.rom) endef MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Mitsubishi_ML-F80,"Installs machine roms.","") define mb_msxrom_machine_Mitsubishi_ML-FX1 - $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/mitsubishi/ml-fx1_basic-bios1.rom) + $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/mitsubishi/ml-fx1_basic-bios1.rom) endef MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Mitsubishi_ML-FX1,"Installs machine roms.","") define mb_msxrom_machine_Mitsubishi_ML-G3_ES - $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/mitsubishi/ml-g3_es_basic-bios2.rom) - $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/mitsubishi/ml-g3_es_disk.rom) - $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/mitsubishi/ml-g3_es_msx2sub.rom) - $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/mitsubishi/ml-g3_es_rs232.rom) + $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/mitsubishi/ml-g3_es_basic-bios2.rom) + $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/mitsubishi/ml-g3_es_disk.rom) + $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/mitsubishi/ml-g3_es_msx2sub.rom) + $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/mitsubishi/ml-g3_es_rs232.rom) endef MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Mitsubishi_ML-G3_ES,"Installs machine roms.","") define mb_msxrom_machine_Philips_VG_8000 - $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/philips/vg8000_basic-bios1.rom) + $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/philips/vg8000_basic-bios1.rom) endef MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Philips_VG_8000,"Installs machine roms.","") define mb_msxrom_machine_Philips_VG_8230 - $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/philips/vg8230_basic-bios2.rom) - $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/philips/vg8230_disk.rom) - $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/philips/vg8230_msx2sub.rom) + $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/philips/vg8230_basic-bios2.rom) + $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/philips/vg8230_disk.rom) + $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/philips/vg8230_msx2sub.rom) endef MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Philips_VG_8230,"Installs machine roms.","") define mb_msxrom_machine_Philips_NMS_8250 - $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/philips/nms8250_basic-bios2.rom) - $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/philips/nms8250_msx2sub.rom) - $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/philips/nms8250_disk.rom) + $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/philips/nms8250_basic-bios2.rom) + $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/philips/nms8250_msx2sub.rom) + $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/philips/nms8250_disk.rom) endef MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Philips_NMS_8250,"Installs machine roms.","") define mb_msxrom_machine_Toshiba_HX-21 - $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/toshiba/HX21-IC2.BIN) - $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/toshiba/HX21-IC3.BIN) + $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/toshiba/HX21-IC2.BIN) + $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/toshiba/HX21-IC3.BIN) endef MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Toshiba_HX-21,"Installs machine roms.","") define mb_msxrom_machine_Yamaha_AX200 - $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/yamaha/ax200_basic-bios1.rom) - $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/yamaha/ax200_arabic.rom) - $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/yamaha/ax200_music.rom) + $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/yamaha/ax200_basic-bios1.rom) + $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/yamaha/ax200_arabic.rom) + $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/yamaha/ax200_music.rom) endef MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Yamaha_AX200,"Installs machine roms.","") define mb_msxrom_machine_Yamaha_YIS-503IIIR - $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/yamaha/yis503iii_basic-bios2.rom) - $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/yamaha/yis503iii_msx2sub.rom) - $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/yamaha/yis503iii_cpm.rom) - $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/yamaha/yis503iii_net_2.rom) + $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/yamaha/yis503iii_basic-bios2.rom) + $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/yamaha/yis503iii_msx2sub.rom) + $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/yamaha/yis503iii_cpm.rom) + $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/yamaha/yis503iii_net_2.rom) endef MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Yamaha_YIS-503IIIR,"Installs machine roms.","") define mb_msxrom_machine_Panasonic_FS-A1WSX - $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/panasonic/fs-a1wsx_basic-bios2p.rom) - $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/panasonic/fs-a1wsx_disk.rom) - $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/panasonic/fs-a1wsx_firmware.rom) - $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/panasonic/fs-a1wsx_fmbasic.rom) - $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/panasonic/fs-a1wsx_kanjibasic.rom) - $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/panasonic/fs-a1wsx_kanjifont.rom) - $(call mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/panasonic/fs-a1wsx_msx2psub.rom) + $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/panasonic/fs-a1wsx_basic-bios2p.rom) + $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/panasonic/fs-a1wsx_disk.rom) + $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/panasonic/fs-a1wsx_firmware.rom) + $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/panasonic/fs-a1wsx_fmbasic.rom) + $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/panasonic/fs-a1wsx_kanjibasic.rom) + $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/panasonic/fs-a1wsx_kanjifont.rom) + $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/panasonic/fs-a1wsx_msx2psub.rom) endef MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Panasonic_FS-A1WSX,"Installs machine roms.","") define mb_msxrom_machine_Boosted_MSX2_EN - $(call mb_msxrom_machine_Philips_NMS_8250,$(1)) - $(call mb_msxrom_extension_fmpac,$(1)) - $(call mb_msxrom_extension_moonsound,$(1)) - $(call mb_msxrom_extension_basickun,$(1)) + $(call mb_make_call,mb_msxrom_machine_Philips_NMS_8250,$(1)) + $(call mb_make_call,mb_msxrom_extension_fmpac,$(1)) + $(call mb_make_call,mb_msxrom_extension_moonsound,$(1)) + $(call mb_make_call,mb_msxrom_extension_basickun,$(1)) endef MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Boosted_MSX2_EN,"Installs machine and extensions roms.","") define mb_msxrom_machine_Boosted_MSX2+_JP - $(call mb_msxrom_machine_Panasonic_FS-A1WSX,$(1)) - $(call mb_msxrom_extension_moonsound,$(1)) - $(call mb_msxrom_extension_basickun,$(1)) + $(call mb_make_call,mb_msxrom_machine_Panasonic_FS-A1WSX,$(1)) + $(call mb_make_call,mb_msxrom_extension_moonsound,$(1)) + $(call mb_make_call,mb_msxrom_extension_basickun,$(1)) endef MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Boosted_MSX2+_JP,"Installs machine and extensions roms.","") + diff --git a/lib/make/mb_openmsx.mk b/lib/make/mb_openmsx.mk index 7580168..f223bfb 100644 --- a/lib/make/mb_openmsx.mk +++ b/lib/make/mb_openmsx.mk @@ -1,5 +1,4 @@ - MB_OPENMSX_BOOT_TIMEOUT ?= 25 MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_OPENMSX_BOOT_TIMEOUT,"Timeout in seconds to guard for boot failures.") @@ -42,19 +41,19 @@ MB_OPENMSX_STDERR_IGNORE ?= off define mb_openmsx_setup - $(if $(wildcard $(1)-omsx),,$(call mb_mkdir,$(1)-omsx)) - $(if $(wildcard $(1)-omsx/stdio.xml),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/openmsx/stdio.xml,$(1)-omsx)) - $(if $(wildcard $(1)-omsx/share),,$(call mb_mkdir,$(1)-omsx/share)) - $(if $(wildcard $(1)-omsx/share/settings.xml),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/openmsx/share/settings.xml,$(1)-omsx/share)) - $(if $(wildcard $(1)-omsx/share/scripts),,$(call mb_mkdir,$(1)-omsx/share/scripts)) - $(if $(wildcard $(1)-omsx/share/scripts/boot_exec.tcl),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/openmsx/share/scripts/boot_exec.tcl,$(1)-omsx/share/scripts)) - $(if $(wildcard $(1)-omsx/share/scripts/fail_after.tcl),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/openmsx/share/scripts/fail_after.tcl,$(1)-omsx/share/scripts)) - $(if $(wildcard $(1)-omsx/share/scripts/fire_hdd.tcl),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/openmsx/share/scripts/fire_hdd.tcl,$(1)-omsx/share/scripts)) - $(if $(wildcard $(1)-omsx/share/scripts/headless.tcl),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/openmsx/share/scripts/headless.tcl,$(1)-omsx/share/scripts)) - $(if $(wildcard $(1)-omsx/share/scripts/night_flight.tcl),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/openmsx/share/scripts/night_flight.tcl,$(1)-omsx/share/scripts)) + $(if $(wildcard $(1)-omsx),,$(call mb_make_call,mb_os_dir_create,$(1)-omsx)) + $(if $(wildcard $(1)-omsx/stdio.xml),,$(call mb_make_call,mb_os_file_copy,$(PATH_MSXBUILD_REAL)/lib/openmsx/stdio.xml,$(1)-omsx)) + $(if $(wildcard $(1)-omsx/share),,$(call mb_make_call,mb_os_dir_create,$(1)-omsx/share)) + $(if $(wildcard $(1)-omsx/share/settings.xml),,$(call mb_make_call,mb_os_file_copy,$(PATH_MSXBUILD_REAL)/lib/openmsx/share/settings.xml,$(1)-omsx/share)) + $(if $(wildcard $(1)-omsx/share/scripts),,$(call mb_make_call,mb_os_dir_create,$(1)-omsx/share/scripts)) + $(if $(wildcard $(1)-omsx/share/scripts/boot_exec.tcl),,$(call mb_make_call,mb_os_file_copy,$(PATH_MSXBUILD_REAL)/lib/openmsx/share/scripts/boot_exec.tcl,$(1)-omsx/share/scripts)) + $(if $(wildcard $(1)-omsx/share/scripts/fail_after.tcl),,$(call mb_make_call,mb_os_file_copy,$(PATH_MSXBUILD_REAL)/lib/openmsx/share/scripts/fail_after.tcl,$(1)-omsx/share/scripts)) + $(if $(wildcard $(1)-omsx/share/scripts/fire_hdd.tcl),,$(call mb_make_call,mb_os_file_copy,$(PATH_MSXBUILD_REAL)/lib/openmsx/share/scripts/fire_hdd.tcl,$(1)-omsx/share/scripts)) + $(if $(wildcard $(1)-omsx/share/scripts/headless.tcl),,$(call mb_make_call,mb_os_file_copy,$(PATH_MSXBUILD_REAL)/lib/openmsx/share/scripts/headless.tcl,$(1)-omsx/share/scripts)) + $(if $(wildcard $(1)-omsx/share/scripts/night_flight.tcl),,$(call mb_make_call,mb_os_file_copy,$(PATH_MSXBUILD_REAL)/lib/openmsx/share/scripts/night_flight.tcl,$(1)-omsx/share/scripts)) $(if $(wildcard $(1)-omsx/share/scripts/omsxctl.tcl),,$(call mb_msxhub_file,$(1)-omsx/share/scripts,OMSXCTL/1.0-1/get/OMSXCTL/omsxctl.tcl)) - $(if $(wildcard $(1)-omsx/share/extensions),,$(call mb_mkdir,$(1)-omsx/share/extensions)) - $(if $(wildcard $(1)-omsx/share/extensions/fire-hdd.xml),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/openmsx/share/extensions/fire-hdd.xml,$(1)-omsx/share/extensions)) + $(if $(wildcard $(1)-omsx/share/extensions),,$(call mb_make_call,mb_os_dir_create,$(1)-omsx/share/extensions)) + $(if $(wildcard $(1)-omsx/share/extensions/fire-hdd.xml),,$(call mb_make_call,mb_os_file_copy,$(PATH_MSXBUILD_REAL)/lib/openmsx/share/extensions/fire-hdd.xml,$(1)-omsx/share/extensions)) $(call mb_make_call,mb_msxrom_setup,$(1)) $(call mb_make_call,mb_msxrom_extension_ide_nextor,$(1)) $(call mb_make_call,mb_msxrom_machine_$(2),$(1)) @@ -64,7 +63,7 @@ MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_openmsx define _mb_openmsx_run $(call mb_make_call,mb_make_echo_command,$(MB_OPENMSX_LOG_PREFIX)$@ on $(2)) - $(if $(wildcard $(1)-omsx/persistent/fire-hdd/untitled1/sync),,$(call mb_make_call,mb_mkdir,$(1)-omsx/persistent/fire-hdd/untitled1/sync)) + $(if $(wildcard $(1)-omsx/persistent/fire-hdd/untitled1/sync),,$(call mb_make_call,mb_os_dir_create,$(1)-omsx/persistent/fire-hdd/untitled1/sync)) BOOT_WATCHDOG=$(MB_OPENMSX_BOOT_TIMEOUT) \ FIRE_HDD_PATH="$(1)" \ FIRE_HDD_SIZE=$(MB_OPENMSX_HDD_SIZE) \ @@ -98,11 +97,11 @@ endef define _mb_openmsx_dosctl_bat - $(if $(wildcard $(1)/z80.bat),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/emuctl/z80.bat,$(1))) - $(if $(wildcard $(1)/reboot.bat),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/emuctl/reboot.bat,$(1))) - $(if $(wildcard $(1)/shutdown.bat),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/emuctl/shutdown.bat,$(1))) - $(if $(wildcard $(1)/mbboot80.com),,$(call mb_copy,$(PATH_MSXBUILD_REAL)/lib/emuctl/mbboot80.com,$(1))) - $(if $(wildcard $(1)/omsxctl.com),,$(call mb_msxhub_file,$(1),OMSXCTL/1.0-1/get/OMSXCTL/omsxctl.com)) + $(if $(wildcard $(1)/z80.bat),,$(call mb_make_call,mb_os_file_copy,$(PATH_MSXBUILD_REAL)/lib/emuctl/z80.bat,$(1))) + $(if $(wildcard $(1)/reboot.bat),,$(call mb_make_call,mb_os_file_copy,$(PATH_MSXBUILD_REAL)/lib/emuctl/reboot.bat,$(1))) + $(if $(wildcard $(1)/shutdown.bat),,$(call mb_make_call,mb_os_file_copy,$(PATH_MSXBUILD_REAL)/lib/emuctl/shutdown.bat,$(1))) + $(if $(wildcard $(1)/mbboot80.com),,$(call mb_make_call,mb_os_file_copy,$(PATH_MSXBUILD_REAL)/lib/emuctl/mbboot80.com,$(1))) + $(if $(wildcard $(1)/omsxctl.com),,$(call mb_make_call,mb_msxhub_file,$(1),OMSXCTL/1.0-1/get/OMSXCTL/omsxctl.com)) endef diff --git a/lib/make/mb_os.mk b/lib/make/mb_os.mk index 9e82bc3..b6c053e 100644 --- a/lib/make/mb_os.mk +++ b/lib/make/mb_os.mk @@ -1,25 +1,25 @@ # OS cmds ifeq ($(OS),Windows_NT) - MB_OS_RM = del /F /Q - MB_OS_RMDIR = RMDIR /S /Q - MB_OS_MKDIR = mkdir - MB_OS_COPY = copy + MB_OS_RM ?= del /F /Q + MB_OS_RMDIR ?= RMDIR /S /Q + MB_OS_MKDIR ?= mkdir + MB_OS_COPY ?= copy MB_OS_ECHO ?= echo - MB_OS_STDOUT_IGNORE = >NUL - MB_OS_STDERR_IGNORE = 2>NUL || true - MB_OS_SEP = "\" + MB_OS_STDOUT_IGNORE ?= >NUL + MB_OS_STDERR_IGNORE ?= 2>NUL || true + MB_OS_SEP ?= "\" MB_OS_CACHE ?= %LOCALAPPDATA% MB_OS_COLORS ?= -1 else - MB_OS_RM = rm -f - MB_OS_RMDIR = rm -rf - MB_OS_MKDIR = mkdir -p - MB_OS_COPY = cp + MB_OS_RM ?= rm -f + MB_OS_RMDIR ?= rm -rf + MB_OS_MKDIR ?= mkdir -p + MB_OS_COPY ?= cp MB_OS_ECHO ?= /usr/bin/echo - MB_OS_STDOUT_IGNORE = >/dev/null - MB_OS_STDERR_IGNORE = 2>/dev/null - MB_OS_SEP = "/" + MB_OS_STDOUT_IGNORE ?= >/dev/null + MB_OS_STDERR_IGNORE ?= 2>/dev/null + MB_OS_SEP ?= "/" MB_OS_CACHE ?= ~/.cache MB_OS_COLORS ?= $(shell tput colors 2> /dev/null;test $$? -eq 0 || echo "-1") endif @@ -36,3 +36,27 @@ MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_OS_SEP, MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_OS_CACHE,"Native OS application cache folder.") MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_OS_COLORS,"Native OS terminal color count support.") + +define mb_os_dir_delete + $(MB_OS_RMDIR) $(1) +endef +MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_os_dir_delete,"Removed the full folder.","") + + +define mb_os_dir_create + $(MB_OS_MKDIR) $(1) +endef +MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_os_dir_create,"Creates an folder.","") + + +define mb_os_file_delete + $(MB_OS_RM) $(1) +endef +MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_os_file_delete,"Deletes an file.","") + + +define mb_os_file_copy + $(MB_OS_COPY) $(1) $(2) +endef +MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_os_file_copy,"Copy an file."," ") + diff --git a/lib/make/mb_proj.mk b/lib/make/mb_proj.mk index 629133b..b93e43c 100644 --- a/lib/make/mb_proj.mk +++ b/lib/make/mb_proj.mk @@ -1,5 +1,4 @@ - MB_PROJ_META_NAME ?= MSXBUILD MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_PROJ_META_NAME,"The project name.") @@ -72,11 +71,11 @@ define _mb_proj_flow_step_done endef define _mb_proj_run_clean $(foreach folder,$(1),$(if $(wildcard $(folder)),$(call mb_make_call,mb_make_echo_command,Removing folder $(folder));)) - $(foreach folder,$(1),$(if $(wildcard $(folder)),$(call mb_make_call,mb_clean,$(folder)))) + $(foreach folder,$(1),$(if $(wildcard $(folder)),$(call mb_make_call,mb_os_dir_delete,$(folder)))) endef define _mb_proj_run_init $(foreach folder,$(1),$(if $(wildcard $(folder)),,$(call mb_make_call,mb_make_echo_command,Creating folder $(folder));)) - $(foreach folder,$(1),$(if $(wildcard $(folder)),,$(call mb_make_call,mb_mkdir,$(folder)))) + $(foreach folder,$(1),$(if $(wildcard $(folder)),,$(call mb_make_call,mb_os_dir_create,$(folder)))) endef @@ -276,4 +275,3 @@ MB_DOC_FIRE_HELP += $(call mb_make_call,mb_doc_target_help,@package-qa-video,"Ru endef MB_DOC_FIRE_FUNCTION_FLOW += $(call mb_make_call,mb_doc_function_flow,mb_proj_flow_flight_video,"Prints flow for adding video targets in project build cycle.","") - diff --git a/lib/make/mb_sdcc.mk b/lib/make/mb_sdcc.mk index fab90c6..3ccdc89 100644 --- a/lib/make/mb_sdcc.mk +++ b/lib/make/mb_sdcc.mk @@ -1,5 +1,4 @@ - MB_SDCC_FLAG_CPU ?= -mz80 MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_SDCC_FLAG_CPU,"The SDCC cpu target option.") @@ -43,43 +42,43 @@ MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_sdcc_link_asm,"Li define mb_sdcc_link_asm_0000 - $(call mb_sdcc_link_asm,$(1),$(2),0x0000)rule + $(call mb_make_call,mb_sdcc_link_asm,$(1),$(2),0x0000)rule endef MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_sdcc_link_asm_0000,"Links asm to 0x0000."," ") define mb_sdcc_link_asm_0100 - $(call mb_sdcc_link_asm,$(1),$(2),0x0100) + $(call mb_make_call,mb_sdcc_link_asm,$(1),$(2),0x0100) endef MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_sdcc_link_asm_0100,"Links asm to 0x0100."," ") define mb_sdcc_link_asm_1000 - $(call mb_sdcc_link_asm,$(1),$(2),0x1000) + $(call mb_make_call,mb_sdcc_link_asm,$(1),$(2),0x1000) endef MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_sdcc_link_asm_1000,"Links asm to 0x1000."," ") define mb_sdcc_link_asm_4000 - $(call mb_sdcc_link_asm,$(1),$(2),0x4000) + $(call mb_make_call,mb_sdcc_link_asm,$(1),$(2),0x4000) endef MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_sdcc_link_asm_4000,"Links asm to 0x4000."," ") define mb_sdcc_link_asm_8000 - $(call mb_sdcc_link_asm,$(1),$(2),0x8000) + $(call mb_make_call,mb_sdcc_link_asm,$(1),$(2),0x8000) endef MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_sdcc_link_asm_8000,"Links asm to 0x8000."," ") define mb_sdcc_link_asm_C000 - $(call mb_sdcc_link_asm,$(1),$(2),0xC000) + $(call mb_make_call,mb_sdcc_link_asm,$(1),$(2),0xC000) endef MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_sdcc_link_asm_C000,"Links asm to 0xC000."," ") define mb_sdcc_link_asm_bdos - $(call mb_sdcc_link_asm_0100,$(1),$(2)) + $(call mb_make_call,mb_sdcc_link_asm_0100,$(1),$(2)) endef MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_sdcc_link_asm_bdos,"Links asm to BDOS."," ") diff --git a/lib/make/mb_tool.mk b/lib/make/mb_tool.mk index 1ca0cfb..5f58601 100644 --- a/lib/make/mb_tool.mk +++ b/lib/make/mb_tool.mk @@ -1,9 +1,8 @@ - define mb_tool_m80_flow_bdos_mono $(1): | @init - $$(call mb_make_call,mb_mkdir,$(1)) - $$(call mb_make_call,mb_mkdir,$(1)/utils) + $$(call mb_make_call,mb_os_dir_create,$(1)) + $$(call mb_make_call,mb_os_dir_create,$(1)/utils) $$(call mb_make_call,mb_msxhub_get_macro80,$(1)/utils) $$(call mb_make_call,mb_msxhub_get_z80asmuk,$(1)/utils) MB_DOC_FIRE_TARGET_DEEP += $$(call mb_make_call,mb_doc_target_deep,$(1)) @@ -49,25 +48,25 @@ MB_DOC_FIRE_FUNCTION_FLOW += $(call mb_make_call,mb_doc_function_flow,mb_tool_m8 define mb_tool_sdcc_flow_bdos_mono $(1): | @init - $$(call mb_make_call,mb_mkdir,$(1)) + $$(call mb_make_call,mb_os_dir_create,$(1)) MB_DOC_FIRE_TARGET_DEEP += $$(call mb_make_call,mb_doc_target_deep,$(1)) .PHONY: $(1) $(1)/$(3).rel: $(2)/$(3).asm | $(1) - $$(call mb_sdcc_compile_asm,$$<,$$@) + $$(call mb_make_call,mb_sdcc_compile_asm,$$<,$$@) MB_DOC_FIRE_TARGET_DEEP += $$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).rel) MB_PROJ_PHASE_COMPILE_DEPS += $(1)/$(3).rel $(1)/$(3).hex: $(1)/$(3).rel - $$(call mb_sdcc_link_asm_bdos,$$<,$$@) + $$(call mb_make_call,mb_sdcc_link_asm_bdos,$$<,$$@) MB_DOC_FIRE_TARGET_DEEP += $$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).hex) MB_PROJ_PHASE_LINK_DEPS += $(1)/$(3).hex $(1)/$(3).com: $(1)/$(3).hex - $$(call mb_sdcc_hex2bin,$$<,$$@) + $$(call mb_make_call,mb_sdcc_hex2bin,$$<,$$@) MB_DOC_FIRE_TARGET_DEEP += $$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).com) MB_PROJ_PHASE_BUILD_DEPS += $(1)/$(3).com diff --git a/lib/make/msxbuild.mk b/lib/make/msxbuild.mk index fb46978..ccdb41a 100644 --- a/lib/make/msxbuild.mk +++ b/lib/make/msxbuild.mk @@ -34,28 +34,6 @@ MB_DOC_FIRE_VARIABLE_DEEP += $(call mb_make_call,mb_doc_variable_deep,PATH_MSXBU MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,PATH_MSXBUILD_REAL,"Resolved real path of msxbuild.") -define mb_clean - $(MB_OS_RMDIR) $(1) -endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_clean,"Removed the full folder.","") - - -define mb_mkdir - $(MB_OS_MKDIR) $(1) -endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_mkdir,"Creates an folder.","") - - -define mb_delete - $(MB_OS_RM) $(1) -endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_delete,"Deletes an file.","") - - -define mb_copy - $(MB_OS_COPY) $(1) $(2) -endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_copy,"Copy an file."," ") define mb_create_dist diff --git a/src/dist-qa-dos1/0module.mk b/src/dist-qa-dos1/0module.mk index f8b56c1..3255e24 100644 --- a/src/dist-qa-dos1/0module.mk +++ b/src/dist-qa-dos1/0module.mk @@ -6,27 +6,27 @@ DIST_QA_DOS1_DEPS := $(call mb_make_call,mb_proj_module_local_deps,$(DIST_QA_ $(DIST_QA_DOS1_BIN): | @init - $(call mb_mkdir,$(DIST_QA_DOS1_BIN)) - $(call mb_msxhub_get_msxdos1_boot,$(DIST_QA_DOS1_BIN)) + $(call mb_make_call,mb_os_dir_create,$(DIST_QA_DOS1_BIN)) + $(call mb_make_call,mb_msxhub_get_msxdos1_boot,$(DIST_QA_DOS1_BIN)) $(DIST_QA_DOS1_BIN)/%: $(DIST_BIN)/% | $(DIST_QA_DOS1_BIN) $(DIST_DEPS) - $(call mb_copy,$<,$@) + $(call mb_make_call,mb_os_file_copy,$<,$@) $(DIST_QA_DOS1_BIN)/@run: | $(DIST_QA_DOS1_DEPS) - $(call mb_msxpipe_run_gui,$(DIST_QA_DOS1_BIN)) + $(call mb_make_call,mb_msxpipe_run_gui,$(DIST_QA_DOS1_BIN)) MB_DOC_FIRE_TARGET_RUN += $(call mb_make_call,mb_doc_target_run,$(DIST_QA_DOS1_BIN)/@run,"Run binaries on msxdos1 machine.") .PHONY: $(DIST_QA_DOS1_BIN)/@run $(DIST_QA_DOS1_BIN)/@assert: | $(DIST_QA_DOS1_DEPS) - $(call mb_autoexec_write_default,$(DIST_QA_DOS1_BIN)) - $(call mb_autoexec_append_safe_test,$(DIST_QA_DOS1_BIN),ahello1) - $(call mb_autoexec_append_safe_test,$(DIST_QA_DOS1_BIN),ahello2) - $(call mb_autoexec_append_exit,$(DIST_QA_DOS1_BIN)) - $(call mb_openmsx_dosctl,$(DIST_QA_DOS1_BIN)) - $(call mb_make_call,mb_make_echo_assert,Assert succesfully $(DIST_QA_DOS1_BIN)/@assert) + $(call mb_make_call,mb_autoexec_write_default,$(DIST_QA_DOS1_BIN)) + $(call mb_make_call,mb_autoexec_append_safe_test,$(DIST_QA_DOS1_BIN),ahello1) + $(call mb_make_call,mb_autoexec_append_safe_test,$(DIST_QA_DOS1_BIN),ahello2) + $(call mb_make_call,mb_autoexec_append_exit,$(DIST_QA_DOS1_BIN)) + $(call mb_make_call,mb_openmsx_dosctl,$(DIST_QA_DOS1_BIN)) + $(call mb_make_call,mb_make_call,mb_make_echo_assert,Assert succesfully $(DIST_QA_DOS1_BIN)/@assert) MB_DOC_FIRE_TARGET += $(call mb_make_call,mb_doc_target,$(DIST_QA_DOS1_BIN)/@assert,"Assert binaries on msxdos1.") MB_PROJ_PHASE_PACKAGE_QA_DEPS += $(DIST_QA_DOS1_BIN)/@assert .PHONY: $(DIST_QA_DOS1_BIN)/@assert diff --git a/src/dist-qa-dos2/0module.mk b/src/dist-qa-dos2/0module.mk index 97df05e..bc9cd36 100644 --- a/src/dist-qa-dos2/0module.mk +++ b/src/dist-qa-dos2/0module.mk @@ -6,28 +6,28 @@ DIST_QA_DOS2_DEPS := $(call mb_make_call,mb_proj_module_local_deps,$(DIST_QA_ $(DIST_QA_DOS2_BIN): | @init - $(call mb_mkdir,$(DIST_QA_DOS2_BIN)) - $(call mb_msxhub_get_msxdos2_boot,$(DIST_QA_DOS2_BIN)) + $(call mb_make_call,mb_os_dir_create,$(DIST_QA_DOS2_BIN)) + $(call mb_make_call,mb_msxhub_get_msxdos2_boot,$(DIST_QA_DOS2_BIN)) $(DIST_QA_DOS2_BIN)/%: $(DIST_BIN)/% | $(DIST_QA_DOS2_BIN) $(DIST_DEPS) - $(call mb_copy,$<,$@) + $(call mb_make_call,mb_os_file_copy,$<,$@) $(DIST_QA_DOS2_BIN)/@run: | $(DIST_QA_DOS2_DEPS) - $(call mb_msxpipe_run_gui,$(DIST_QA_DOS2_BIN)) + $(call mb_make_call,mb_msxpipe_run_gui,$(DIST_QA_DOS2_BIN)) MB_DOC_FIRE_TARGET_RUN += $(call mb_make_call,mb_doc_target_run,$(DIST_QA_DOS2_BIN)/@run,"Run binaries on msxdos2 machine.") .PHONY: $(DIST_QA_DOS2_BIN)/@run $(DIST_QA_DOS2_BIN)/@assert: | $(DIST_QA_DOS2_DEPS) - $(call mb_delete,$(DIST_QA_DOS2_BIN)/ahello1.out) - $(call mb_delete,$(DIST_QA_DOS2_BIN)/ahello2.out) - $(call mb_autoexec_write_default,$(DIST_QA_DOS2_BIN)) - $(call mb_autoexec_append_safe_test,$(DIST_QA_DOS2_BIN),ahello1 > ahello1.out) - $(call mb_autoexec_append_safe_test,$(DIST_QA_DOS2_BIN),ahello2 > ahello2.out) - $(call mb_autoexec_append_exit,$(DIST_QA_DOS2_BIN)) - $(call mb_openmsx_dosctl,$(DIST_QA_DOS2_BIN)) + $(call mb_make_call,mb_os_file_delete,$(DIST_QA_DOS2_BIN)/ahello1.out) + $(call mb_make_call,mb_os_file_delete,$(DIST_QA_DOS2_BIN)/ahello2.out) + $(call mb_make_call,mb_autoexec_write_default,$(DIST_QA_DOS2_BIN)) + $(call mb_make_call,mb_autoexec_append_safe_test,$(DIST_QA_DOS2_BIN),ahello1 > ahello1.out) + $(call mb_make_call,mb_autoexec_append_safe_test,$(DIST_QA_DOS2_BIN),ahello2 > ahello2.out) + $(call mb_make_call,mb_autoexec_append_exit,$(DIST_QA_DOS2_BIN)) + $(call mb_make_call,mb_openmsx_dosctl,$(DIST_QA_DOS2_BIN)) grep -q "M80: Hello world..." $(DIST_QA_DOS2_BIN)/ahello1.out grep -q "SDCC: Hello world..." $(DIST_QA_DOS2_BIN)/ahello2.out $(call mb_make_call,mb_make_echo_assert,Assert succesfully $(DIST_QA_DOS2_BIN)/@assert) diff --git a/src/dist-qa-msx1/0module.mk b/src/dist-qa-msx1/0module.mk index b12affd..8b8807b 100644 --- a/src/dist-qa-msx1/0module.mk +++ b/src/dist-qa-msx1/0module.mk @@ -8,11 +8,11 @@ MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,DIST_QA_MSX1_MACHINE $(DIST_QA_MSX1_BIN): | @init - $(call mb_make_call,mb_mkdir,$(DIST_QA_MSX1_BIN)) + $(call mb_make_call,mb_os_dir_create,$(DIST_QA_MSX1_BIN)) $(DIST_QA_MSX1_BIN)/%: $(DIST_BIN)/% | $(DIST_QA_MSX1_BIN) $(DIST_DEPS) - $(call mb_make_call,mb_copy,$<,$@) + $(call mb_make_call,mb_os_file_copy,$<,$@) $(DIST_QA_MSX1_BIN)/@run: | $(DIST_QA_MSX1_DEPS) @@ -22,8 +22,8 @@ MB_DOC_FIRE_TARGET_RUN += $(call mb_make_call,mb_doc_target_run,$(DIST_QA_MSX1_B $(DIST_QA_MSX1_BIN)/@assert: | $(DIST_QA_MSX1_DEPS) - $(call mb_make_call,mb_delete,$(DIST_QA_MSX1_BIN)/ahello1.out) - $(call mb_make_call,mb_delete,$(DIST_QA_MSX1_BIN)/ahello2.out) + $(call mb_make_call,mb_os_file_delete,$(DIST_QA_MSX1_BIN)/ahello1.out) + $(call mb_make_call,mb_os_file_delete,$(DIST_QA_MSX1_BIN)/ahello2.out) $(call mb_make_call,mb_autoexec_write_default,$(DIST_QA_MSX1_BIN),40) $(call mb_make_call,mb_autoexec_append_safe_test,$(DIST_QA_MSX1_BIN),ahello1 > ahello1.out,33) $(call mb_make_call,mb_autoexec_append_safe_test,$(DIST_QA_MSX1_BIN),ahello2 > ahello2.out,66,99) diff --git a/src/dist-qa-msxhub/0module.mk b/src/dist-qa-msxhub/0module.mk index 8295902..a80d3f6 100644 --- a/src/dist-qa-msxhub/0module.mk +++ b/src/dist-qa-msxhub/0module.mk @@ -8,8 +8,8 @@ MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,DIST_QA_MSXHUB_MAC $(DIST_QA_MSXHUB_BIN): | @init - $(call mb_make_call,mb_mkdir,$(DIST_QA_MSXHUB_BIN)) - $(call mb_make_call,mb_mkdir,$(DIST_QA_MSXHUB_BIN)/utils) + $(call mb_make_call,mb_os_dir_create,$(DIST_QA_MSXHUB_BIN)) + $(call mb_make_call,mb_os_dir_create,$(DIST_QA_MSXHUB_BIN)/utils) $(call mb_make_call,mb_msxhub_get_msxdos2_utils,$(DIST_QA_MSXHUB_BIN)/utils) $(call mb_make_call,mb_msxhub_get_nextor_utils,$(DIST_QA_MSXHUB_BIN)/utils) $(call mb_make_call,mb_msxhub_get_macro80,$(DIST_QA_MSXHUB_BIN)/utils) @@ -34,7 +34,7 @@ $(DIST_QA_MSXHUB_BIN): | @init $(DIST_QA_MSXHUB_BIN)/%: $(DIST_BIN)/% | $(DIST_QA_MSXHUB_BIN) $(DIST_DEPS) - $(call mb_make_call,mb_copy,$<,$@) + $(call mb_make_call,mb_os_file_copy,$<,$@) $(DIST_QA_MSXHUB_BIN)/@run: | $(DIST_QA_MSXHUB_DEPS) @@ -44,9 +44,9 @@ MB_DOC_FIRE_TARGET_RUN += $(call mb_make_call,mb_doc_target_run,$(DIST_QA_MSXHUB $(DIST_QA_MSXHUB_BIN)/@assert: | $(DIST_QA_MSXHUB_DEPS) - $(call mb_make_call,mb_delete,$(DIST_QA_MSXHUB_BIN)/ahello1.out) - $(call mb_make_call,mb_delete,$(DIST_QA_MSXHUB_BIN)/ahello2.out) - $(call mb_make_call,mb_delete,$(DIST_QA_MSXHUB_BIN)/utils.out) + $(call mb_make_call,mb_os_file_delete,$(DIST_QA_MSXHUB_BIN)/ahello1.out) + $(call mb_make_call,mb_os_file_delete,$(DIST_QA_MSXHUB_BIN)/ahello2.out) + $(call mb_make_call,mb_os_file_delete,$(DIST_QA_MSXHUB_BIN)/utils.out) $(call mb_make_call,mb_autoexec_write_default,$(DIST_QA_MSXHUB_BIN)) $(call mb_make_call,mb_autoexec_append_safe_test,$(DIST_QA_MSXHUB_BIN),ahello1 > ahello1.out) $(call mb_make_call,mb_autoexec_append_safe_test,$(DIST_QA_MSXHUB_BIN),ahello2 > ahello2.out) diff --git a/src/dist/0module.mk b/src/dist/0module.mk index c0fdc28..ee7062c 100644 --- a/src/dist/0module.mk +++ b/src/dist/0module.mk @@ -6,23 +6,23 @@ DIST_OUT := $(PATH_BIN)/$(DIST_MOD).tar.gz DIST_DEPS := $(DIST_BIN)/readme.txt $(DIST_BIN)/ahello1.com $(DIST_BIN)/ahello2.com $(DIST_BIN): | @init - $(call mb_mkdir,$(DIST_BIN)) + $(call mb_make_call,mb_os_dir_create,$(DIST_BIN)) $(DIST_BIN)/readme.txt: $(DIST_SRC)/readme.txt | $(DIST_BIN) - $(call mb_conv_unix2dos,$<,$@) + $(call mb_make_call,mb_conv_unix2dos,$<,$@) MB_PROJ_PHASE_PROCESS_DEPS += $(DIST_BIN)/readme.txt $(DIST_BIN)/ahello1.com: bin/ahello-m80/ahello.com | $(DIST_BIN) - $(call mb_copy,$<,$@) + $(call mb_make_call,mb_os_file_copy,$<,$@) $(DIST_BIN)/ahello2.com: bin/ahello-sdcc/ahello.com | $(DIST_BIN) - $(call mb_copy,$<,$@) + $(call mb_make_call,mb_os_file_copy,$<,$@) $(DIST_BIN)/@prepare: | $(DIST_DEPS) MB_DOC_FIRE_TARGET += $(call mb_doc_target,$(DIST_BIN)/@prepare,"Prepare folder with binaries for distribution.") .PHONY: $(DIST_BIN)/@prepare $(DIST_OUT): | $(DIST_BIN)/@prepare - $(call mb_create_dist,$(DIST_BIN),$@) + $(call mb_make_call,mb_create_dist,$(DIST_BIN),$@) MB_DOC_FIRE_TARGET += $(call mb_doc_target,$(DIST_OUT),"Build archive distribution artifact.") MB_PROJ_PHASE_PACKAGE_DEPS += $(DIST_OUT) diff --git a/src/make-on-msx/0module.mk b/src/make-on-msx/0module.mk index 1cabfd0..353c5c7 100644 --- a/src/make-on-msx/0module.mk +++ b/src/make-on-msx/0module.mk @@ -4,8 +4,8 @@ MAKE_ON_MSX_BIN := $(call mb_make_call,mb_proj_module_path_bin,$(PATH_SRC),$(P $(MAKE_ON_MSX_BIN): | @init - $(call mb_make_call,mb_mkdir,$(MAKE_ON_MSX_BIN)) - $(call mb_make_call,mb_mkdir,$(MAKE_ON_MSX_BIN)/utils) + $(call mb_make_call,mb_os_dir_create,$(MAKE_ON_MSX_BIN)) + $(call mb_make_call,mb_os_dir_create,$(MAKE_ON_MSX_BIN)/utils) $(call mb_make_call,mb_msxhub_get_make,$(MAKE_ON_MSX_BIN)/utils) $(call mb_make_call,mb_msxhub_get_macro80,$(MAKE_ON_MSX_BIN)/utils) $(call mb_make_call,mb_msxhub_get_z80asmuk,$(MAKE_ON_MSX_BIN)/utils) diff --git a/src/mbboot80/0module.mk b/src/mbboot80/0module.mk index 2afc5b1..d9be67c 100644 --- a/src/mbboot80/0module.mk +++ b/src/mbboot80/0module.mk @@ -9,7 +9,7 @@ $(call mb_make_call_eval,mb_assert_flow_bdos_grep,$(MBBOOT80_BIN),check-boot80,m $(MBBOOT80_BIN)/@release-local: | $(MBBOOT80_BIN)/@build - $(call mb_copy,$(MBBOOT80_BIN)/mbboot80.com,lib/emuctl/mbboot80.com) + $(call mb_make_call,mb_os_file_copy,$(MBBOOT80_BIN)/mbboot80.com,lib/emuctl/mbboot80.com) MB_DOC_HELP_TARGET += $(call mb_make_call,mb_doc_target,$(MBBOOT80_BIN)/@release-local,"Updates binary copy in lib/emuctl folder.") .PHONY: $(MBBOOT80_BIN)/@release-local From 76cbe516811a853a79518bcbb1f78ae772f90485 Mon Sep 17 00:00:00 2001 From: Willem Date: Sun, 7 Jul 2024 16:41:48 +0200 Subject: [PATCH 151/274] Small space fixes. --- lib/make/mb_os.mk | 2 +- src/ahello-m80/0module.mk | 1 - src/ahello-sdcc/0module.mk | 1 - src/dist-qa-dos1/0module.mk | 1 - src/dist-qa-dos2/0module.mk | 1 - src/mbboot80/0module.mk | 1 - 6 files changed, 1 insertion(+), 6 deletions(-) diff --git a/lib/make/mb_os.mk b/lib/make/mb_os.mk index b6c053e..4716cdf 100644 --- a/lib/make/mb_os.mk +++ b/lib/make/mb_os.mk @@ -40,7 +40,7 @@ MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_OS_COLO define mb_os_dir_delete $(MB_OS_RMDIR) $(1) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_os_dir_delete,"Removed the full folder.","") +MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_os_dir_delete,"Delete the full folder.","") define mb_os_dir_create diff --git a/src/ahello-m80/0module.mk b/src/ahello-m80/0module.mk index bdc87e6..97f6f55 100644 --- a/src/ahello-m80/0module.mk +++ b/src/ahello-m80/0module.mk @@ -1,5 +1,4 @@ - AHELLO_M80_SRC := $(call mb_make_call,mb_proj_module_path_src,$(PATH_SRC)) AHELLO_M80_BIN := $(call mb_make_call,mb_proj_module_path_bin,$(PATH_SRC),$(PATH_BIN)) diff --git a/src/ahello-sdcc/0module.mk b/src/ahello-sdcc/0module.mk index 79192d5..2a23b60 100644 --- a/src/ahello-sdcc/0module.mk +++ b/src/ahello-sdcc/0module.mk @@ -1,5 +1,4 @@ - AHELLO_SDCC_SRC := $(call mb_make_call,mb_proj_module_path_src,$(PATH_SRC)) AHELLO_SDCC_BIN := $(call mb_make_call,mb_proj_module_path_bin,$(PATH_SRC),$(PATH_BIN)) diff --git a/src/dist-qa-dos1/0module.mk b/src/dist-qa-dos1/0module.mk index 3255e24..73b6e26 100644 --- a/src/dist-qa-dos1/0module.mk +++ b/src/dist-qa-dos1/0module.mk @@ -1,5 +1,4 @@ - DIST_QA_DOS1_SRC := $(call mb_make_call,mb_proj_module_path_src,$(PATH_SRC)) DIST_QA_DOS1_BIN := $(call mb_make_call,mb_proj_module_path_bin,$(PATH_SRC),$(PATH_BIN)) DIST_QA_DOS1_DEPS := $(call mb_make_call,mb_proj_module_local_deps,$(DIST_QA_DOS1_BIN),$(DIST_DEPS)) diff --git a/src/dist-qa-dos2/0module.mk b/src/dist-qa-dos2/0module.mk index bc9cd36..59596c5 100644 --- a/src/dist-qa-dos2/0module.mk +++ b/src/dist-qa-dos2/0module.mk @@ -1,5 +1,4 @@ - DIST_QA_DOS2_SRC := $(call mb_make_call,mb_proj_module_path_src,$(PATH_SRC)) DIST_QA_DOS2_BIN := $(call mb_make_call,mb_proj_module_path_bin,$(PATH_SRC),$(PATH_BIN)) DIST_QA_DOS2_DEPS := $(call mb_make_call,mb_proj_module_local_deps,$(DIST_QA_DOS2_BIN),$(DIST_DEPS)) diff --git a/src/mbboot80/0module.mk b/src/mbboot80/0module.mk index d9be67c..762ccde 100644 --- a/src/mbboot80/0module.mk +++ b/src/mbboot80/0module.mk @@ -1,5 +1,4 @@ - MBBOOT80_SRC := $(call mb_make_call,mb_proj_module_path_src,$(PATH_SRC)) MBBOOT80_BIN := $(call mb_make_call,mb_proj_module_path_bin,$(PATH_SRC),$(PATH_BIN)) From e1178ed5792fb4f5720ef088946e713297a93b94 Mon Sep 17 00:00:00 2001 From: Willem Date: Sun, 7 Jul 2024 17:20:31 +0200 Subject: [PATCH 152/274] Check unicode char warning. --- Makefile | 12 ++++++------ 1 file changed, 6 insertions(+), 6 deletions(-) diff --git a/Makefile b/Makefile index 1bc3b88..71139da 100644 --- a/Makefile +++ b/Makefile @@ -17,8 +17,8 @@ ifeq ("$(冗長な)", "オフ") .SILENT: endif endif -ifdef UITGEBREID -ifeq ("$(UITGEBREID)", "uit") +ifdef LUIDRUCHTIG +ifeq ("$(LUIDRUCHTIG)", "uit") .SILENT: endif endif @@ -42,8 +42,8 @@ ifeq ("$(VERBEUX)", "désactivé") .SILENT: endif endif -ifdef подробный -ifeq ("$(подробный)", "выключенный") +ifdef шумный +ifeq ("$(шумный)", "выключенный") .SILENT: endif endif @@ -59,12 +59,12 @@ endif endif ifndef VERBOSE ifndef 冗長な -ifndef UITGEBREID +ifndef LUIDRUCHTIG ifndef VERBOSA ifndef VERBOSO ifndef VERBEUSE ifndef VERBEUX -ifndef подробный +ifndef шумный ifndef 冗長的 ifndef वाचाल .SILENT: From e40021dfb8a2d162fc65ab01652f0cb1e10e14f6 Mon Sep 17 00:00:00 2001 From: Willem Date: Sun, 7 Jul 2024 17:29:34 +0200 Subject: [PATCH 153/274] Added verbose support for eskimo Inuktitut --- Makefile | 15 +++++++++++---- 1 file changed, 11 insertions(+), 4 deletions(-) diff --git a/Makefile b/Makefile index 71139da..96a0aa5 100644 --- a/Makefile +++ b/Makefile @@ -43,12 +43,12 @@ ifeq ("$(VERBEUX)", "désactivé") endif endif ifdef шумный -ifeq ("$(шумный)", "выключенный") +ifeq ("$(шумный)", "вне") .SILENT: endif endif -ifdef 冗長的 -ifeq ("$(冗長的)", "離開") +ifdef 吵雜 +ifeq ("$(吵雜)", "出去") .SILENT: endif endif @@ -57,6 +57,11 @@ ifeq ("$(वाचाल)", "बंद") .SILENT: endif endif +ifdef ᕗᕉᑉᕉᔅ +ifeq ("$(ᕗᕉᑉᕉᔅ)", "ᖃᒥᓪᓗᒍ") +.SILENT: +endif +endif ifndef VERBOSE ifndef 冗長な ifndef LUIDRUCHTIG @@ -65,8 +70,9 @@ ifndef VERBOSO ifndef VERBEUSE ifndef VERBEUX ifndef шумный -ifndef 冗長的 +ifndef 吵雜 ifndef वाचाल +ifndef ᕗᕉᑉᕉᔅ .SILENT: endif endif @@ -78,6 +84,7 @@ endif endif endif endif +endif # Configure project From 5295b36714a41e17eb53cd82d2bdd5e336dec71f Mon Sep 17 00:00:00 2001 From: Willem Date: Sun, 7 Jul 2024 17:49:29 +0200 Subject: [PATCH 154/274] Now using dutch as source language. --- Makefile | 14 +++++++------- 1 file changed, 7 insertions(+), 7 deletions(-) diff --git a/Makefile b/Makefile index 96a0aa5..523b696 100644 --- a/Makefile +++ b/Makefile @@ -12,8 +12,8 @@ ifeq ("$(VERBOSE)", "off") .SILENT: endif endif -ifdef 冗長な -ifeq ("$(冗長な)", "オフ") +ifdef うるさい +ifeq ("$(うるさい)", "ユニット") .SILENT: endif endif @@ -23,22 +23,22 @@ ifeq ("$(LUIDRUCHTIG)", "uit") endif endif ifdef VERBOSA -ifeq ("$(VERBOSA)", "apagada") +ifeq ("$(VERBOSA)", "traje") .SILENT: endif endif ifdef VERBOSO -ifeq ("$(VERBOSO)", "apagado") +ifeq ("$(VERBOSO)", "traje") .SILENT: endif endif ifdef VERBEUSE -ifeq ("$(VERBEUSE)", "désactivé") +ifeq ("$(VERBEUSE)", "dehors") .SILENT: endif endif ifdef VERBEUX -ifeq ("$(VERBEUX)", "désactivé") +ifeq ("$(VERBEUX)", "dehors") .SILENT: endif endif @@ -63,7 +63,7 @@ ifeq ("$(ᕗᕉᑉᕉᔅ)", "ᖃᒥᓪᓗᒍ") endif endif ifndef VERBOSE -ifndef 冗長な +ifndef うるさい ifndef LUIDRUCHTIG ifndef VERBOSA ifndef VERBOSO From 61dfda3dccf7782c471547a8a2496466bbf13ab2 Mon Sep 17 00:00:00 2001 From: Willem Date: Sun, 7 Jul 2024 18:13:48 +0200 Subject: [PATCH 155/274] Moved video flow to flight controller. --- Makefile | 2 +- lib/make/mb_flight.mk | 39 +++++++++++++++++++++++++++++++++++++++ lib/make/mb_proj.mk | 27 --------------------------- 3 files changed, 40 insertions(+), 28 deletions(-) diff --git a/Makefile b/Makefile index 523b696..7b0ab7f 100644 --- a/Makefile +++ b/Makefile @@ -101,7 +101,7 @@ include $(PATH_MSXBUILD)/lib/make/msxbuild.mk $(call mb_make_call_eval,mb_doc_flow_help,MSXBUILD-Example) $(call mb_make_call_eval,mb_make_flow_0module,$(PATH_SRC)) $(call mb_make_call_eval,mb_proj_flow_setup,$(PATH_BIN)) -$(call mb_make_call_eval,mb_proj_flow_flight_video,$(PATH_BIN)) +$(call mb_make_call_eval,mb_flight_proj_flow_video,$(PATH_BIN)) # Add japanese support diff --git a/lib/make/mb_flight.mk b/lib/make/mb_flight.mk index 211837e..3739d3c 100644 --- a/lib/make/mb_flight.mk +++ b/lib/make/mb_flight.mk @@ -26,3 +26,42 @@ define mb_flight_video_merge endef MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_flight_video_merge,"Merged all flight videos to one.","") + +define mb_flight_proj_flow_video +@@flight-video-build: @build + $$(if $$(filter on,$$(MB_FLIGHT_VIDEO)),$$(call mb_make_call,mb_flight_video_merge,$(1))) + + +@flight-video-build: @clean + $$(call mb_make_call,_mb_proj_flow_step_before) + MB_OPENMSX_HEADLESS=off MB_FLIGHT_VIDEO=on $$(MAKE) -s @@flight-video-build + $$(call mb_make_call,_mb_proj_flow_step_done) +MB_DOC_FIRE_HELP += $(call mb_make_call,mb_doc_target_help,@flight-video-build,"Runs @clean and @build with recorder and merges flight videos to one.") +.PHONY: @flight-video-build + + +@@flight-video-test: @test + $$(if $$(filter on,$$(MB_FLIGHT_VIDEO)),$$(call mb_make_call,mb_flight_video_merge,$(1))) + + +@flight-video-test: @clean + $$(call mb_make_call,_mb_proj_flow_step_before) + MB_OPENMSX_HEADLESS=off MB_FLIGHT_VIDEO=on $$(MAKE) -s @@flight-video-test + $$(call mb_make_call,_mb_proj_flow_step_done) +MB_DOC_FIRE_HELP += $(call mb_make_call,mb_doc_target_help,@flight-video-test,"Runs @clean and @test with recorder and merges flight videos to one.") +.PHONY: @flight-video-test + + +@@flight-video-package-qa: @package-qa + $$(if $$(filter on,$$(MB_FLIGHT_VIDEO)),$$(call mb_make_call,mb_flight_video_merge,$(1))) + + +@flight-video-package-qa: @clean + $$(call mb_make_call,_mb_proj_flow_step_before) + MB_OPENMSX_HEADLESS=off MB_FLIGHT_VIDEO=on $$(MAKE) -s @@flight-video-package-qa + $$(call mb_make_call,_mb_proj_flow_step_done) +MB_DOC_FIRE_HELP += $(call mb_make_call,mb_doc_target_help,@flight-video-package-qa,"Runs @clean and @package-qa with recorder and merges flight videos to one.") +.PHONY: @flight-video-package-qa +endef +MB_DOC_FIRE_FUNCTION_FLOW += $(call mb_make_call,mb_doc_function_flow,mb_flight_proj_flow_video,"Prints flow for adding flight video targets in project build cycle.","") + diff --git a/lib/make/mb_proj.mk b/lib/make/mb_proj.mk index b93e43c..b0144be 100644 --- a/lib/make/mb_proj.mk +++ b/lib/make/mb_proj.mk @@ -248,30 +248,3 @@ MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@パッケージの endef MB_DOC_FIRE_FUNCTION_FLOW += $(call mb_make_call,mb_doc_function_flow,mb_proj_flow_setup_jp,"Prints flow for japanese wrapper of project build cycle.") - -define mb_proj_flow_flight_video -@@test-video: @test - $$(if $$(filter on,$$(MB_FLIGHT_VIDEO)),$$(call mb_make_call,mb_flight_video_merge,$(1))) - - -@test-video: @clean - $$(call mb_make_call,_mb_proj_flow_step_before) - MB_OPENMSX_HEADLESS=off MB_FLIGHT_VIDEO=on $$(MAKE) @@test-video - $$(call mb_make_call,_mb_proj_flow_step_done) -MB_DOC_FIRE_HELP += $(call mb_make_call,mb_doc_target_help,@test-video,"Runs @test with video recorder and merges flight videos to one.") -.PHONY: @test-video - - -@@package-qa-video: @package-qa - $$(if $$(filter on,$$(MB_FLIGHT_VIDEO)),$$(call mb_make_call,mb_flight_video_merge,$(1))) - - -@package-qa-video: @clean - $$(call mb_make_call,_mb_proj_flow_step_before) - MB_OPENMSX_HEADLESS=off MB_FLIGHT_VIDEO=on $$(MAKE) -s @@package-qa-video - $$(call mb_make_call,_mb_proj_flow_step_done) -MB_DOC_FIRE_HELP += $(call mb_make_call,mb_doc_target_help,@package-qa-video,"Runs @package-qa with video recorder and merges flight videos to one.") -.PHONY: @test-video -endef -MB_DOC_FIRE_FUNCTION_FLOW += $(call mb_make_call,mb_doc_function_flow,mb_proj_flow_flight_video,"Prints flow for adding video targets in project build cycle.","") - From 81264e3b6d40738993750b0765ac116a0fc7c398 Mon Sep 17 00:00:00 2001 From: Willem Date: Sun, 7 Jul 2024 18:16:00 +0200 Subject: [PATCH 156/274] Updated video part. --- README.md | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/README.md b/README.md index 2e34c7c..f13341e 100644 --- a/README.md +++ b/README.md @@ -100,14 +100,14 @@ When you want to see whats happening do a debug run; real 1m14.043s record run with video per session and merge to one video file; - time make @package-qa-video + time make @flight-video-package-qa real 0m7.136s build parallel; time make -j4 @package-qa real 0m1.817s - manual test msxhub on machine; + manual test all packages on other MSX1 machine; make DIST_QA_MSXHUB_MACHINE=Philips_VG_8000 bin/dist-qa-msxhub/@run ## Errata From f258a92475d13a92a73d1e8fbb8a87043baaa99f Mon Sep 17 00:00:00 2001 From: Willem Date: Sun, 7 Jul 2024 20:53:06 +0200 Subject: [PATCH 157/274] Cleaned doc api structure. --- lib/make/mb_assert.mk | 5 +- lib/make/mb_autoexec.mk | 72 ++++++++-------- lib/make/mb_conv.mk | 4 +- lib/make/mb_doc.mk | 149 +++++++++++++++++++--------------- lib/make/mb_flight.mk | 22 ++--- lib/make/mb_make.mk | 58 ++++++------- lib/make/mb_msxhub.mk | 54 ++++++------ lib/make/mb_msxpipe.mk | 20 ++--- lib/make/mb_msxrom.mk | 52 ++++++------ lib/make/mb_openmsx.mk | 28 +++---- lib/make/mb_os.mk | 28 +++---- lib/make/mb_proj.mk | 78 +++++++++--------- lib/make/mb_sdcc.mk | 32 ++++---- lib/make/mb_tool.mk | 30 +++---- lib/make/msxbuild.mk | 10 +-- src/dist-qa-dos1/0module.mk | 4 +- src/dist-qa-dos2/0module.mk | 4 +- src/dist-qa-msx1/0module.mk | 4 +- src/dist-qa-msxhub/0module.mk | 4 +- src/dist/0module.mk | 4 +- src/make-on-msx/0module.mk | 14 ++-- src/mbboot80/0module.mk | 2 +- 22 files changed, 347 insertions(+), 331 deletions(-) diff --git a/lib/make/mb_assert.mk b/lib/make/mb_assert.mk index c7e6b10..36e9b86 100644 --- a/lib/make/mb_assert.mk +++ b/lib/make/mb_assert.mk @@ -7,7 +7,7 @@ MB_DOC_FIRE_TARGET += $$(call mb_make_call,mb_doc_target,$(1)/@assert-$(2),"Asse MB_PROJ_PHASE_TEST_DEPS += $(1)/@assert-$(2) .PHONY: $(1)/@assert-$(2) endef -MB_DOC_FIRE_FUNCTION_FLOW += $(call mb_make_call,mb_doc_function_flow,mb_assert_flow_grep_binary,"Prints flow of single binary grep assert."," ") +$(call mb_make_call,mb_doc_function_flow,mb_assert_flow_grep_binary,"Prints flow of single binary grep assert."," ") #$$(call mb_delete,$(1)/$(3).out) define mb_assert_flow_bdos_grep @@ -21,5 +21,4 @@ MB_DOC_FIRE_TARGET += $$(call mb_make_call,mb_doc_target,$(1)-test-$(2)/@assert, MB_PROJ_PHASE_TEST_DEPS += $(1)-test-$(2)/@assert .PHONY: $(1)-test-$(2)/@assert endef -MB_DOC_FIRE_FUNCTION_FLOW += $(call mb_make_call,mb_doc_function_flow,mb_assert_flow_bdos_grep,"Prints flow of single grep assert."," ") - +$(call mb_make_call,mb_doc_function_flow,mb_assert_flow_bdos_grep,"Prints flow of single grep assert."," ") diff --git a/lib/make/mb_autoexec.mk b/lib/make/mb_autoexec.mk index 73d59d0..2189237 100644 --- a/lib/make/mb_autoexec.mk +++ b/lib/make/mb_autoexec.mk @@ -1,133 +1,133 @@ MB_AUTOEXEC_SHOW_VERSION ?= off -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_SHOW_VERSION,"Print OS version on boot.") +$(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_SHOW_VERSION,"Print OS version on boot.") MB_AUTOEXEC_SHOW_PATH ?= on -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_SHOW_PATH,"Print search path on boot.") +$(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_SHOW_PATH,"Print search path on boot.") MB_AUTOEXEC_SHOW_INIT ?= on -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_SHOW_INIT,"Print msxbuild init message on boot.") +$(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_SHOW_INIT,"Print msxbuild init message on boot.") MB_AUTOEXEC_SHOW_HOST ?= on -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_SHOW_HOST,"Print host machine on boot.") +$(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_SHOW_HOST,"Print host machine on boot.") MB_AUTOEXEC_SHOW_USER ?= on -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_SHOW_USER,"Print host user on boot.") +$(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_SHOW_USER,"Print host user on boot.") MB_AUTOEXEC_SHOW_FOLDER ?= on -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_SHOW_FOLDER,"Print host folder on boot.") +$(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_SHOW_FOLDER,"Print host folder on boot.") MB_AUTOEXEC_SHOW_TARGET ?= on -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_SHOW_TARGET,"Print host target on boot.") +$(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_SHOW_TARGET,"Print host target on boot.") MB_AUTOEXEC_STARTUP_TIMEOUT ?= 60 -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_STARTUP_TIMEOUT,"Startup failure timeout of autoexec.") +$(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_STARTUP_TIMEOUT,"Startup failure timeout of autoexec.") MB_AUTOEXEC_STARTUP_EXITCODE ?= 124 -MB_DOC_MAKE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_STARTUP_EXITCODE,"Startup failure exit code of autoexec.") +$(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_STARTUP_EXITCODE,"Startup failure exit code of autoexec.") MB_AUTOEXEC_SAFE_TEST_TIMEOUT ?= 120 -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_SAFE_TEST_TIMEOUT,"Safe test execution failure timeout.") +$(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_SAFE_TEST_TIMEOUT,"Safe test execution failure timeout.") MB_AUTOEXEC_SAFE_TEST_EXITCODE ?= 1 -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_SAFE_TEST_EXITCODE,"Safe test execution failure exit code.") +$(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_SAFE_TEST_EXITCODE,"Safe test execution failure exit code.") MB_AUTOEXEC_SAFE_CMD_TIMEOUT ?= 300 -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_SAFE_CMD_TIMEOUT,"Safe command execution failure timeout.") +$(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_SAFE_CMD_TIMEOUT,"Safe command execution failure timeout.") MB_AUTOEXEC_SAFE_CMD_EXITCODE ?= 1 -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_SAFE_CMD_EXITCODE,"Safe command execution failure exit code.") +$(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_SAFE_CMD_EXITCODE,"Safe command execution failure exit code.") MB_AUTOEXEC_STARTUP_NOTE ?= -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_STARTUP_NOTE,"When set this startup note message is shown.") +$(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_STARTUP_NOTE,"When set this startup note message is shown.") MB_AUTOEXEC_COLOR_FG ?= 151 -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_COLOR_FG,"Default foreground color if none is given.") +$(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_COLOR_FG,"Default foreground color if none is given.") MB_AUTOEXEC_COLOR_BG ?= 000 -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_COLOR_BG,"Default background color if none if given.") +$(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_COLOR_BG,"Default background color if none if given.") MB_AUTOEXEC_EMBED_VARS ?= -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_EMBED_VARS,"List of host env variables to copy to msx as 'MSX_x' variables.") +$(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_EMBED_VARS,"List of host env variables to copy to msx as 'MSX_x' variables.") MB_AUTOEXEC_EMBED_USER ?= USER -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_EMBED_USER,"Embedds this host variable to the msx as 'MB_USER' variable.") +$(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_EMBED_USER,"Embedds this host variable to the msx as 'MB_USER' variable.") MB_AUTOEXEC_TIME_FORMAT ?= 24 -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_TIME_FORMAT,"The time format the msx will get set to.") +$(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_TIME_FORMAT,"The time format the msx will get set to.") MB_AUTOEXEC_DATE_FORMAT ?= DD/MM/YY -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_DATE_FORMAT,"The time format the msx will get set to.") +$(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_DATE_FORMAT,"The time format the msx will get set to.") MB_AUTOEXEC_PROMPT_FORMAT ?= %MB_USER%@%_CWD%* -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_PROMPT_FORMAT,"The prompt format for command 2.40 and higher.") +$(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_PROMPT_FORMAT,"The prompt format for command 2.40 and higher.") MB_AUTOEXEC_PROMPT_SPACE ?= on -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_PROMPT_SPACE,"When on adds an white space after the prompt format.") +$(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_PROMPT_SPACE,"When on adds an white space after the prompt format.") MB_AUTOEXEC_LOG_PREFIX ?= "Write autoexec for " -MB_DOC_FIRE_VARIABLE_DEEP += $(call mb_make_call,mb_doc_variable_deep,MB_AUTOEXEC_LOG_PREFIX,"Prefix of message to log when writing a default autoexec.") +$(call mb_make_call,mb_doc_variable_deep,MB_AUTOEXEC_LOG_PREFIX,"Prefix of message to log when writing a default autoexec.") define mb_autoexec_append_cmd echo "$(2)\r" >> $(1)/autoexec.bat endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_autoexec_append_cmd,"Appends an command."," ") +$(call mb_make_call,mb_doc_function,mb_autoexec_append_cmd,"Appends an command."," ") define mb_autoexec_append_echo $(call mb_make_call,mb_autoexec_append_cmd,$(1),echo $(subst >,>,$(2))) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_autoexec_append_echo,"Appends an echo message."," ") +$(call mb_make_call,mb_doc_function,mb_autoexec_append_echo,"Appends an echo message."," ") define mb_autoexec_append_rem $(call mb_make_call,mb_autoexec_append_cmd,$(1),rem $(2)) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_autoexec_append_rem,"Appends an script remark."," ") +$(call mb_make_call,mb_doc_function,mb_autoexec_append_rem,"Appends an script remark."," ") define mb_autoexec_append_show_gui $(call mb_make_call,mb_autoexec_append_cmd,$(1),omsxctl headless_show_gui) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_autoexec_append_show_gui,"Appends headless show gui command.","") +$(call mb_make_call,mb_doc_function,mb_autoexec_append_show_gui,"Appends headless show gui command.","") define mb_autoexec_append_stop_fail $(call mb_make_call,mb_autoexec_append_cmd,$(1),omsxctl fail_after 0) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_autoexec_append_stop_fail,"Appends stop automatic failure command.","") +$(call mb_make_call,mb_doc_function,mb_autoexec_append_stop_fail,"Appends stop automatic failure command.","") define mb_autoexec_append_exit $(if $(filter on,$(MB_FLIGHT_SCREEN)),$(call mb_make_call,mb_autoexec_append_save_screenshot,$(1))) $(call mb_make_call,mb_autoexec_append_cmd,$(1),omsxctl headless_exit) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_autoexec_append_exit,"Appends exit emulation command.","") +$(call mb_make_call,mb_doc_function,mb_autoexec_append_exit,"Appends exit emulation command.","") define mb_autoexec_append_plug_porta $(call mb_make_call,mb_autoexec_append_cmd,$(1),omsxctl boot_exec_plug_porta $(2)) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_autoexec_append_plug_porta,"Appends an plug device into joyporta action."," ") +$(call mb_make_call,mb_doc_function,mb_autoexec_append_plug_porta,"Appends an plug device into joyporta action."," ") define mb_autoexec_append_plug_portb $(call mb_make_call,mb_autoexec_append_cmd,$(1),omsxctl boot_exec_plug_portb $(2)) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_autoexec_append_plug_portb,"Appends an plug device into joyportb action."," ") +$(call mb_make_call,mb_doc_function,mb_autoexec_append_plug_portb,"Appends an plug device into joyportb action."," ") define mb_autoexec_append_save_screenshot $(call mb_make_call,mb_autoexec_append_cmd,$(1),omsxctl night_flight_save_screenshot $(2)) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_autoexec_append_save_screenshot,"Appends save screenshot command."," [prefix]") +$(call mb_make_call,mb_doc_function,mb_autoexec_append_save_screenshot,"Appends save screenshot command."," [prefix]") define mb_autoexec_append_save_video $(call mb_make_call,mb_autoexec_append_cmd,$(1),omsxctl night_flight_save_video $(2)) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_autoexec_append_save_video,"Appends save video command."," [prefix]") +$(call mb_make_call,mb_doc_function,mb_autoexec_append_save_video,"Appends save video command."," [prefix]") define mb_autoexec_append_safe_test @@ -135,7 +135,7 @@ define mb_autoexec_append_safe_test $(call mb_make_call,mb_autoexec_append_echo,$(1),mb::safe test $(2)) $(call mb_make_call,mb_autoexec_append_cmd,$(1),$(2)) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_autoexec_append_safe_test,"Appends running a safe test."," [timeout] [exit-code]") +$(call mb_make_call,mb_doc_function,mb_autoexec_append_safe_test,"Appends running a safe test."," [timeout] [exit-code]") define mb_autoexec_append_safe_cmd @@ -143,7 +143,7 @@ define mb_autoexec_append_safe_cmd $(call mb_make_call,mb_autoexec_append_echo,$(1),mb::safe command $(2)) $(call mb_make_call,mb_autoexec_append_cmd,$(1),$(2)) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_autoexec_append_safe_cmd,"Appends running a safe command."," [timeout] [exit-code]") +$(call mb_make_call,mb_doc_function,mb_autoexec_append_safe_cmd,"Appends running a safe command."," [timeout] [exit-code]") define _mb_autoexec_write_preboot @@ -178,5 +178,5 @@ define mb_autoexec_write_default $(if $(filter on,$(MB_AUTOEXEC_PROMPT_SPACE)),$(call mb_make_call,mb_autoexec_append_cmd,$(1),set PROMPT \"$(value MB_AUTOEXEC_PROMPT_FORMAT)$(MB_MAKE_SPACE)\")) $(if $(filter on,$(MB_AUTOEXEC_PROMPT_SPACE)),,$(call mb_make_call,mb_autoexec_append_cmd,$(1),,set PROMPT \"$(value MB_AUTOEXEC_PROMPT_FORMAT)\")) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_autoexec_write_default,"Write a default autoexec.bat file."," [fg-color] [bg-color]") +$(call mb_make_call,mb_doc_function,mb_autoexec_write_default,"Write a default autoexec.bat file."," [fg-color] [bg-color]") diff --git a/lib/make/mb_conv.mk b/lib/make/mb_conv.mk index dd1fec0..6f8877f 100644 --- a/lib/make/mb_conv.mk +++ b/lib/make/mb_conv.mk @@ -3,12 +3,12 @@ define mb_conv_unix2dos $(call mb_make_call,mb_make_echo_command,Convert to dos-EOL $(2)) unix2dos -q -n $(1) $(2) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_conv_unix2dos,"Converts an unix file to dos."," ") +$(call mb_make_call,mb_doc_function,mb_conv_unix2dos,"Converts an unix file to dos."," ") define mb_conv_dos2unix $(call mb_make_call,mb_make_echo_command,Convert to nix-EOL $(2)) dos2unix -q -n $(1) $(2) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_conv_dos2unix,"Converts an dos file to unix."," ") +$(call mb_make_call,mb_doc_function,mb_conv_dos2unix,"Converts an dos file to unix."," ") diff --git a/lib/make/mb_doc.mk b/lib/make/mb_doc.mk index 29bf0b1..92e5e50 100644 --- a/lib/make/mb_doc.mk +++ b/lib/make/mb_doc.mk @@ -1,57 +1,57 @@ MB_DOC_FIRE_VARIABLE_FLOW ?= "" -#*** Recursive variable: MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,mb_doc_variable_flow,MB_DOC_FIRE_VARIABLE_FLOW,"Flow builder of fire flow variable data.") - -MB_DOC_FIRE_HELP ?= "" -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,mb_doc_variable_flow,MB_DOC_FIRE_HELP,"Flow builder of firemake help data.") - -MB_DOC_FIRE_TARGET ?= "" -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,mb_doc_variable_flow,MB_DOC_FIRE_TARGET,"Flow builder of firemake target data.") - -MB_DOC_FIRE_TARGET_DEEP ?= "" -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,mb_doc_variable_flow,MB_DOC_FIRE_TARGET_DEEP,"Flow builder of firemake deep target data.") - -MB_DOC_FIRE_TARGET_RUN ?= "" -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,mb_doc_variable_flow,MB_DOC_FIRE_TARGET_RUN,"Flow builder of firemake run target data.") +#*** Recursive variable: MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,_mb_doc_variable4u_flow,MB_DOC_FIRE_VARIABLE_FLOW,"Flow builder of fire flow variable data.") MB_DOC_FIRE_VARIABLE ?= "" -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,mb_doc_variable_flow,MB_DOC_FIRE_VARIABLE,"Flow builder of firemake variable data.") +MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,_mb_doc_variable4u_flow,MB_DOC_FIRE_VARIABLE,"Flow builder of firemake variable data.") MB_DOC_FIRE_VARIABLE_DEEP ?= "" -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,mb_doc_variable_flow,MB_DOC_FIRE_VARIABLE_DEEP,"Flow builder of makefire deep variable data.") +MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,_mb_doc_variable4u_flow,MB_DOC_FIRE_VARIABLE_DEEP,"Flow builder of makefire deep variable data.") MB_DOC_FIRE_VARIABLE_ROCK ?= "" -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,mb_doc_variable_flow,MB_DOC_FIRE_VARIABLE_ROCK,"Flow builder of makefire rock variable data.") +MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,_mb_doc_variable4u_flow,MB_DOC_FIRE_VARIABLE_ROCK,"Flow builder of makefire rock variable data.") MB_DOC_FIRE_FUNCTION ?= "" -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,mb_doc_variable_flow,MB_DOC_FIRE_FUNCTION,"Flow builder of firemake function data.") +MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,_mb_doc_variable4u_flow,MB_DOC_FIRE_FUNCTION,"Flow builder of firemake function data.") MB_DOC_FIRE_FUNCTION_DEEP ?= "" -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,mb_doc_variable_flow,MB_DOC_FIRE_FUNCTION_DEEP,"Flow builder of firemake deep function data.") +MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,_mb_doc_variable4u_flow,MB_DOC_FIRE_FUNCTION_DEEP,"Flow builder of firemake deep function data.") MB_DOC_FIRE_FUNCTION_FLOW ?= "" -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,mb_doc_variable_flow,MB_DOC_FIRE_FUNCTION_FLOW,"Flow builder of firemake flow function data.") +MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,_mb_doc_variable4u_flow,MB_DOC_FIRE_FUNCTION_FLOW,"Flow builder of firemake flow function data.") + +MB_DOC_FIRE_TARGET ?= "" +MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,_mb_doc_variable4u_flow,MB_DOC_FIRE_TARGET,"Flow builder of firemake target data.") + +MB_DOC_FIRE_TARGET_DEEP ?= "" +MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,_mb_doc_variable4u_flow,MB_DOC_FIRE_TARGET_DEEP,"Flow builder of firemake deep target data.") + +MB_DOC_FIRE_TARGET_RUN ?= "" +MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,_mb_doc_variable4u_flow,MB_DOC_FIRE_TARGET_RUN,"Flow builder of firemake run target data.") + +MB_DOC_FIRE_TARGET_HELP ?= "" +MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,_mb_doc_variable4u_flow,MB_DOC_FIRE_TARGET_HELP,"Flow builder of firemake help data.") MB_DOC_XML_ROOT := firemake -MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_DOC_XML_ROOT,"XML root tag for firemake output.") +MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,_mb_doc_variable4u_rock,MB_DOC_XML_ROOT,"XML root tag for firemake output.") MB_DOC_XML_ATTR_NAME := name -MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_DOC_XML_ATTR_NAME,"XML name attribute for firemake output.") +MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,_mb_doc_variable4u_rock,MB_DOC_XML_ATTR_NAME,"XML name attribute for firemake output.") MB_DOC_XML_ATTR_LEVEL := level -MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_DOC_XML_ATTR_LEVEL,"XML level attribute for firemake output.") +MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,_mb_doc_variable4u_rock,MB_DOC_XML_ATTR_LEVEL,"XML level attribute for firemake output.") MB_DOC_XML_TAG_ARGS := arguments -MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_DOC_XML_TAG_ARGS,"XML arguments tag for firemake output.") +MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,_mb_doc_variable4u_rock,MB_DOC_XML_TAG_ARGS,"XML arguments tag for firemake output.") MB_DOC_XML_TAG_DESC := description -MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_DOC_XML_TAG_DESC,"XML description tag for firemake output.") +MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,_mb_doc_variable4u_rock,MB_DOC_XML_TAG_DESC,"XML description tag for firemake output.") MB_DOC_XML_TAG_VALUE := value -MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_DOC_XML_TAG_VALUE,"XML value tag for firemake output.") +MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,_mb_doc_variable4u_rock,MB_DOC_XML_TAG_VALUE,"XML value tag for firemake output.") MB_DOC_FORMAT ?= txt -MB_DOC_FIRE_VARIABLE_DEEP += $(call mb_make_call,mb_doc_variable_deep,MB_DOC_FORMAT,"Output format of documention$(MB_MAKE_COMMA) only 'txt' or 'xml' is supported.") +MB_DOC_FIRE_VARIABLE_DEEP += $(call mb_make_call,_mb_doc_variable4u_deep,MB_DOC_FORMAT,"Output format of documention$(MB_MAKE_COMMA) only 'txt' or 'xml' is supported.") define _mb_doc_variable_txt @@ -60,22 +60,31 @@ endef define _mb_doc_variable_xml \\n\\t$(call mb_make_call,mb_make_xml_open,variable,$(MB_DOC_XML_ATTR_NAME),$(2),$(MB_DOC_XML_ATTR_LEVEL),$(1))\\n\\t\\t$(call mb_make_call,mb_make_xml_tag_value,$(MB_DOC_XML_TAG_VALUE),$(subst >,?,$(4)))\\n\\t\\t$(call mb_make_call,mb_make_xml_tag_value,$(MB_DOC_XML_TAG_DESC),$(3))\\n\\t$(call mb_make_call,mb_make_xml_close,variable) endef -define mb_doc_variable - $(call mb_make_call,_mb_doc_variable_$(MB_DOC_FORMAT),build,$(1),$(2),$($(1))) -endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_doc_variable,"Prints formatted documention of an variable."," [desc]") -define mb_doc_variable_deep +define _mb_doc_variable4u_deep $(call mb_make_call,_mb_doc_variable_$(MB_DOC_FORMAT),deep,$(1),$(2),$($(1))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_doc_variable_deep,"Prints formatted documention of an deep variable."," [desc]") -define mb_doc_variable_rock +define _mb_doc_variable4u_rock $(call mb_make_call,_mb_doc_variable_$(MB_DOC_FORMAT),rock,$(1),$(2),$($(1))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_doc_variable_rock,"Prints formatted documention of an rock variable."," [desc]") -define mb_doc_variable_flow +define _mb_doc_variable4u_flow $(call mb_make_call,_mb_doc_variable_$(MB_DOC_FORMAT),flow,$(1),$(2),$(words $($(1)))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_doc_variable_flow,"Prints formatted documention of an flow variable."," [desc]") +define mb_doc_variable + $(eval MB_DOC_FIRE_VARIABLE += $$(call mb_make_call,_mb_doc_variable_$$(MB_DOC_FORMAT),build,$(1),$(2),$($(1)))) +endef +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_variable,"Prints formatted documention of an variable."," [desc]") +define mb_doc_variable_deep + $(eval MB_DOC_FIRE_VARIABLE_DEEP += $$(call mb_make_call,_mb_doc_variable4u_deep,$(1),$(2))) +endef +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_variable_deep,"Prints formatted documention of an deep variable."," [desc]") +define mb_doc_variable_rock + $(eval MB_DOC_FIRE_VARIABLE_ROCK += $$(call mb_make_call,_mb_doc_variable4u_rock,$(1),$(2))) +endef +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_variable_rock,"Prints formatted documention of an rock variable."," [desc]") +define mb_doc_variable_flow + $(eval MB_DOC_FIRE_VARIABLE_FLOW += $$(call mb_make_call,_mb_doc_variable4u_flow,$(1),$(2))) +endef +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_variable_flow,"Prints formatted documention of an flow variable."," [desc]") define _mb_doc_function_txt @@ -84,18 +93,24 @@ endef define _mb_doc_function_xml \\n\\t$(call mb_make_call,mb_make_xml_open,function,$(MB_DOC_XML_ATTR_NAME),$(2),$(MB_DOC_XML_ATTR_LEVEL),$(1))\\n\\t\\t$(call mb_make_call,mb_make_xml_tag_value,$(MB_DOC_XML_TAG_ARGS),$(4))\\n\\t\\t$(call mb_make_call,mb_make_xml_tag_value,$(MB_DOC_XML_TAG_DESC),$(3))\\n\\t$(call mb_make_call,mb_make_xml_close,function) endef -define mb_doc_function - $(call mb_make_call,_mb_doc_function_$(MB_DOC_FORMAT),build,$(1),$(2),$(3)) -endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_doc_function,"Prints formatted documention of an function."," [desc] [args]") -define mb_doc_function_deep +define _mb_doc_function4u_deep $(call mb_make_call,_mb_doc_function_$(MB_DOC_FORMAT),deep,$(1),$(2),$(3)) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_doc_function_deep,"Prints formatted documention of an deep function."," [desc] [args]") -define mb_doc_function_flow +define _mb_doc_function4u_flow $(call mb_make_call,_mb_doc_function_$(MB_DOC_FORMAT),flow,$(1),$(2),$(3)) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_doc_function_flow,"Prints formatted documention of an flow function."," [desc] [args]") +define mb_doc_function + $(eval MB_DOC_FIRE_FUNCTION += $$(call mb_make_call,_mb_doc_function_$$(MB_DOC_FORMAT),build,$(1),$(2),$(3))) +endef +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_function,"Prints formatted documention of an function."," [desc] [args]") +define mb_doc_function_deep + $(eval MB_DOC_FIRE_FUNCTION_DEEP += $$(call mb_make_call,_mb_doc_function4u_deep,$(1),$(2),$(3))) +endef +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_function_deep,"Prints formatted documention of an deep function."," [desc] [args]") +define mb_doc_function_flow + $(eval MB_DOC_FIRE_FUNCTION_FLOW += $$(call mb_make_call,_mb_doc_function4u_flow,$(1),$(2),$(3))) +endef +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_function_flow,"Prints formatted documention of an flow function."," [desc] [args]") define _mb_doc_target_txt @@ -105,28 +120,28 @@ define _mb_doc_target_xml \\n\\t$(call mb_make_call,mb_make_xml_open,target,$(MB_DOC_XML_ATTR_NAME),$(2),$(MB_DOC_XML_ATTR_LEVEL),$(1))$(if $3,\\n\\t\\t$(call mb_make_call,mb_make_xml_tag_value,$(MB_DOC_XML_TAG_DESC),$(3))\\n\\t$(call mb_make_call,mb_make_xml_close,target),\\n\\t$(call mb_make_call,mb_make_xml_close,target)) endef define mb_doc_target - $(call mb_make_call,_mb_doc_target_$(MB_DOC_FORMAT),build,$(1),$(2)) + $(eval MB_DOC_FIRE_TARGET += $$(call mb_make_call,_mb_doc_target_$$(MB_DOC_FORMAT),build,$(1),$(2))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_doc_target,"Prints formatted documention of an target."," [desc]") +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_target,"Prints formatted documention of an target."," [desc]") define mb_doc_target_deep - $(call mb_make_call,_mb_doc_target_$(MB_DOC_FORMAT),deep,$(1),$(2)) + $(eval MB_DOC_FIRE_TARGET_DEEP += $$(call mb_make_call,_mb_doc_target_$$(MB_DOC_FORMAT),deep,$(1),$(2))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_doc_target_deep,"Prints formatted documention of an deep target."," [desc]") +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_target_deep,"Prints formatted documention of an deep target."," [desc]") define mb_doc_target_help - $(call mb_make_call,_mb_doc_target_$(MB_DOC_FORMAT),help,$(1),$(2)) + $(eval MB_DOC_FIRE_TARGET_HELP += $$(call mb_make_call,_mb_doc_target_$(MB_DOC_FORMAT),help,$(1),$(2))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_doc_target_help,"Prints formatted documention of an help target."," [desc]") +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_target_help,"Prints formatted documention of an help target."," [desc]") define mb_doc_target_run - $(call mb_make_call,_mb_doc_target_$(MB_DOC_FORMAT),run,$(1),$(2)) + $(eval MB_DOC_FIRE_TARGET_RUN += $$(call mb_make_call,_mb_doc_target_$$(MB_DOC_FORMAT),run,$(1),$(2))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_doc_target_run,"Prints formatted documention of an run target."," [desc]") +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_target_run,"Prints formatted documention of an run target."," [desc]") define mb_doc_flow_help @help: $$(if $$(filter txt,$$(MB_DOC_FORMAT)),@echo "Welcome to the $$(if $(1),$(1),MSXBUILD) help system.\\n\\nFor detailed fire help use one of the following targets;\\n") $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_xml_open,$$(MB_DOC_XML_ROOT))) - @echo $$(MB_DOC_FIRE_HELP) + @echo $$(MB_DOC_FIRE_TARGET_HELP) $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_xml_close,$$(MB_DOC_XML_ROOT))) .PHONY: @help @@ -136,8 +151,8 @@ define mb_doc_flow_help $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) @echo $$(MB_DOC_FIRE_VARIABLE) $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) +$$(call mb_make_call,mb_doc_target_help,@help-variable,"Lists build variables.") .PHONY: @help-variable -MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@help-variable,"Lists build variables.") @help-variable-deep: @@ -145,8 +160,8 @@ MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@help-variable,"List $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) @echo $$(MB_DOC_FIRE_VARIABLE_DEEP) $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) +$$(call mb_make_call,mb_doc_target_help,@help-variable-deep,"Lists deep variables.") .PHONY: @help-variable-deep -MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@help-variable-deep,"Lists deep variables.") @help-variable-rock: @@ -154,8 +169,8 @@ MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@help-variable-deep, $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) @echo $$(MB_DOC_FIRE_VARIABLE_ROCK) $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) +$$(call mb_make_call,mb_doc_target_help,@help-variable-rock,"Lists rock variables.") .PHONY: @help-variable-rock -MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@help-variable-rock,"Lists rock variables.") @help-variable-flow: @@ -163,8 +178,8 @@ MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@help-variable-rock, $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) @echo $$(MB_DOC_FIRE_VARIABLE_FLOW) $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) +$$(call mb_make_call,mb_doc_target_help,@help-variable-flow,"Lists flow variables.") .PHONY: @help-variable-flow -MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@help-variable-flow,"Lists flow variables.") @help-function: @@ -172,8 +187,8 @@ MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@help-variable-flow, $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) @echo $$(MB_DOC_FIRE_FUNCTION) $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) +$$(call mb_make_call,mb_doc_target_help,@help-function,"Lists build functions.") .PHONY: @help-function -MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@help-function,"Lists build functions.") @help-function-deep: @@ -181,8 +196,8 @@ MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@help-function,"List $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) @echo $$(MB_DOC_FIRE_FUNCTION_DEEP) $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) +$$(call mb_make_call,mb_doc_target_help,@help-function-deep,"Lists deep functions.") .PHONY: @help-function-deep -MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@help-function-deep,"Lists deep functions.") @help-function-flow: @@ -190,8 +205,8 @@ MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@help-function-deep, $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) @echo $$(MB_DOC_FIRE_FUNCTION_FLOW) $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) +$$(call mb_make_call,mb_doc_target_help,@help-function-flow,"Lists flow functions.") .PHONY: @help-function-flow -MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@help-function-flow,"Lists flow functions.") @help-target: @@ -199,8 +214,8 @@ MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@help-function-flow, $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) @echo $$(MB_DOC_FIRE_TARGET) $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) +$$(call mb_make_call,mb_doc_target_help,@help-target,"Lists build targets.") .PHONY: @help-target -MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@help-target,"Lists build targets.") @help-target-deep: @@ -208,8 +223,8 @@ MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@help-target,"Lists $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) @echo $$(MB_DOC_FIRE_TARGET_DEEP) $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) +$$(call mb_make_call,mb_doc_target_help,@help-target-deep,"Lists deep targets.") .PHONY: @help-target-deep -MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@help-target-deep,"Lists deep targets.") @help-target-run: @@ -217,8 +232,8 @@ MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@help-target-deep,"L $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) @echo $$(MB_DOC_FIRE_TARGET_RUN) $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) +$$(call mb_make_call,mb_doc_target_help,@help-target-run,"Lists runnable targets.") .PHONY: @help-target-run -MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@help-target-run,"Lists runnable targets.") @help-all: @@ -244,16 +259,18 @@ MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@help-target-run,"Li @echo $$(MB_DOC_FIRE_TARGET_DEEP) $$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_make_echo_good,"Targets that run away\\n")) @echo $$(MB_DOC_FIRE_TARGET_RUN) + $$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_make_echo_good,"Targets main project help\\n")) + @echo $$(MB_DOC_FIRE_TARGET_HELP) $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) $$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_make_echo_good,OK)) +$$(call mb_make_call,mb_doc_target_help,@help-all,"Lists all documented information.") .PHONY: @help-all -MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@help-all,"Lists all documented information.") @help-firemake: MB_DOC_FORMAT=xml $$(MAKE) -s @help-all -MB_DOC_FIRE_HELP += $(call mb_make_call,mb_doc_target_help,@help-firemake,"Output all documention in XML for IDE integration.") +$$(call mb_make_call,mb_doc_target_help,@help-firemake,"Output all documention in XML for IDE integration.") .PHONY: @help-firemake endef -MB_DOC_FIRE_FUNCTION_FLOW += $(call mb_make_call,mb_doc_function_flow,mb_doc_flow_help,"Prints flow of fire help target.","[project-name]") +MB_DOC_FIRE_FUNCTION_FLOW += $(call mb_make_call,_mb_doc_function4u_flow,mb_doc_flow_help,"Prints flow of fire help target.","[project-name]") diff --git a/lib/make/mb_flight.mk b/lib/make/mb_flight.mk index 3739d3c..7704da1 100644 --- a/lib/make/mb_flight.mk +++ b/lib/make/mb_flight.mk @@ -1,21 +1,21 @@ MB_FLIGHT_SCREEN ?= off -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_FLIGHT_SCREEN,"Creates screenshots on exit of openMSX.") +$(call mb_make_call,mb_doc_variable,MB_FLIGHT_SCREEN,"Creates screenshots on exit of openMSX.") MB_FLIGHT_VIDEO ?= off -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_FLIGHT_VIDEO,"Create video of every build pipe session.") +$(call mb_make_call,mb_doc_variable,MB_FLIGHT_VIDEO,"Create video of every build pipe session.") MB_FLIGHT_PREFIX ?= msxbuild -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_FLIGHT_PREFIX,"Prefix for video and screenshot flight files.") +$(call mb_make_call,mb_doc_variable,MB_FLIGHT_PREFIX,"Prefix for video and screenshot flight files.") MB_FLIGHT_SEPERATOR ?= - -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_FLIGHT_SEPERATOR,"File and index number seperator for flight files.") +$(call mb_make_call,mb_doc_variable,MB_FLIGHT_SEPERATOR,"File and index number seperator for flight files.") MB_FLIGHT_RECORD_FLAG ?= -doublesize -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_FLIGHT_RECORD_FLAG,"Command parameter flag of the video recorder.") +$(call mb_make_call,mb_doc_variable,MB_FLIGHT_RECORD_FLAG,"Command parameter flag of the video recorder.") MB_FLIGHT_VIDEO_NAME ?= night-flight -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_FLIGHT_VIDEO_NAME,"Video file name of the final result merged video.") +$(call mb_make_call,mb_doc_variable,MB_FLIGHT_VIDEO_NAME,"Video file name of the final result merged video.") define mb_flight_video_merge @@ -24,7 +24,7 @@ define mb_flight_video_merge ffmpeg -v quiet -y -f concat -i $(1)/$(MB_FLIGHT_VIDEO_NAME).lst -c copy $(1)/$(MB_FLIGHT_VIDEO_NAME).avi $(call mb_make_call,mb_make_echo_good,Flight video completed) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_flight_video_merge,"Merged all flight videos to one.","") +$(call mb_make_call,mb_doc_function,mb_flight_video_merge,"Merged all flight videos to one.","") define mb_flight_proj_flow_video @@ -36,7 +36,7 @@ define mb_flight_proj_flow_video $$(call mb_make_call,_mb_proj_flow_step_before) MB_OPENMSX_HEADLESS=off MB_FLIGHT_VIDEO=on $$(MAKE) -s @@flight-video-build $$(call mb_make_call,_mb_proj_flow_step_done) -MB_DOC_FIRE_HELP += $(call mb_make_call,mb_doc_target_help,@flight-video-build,"Runs @clean and @build with recorder and merges flight videos to one.") +$$(call mb_make_call,mb_doc_target_help,@flight-video-build,"Runs @clean and @build with recorder and merges flight videos to one.") .PHONY: @flight-video-build @@ -48,7 +48,7 @@ MB_DOC_FIRE_HELP += $(call mb_make_call,mb_doc_target_help,@flight-video-build," $$(call mb_make_call,_mb_proj_flow_step_before) MB_OPENMSX_HEADLESS=off MB_FLIGHT_VIDEO=on $$(MAKE) -s @@flight-video-test $$(call mb_make_call,_mb_proj_flow_step_done) -MB_DOC_FIRE_HELP += $(call mb_make_call,mb_doc_target_help,@flight-video-test,"Runs @clean and @test with recorder and merges flight videos to one.") +$$(call mb_make_call,mb_doc_target_help,@flight-video-test,"Runs @clean and @test with recorder and merges flight videos to one.") .PHONY: @flight-video-test @@ -60,8 +60,8 @@ MB_DOC_FIRE_HELP += $(call mb_make_call,mb_doc_target_help,@flight-video-test,"R $$(call mb_make_call,_mb_proj_flow_step_before) MB_OPENMSX_HEADLESS=off MB_FLIGHT_VIDEO=on $$(MAKE) -s @@flight-video-package-qa $$(call mb_make_call,_mb_proj_flow_step_done) -MB_DOC_FIRE_HELP += $(call mb_make_call,mb_doc_target_help,@flight-video-package-qa,"Runs @clean and @package-qa with recorder and merges flight videos to one.") +$$(call mb_make_call,mb_doc_target_help,@flight-video-package-qa,"Runs @clean and @package-qa with recorder and merges flight videos to one.") .PHONY: @flight-video-package-qa endef -MB_DOC_FIRE_FUNCTION_FLOW += $(call mb_make_call,mb_doc_function_flow,mb_flight_proj_flow_video,"Prints flow for adding flight video targets in project build cycle.","") +$(call mb_make_call,mb_doc_function_flow,mb_flight_proj_flow_video,"Prints flow for adding flight video targets in project build cycle.","") diff --git a/lib/make/mb_make.mk b/lib/make/mb_make.mk index 46dc946..18f5e39 100644 --- a/lib/make/mb_make.mk +++ b/lib/make/mb_make.mk @@ -1,135 +1,135 @@ MB_MAKE_COMMA := , -MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_MAKE_COMMA,"Expanded special char; comma.") +$(call mb_make_call,mb_doc_variable_rock,MB_MAKE_COMMA,"Expanded special char; comma.") MB_MAKE_SPACE := $(subst ,, ) -MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_MAKE_SPACE,"Expanded special char; space.") +$(call mb_make_call,mb_doc_variable_rock,MB_MAKE_SPACE,"Expanded special char; space.") MB_MAKE_EQUALS := = -MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_MAKE_EQUALS,"Expanded special char; equals.") +$(call mb_make_call,mb_doc_variable_rock,MB_MAKE_EQUALS,"Expanded special char; equals.") MB_MAKE_BRACKET_RL := "(" -MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_MAKE_BRACKET_RL,"Expanded special char; bracket round left.") +$(call mb_make_call,mb_doc_variable_rock,MB_MAKE_BRACKET_RL,"Expanded special char; bracket round left.") MB_MAKE_BRACKET_RR := ")" -MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_MAKE_BRACKET_RR,"Expanded special char; bracket round right.") +$(call mb_make_call,mb_doc_variable_rock,MB_MAKE_BRACKET_RR,"Expanded special char; bracket round right.") MB_MAKE_BRACKET_SL := "[" -MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_MAKE_BRACKET_SL,"Expanded special char; bracket square left.") +$(call mb_make_call,mb_doc_variable_rock,MB_MAKE_BRACKET_SL,"Expanded special char; bracket square left.") MB_MAKE_BRACKET_SR := "]" -MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_MAKE_BRACKET_SR,"Expanded special char; bracket square right.") +$(call mb_make_call,mb_doc_variable_rock,MB_MAKE_BRACKET_SR,"Expanded special char; bracket square right.") MB_MAKE_BRACKET_CL := "{" -MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_MAKE_BRACKET_CL,"Expanded special char; bracket curly left.") +$(call mb_make_call,mb_doc_variable_rock,MB_MAKE_BRACKET_CL,"Expanded special char; bracket curly left.") MB_MAKE_BRACKET_CR := "}" -MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_MAKE_BRACKET_CR,"Expanded special char; bracket curly right.") +$(call mb_make_call,mb_doc_variable_rock,MB_MAKE_BRACKET_CR,"Expanded special char; bracket curly right.") MB_MAKE_GT := ">" -MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_MAKE_GT,"Expanded special char; greater than.") +$(call mb_make_call,mb_doc_variable_rock,MB_MAKE_GT,"Expanded special char; greater than.") MB_MAKE_LT := "<" -MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_MAKE_LT,"Expanded special char; lesser than.") +$(call mb_make_call,mb_doc_variable_rock,MB_MAKE_LT,"Expanded special char; lesser than.") MB_MAKE_CDATA_START := "" -MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_MAKE_CDATA_END,"Expanded special char; XML cdata end.") +$(call mb_make_call,mb_doc_variable_rock,MB_MAKE_CDATA_END,"Expanded special char; XML cdata end.") MB_MAKE_ECHO_CRAYON ?= "===" -MB_DOC_FIRE_VARIABLE_DEEP += $(call mb_make_call,mb_doc_variable_deep,MB_MAKE_ECHO_CRAYON,"Crayon marker for result step output echo's.") +$(call mb_make_call,mb_doc_variable_deep,MB_MAKE_ECHO_CRAYON,"Crayon marker for result step output echo's.") define mb_make_rwildcard $(foreach d,$(wildcard $1*),$(call mb_make_rwildcard,$d/,$2) $(filter $(subst *,%,$2),$d)) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_make_rwildcard,"Recursive wildcard search."," ") +$(call mb_make_call,mb_doc_function_deep,mb_make_rwildcard,"Recursive wildcard search."," ") define mb_make_call $(if $(filter file,$(origin $(1))),$(call $(1),$(2),$(3),$(4),$(5),$(6),$(7),$(8),$(9)),$(error $(1) is not defined)) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_make_call,"Checked origin call function wrapper."," [args...]") +$(call mb_make_call,mb_doc_function_deep,mb_make_call,"Checked origin call function wrapper."," [args...]") define mb_make_call_eval $(eval $(call mb_make_call,$(1),$(2),$(3),$(4),$(5),$(6),$(7),$(8),$(9))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_make_call_eval,"Eval and origin checked flow injector."," [args...]") +$(call mb_make_call,mb_doc_function_deep,mb_make_call_eval,"Eval and origin checked flow injector."," [args...]") define mb_make_lowercase $(subst A,a,$(subst B,b,$(subst C,c,$(subst D,d,$(subst E,e,$(subst F,f,$(subst G,g,$(subst H,h,$(subst I,i,$(subst J,j,$(subst K,k,$(subst L,l,$(subst M,m,$(subst N,n,$(subst O,o,$(subst P,p,$(subst Q,q,$(subst R,r,$(subst S,s,$(subst T,t,$(subst U,u,$(subst V,v,$(subst W,w,$(subst X,x,$(subst Y,y,$(subst Z,z,$(1))))))))))))))))))))))))))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_make_lowercase,"Converts ascii string to lowercase.","") +$(call mb_make_call,mb_doc_function_deep,mb_make_lowercase,"Converts ascii string to lowercase.","") define mb_make_uppercase $(subst a,A,$(subst b,B,$(subst c,C,$(subst d,D,$(subst e,E,$(subst f,F,$(subst g,G,$(subst h,H,$(subst i,I,$(subst j,J,$(subst k,K,$(subst l,L,$(subst m,M,$(subst n,N,$(subst o,O,$(subst p,P,$(subst q,Q,$(subst r,R,$(subst s,S,$(subst t,T,$(subst u,U,$(subst v,V,$(subst w,W,$(subst x,X,$(subst y,Y,$(subst z,Z,$(1))))))))))))))))))))))))))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_make_uppercase,"Converts ascii string to uppercase.","") +$(call mb_make_call,mb_doc_function_deep,mb_make_uppercase,"Converts ascii string to uppercase.","") define mb_make_xml_open $(MB_MAKE_LT)$(1)$(if $(2), $(2)=\"$(3)\")$(if $(4), $(4)=\"$(5)\")$(MB_MAKE_GT) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_make_xml_open,"Print xml open tag."," [attr1-name] [attr1-value] [attr2-name] [attr2-value]") +$(call mb_make_call,mb_doc_function_deep,mb_make_xml_open,"Print xml open tag."," [attr1-name] [attr1-value] [attr2-name] [attr2-value]") define mb_make_xml_close $(MB_MAKE_LT)/$(1)$(MB_MAKE_GT) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_make_xml_close,"Print xml close tag.","") +$(call mb_make_call,mb_doc_function_deep,mb_make_xml_close,"Print xml close tag.","") define mb_make_xml_value $(MB_MAKE_CDATA_START)$(subst $(MB_MAKE_CDATA_START),,$(subst $(MB_MAKE_CDATA_END),,$(1)))$(MB_MAKE_CDATA_END) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_make_xml_value,"Print xml value in cdata wrapper.","") +$(call mb_make_call,mb_doc_function_deep,mb_make_xml_value,"Print xml value in cdata wrapper.","") define mb_make_xml_tag_value $(if $(2),$(call mb_make_call,mb_make_xml_open,$(1))$(call mb_make_call,mb_make_xml_value,$(2))$(call mb_make_call,mb_make_xml_close,$(1))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_make_xml_tag_value,"Print xml tag with value inside."," [value]") +$(call mb_make_call,mb_doc_function_deep,mb_make_xml_tag_value,"Print xml tag with value inside."," [value]") define mb_make_flow_0module include $$(call mb_make_call,mb_make_rwildcard,$(1),*/0module.mk) endef -MB_DOC_FIRE_FUNCTION_FLOW += $(call mb_make_call,mb_doc_function_flow,mb_make_flow_0module,"Prints flow of recursive 0module.mk include.","") +$(call mb_make_call,mb_doc_function_flow,mb_make_flow_0module,"Prints flow of recursive 0module.mk include.","") define mb_make_echo_good $(if $(filter -1,$(MB_OS_COLORS)),@echo $(MB_MAKE_ECHO_CRAYON) $(1),$(MB_OS_ECHO) -e "\x1B[32m$(MB_MAKE_ECHO_CRAYON)$$1\x1B[39m $(1)") endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_make_echo_good,"Echo's an message to stdout with 'green' crayon.","") +$(call mb_make_call,mb_doc_function_deep,mb_make_echo_good,"Echo's an message to stdout with 'green' crayon.","") define mb_make_echo_fail $(if $(filter -1,$(MB_OS_COLORS)),@echo $(MB_MAKE_ECHO_CRAYON) $(1),$(MB_OS_ECHO) -e "\x1B[31m$(MB_MAKE_ECHO_CRAYON)$$1\x1B[39m $(1)") endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_make_echo_fail,"Echo's an message to stdout with 'red' crayon.","") +$(call mb_make_call,mb_doc_function_deep,mb_make_echo_fail,"Echo's an message to stdout with 'red' crayon.","") define mb_make_echo_command $(if $(filter -1,$(MB_OS_COLORS)),@echo $(MB_MAKE_ECHO_CRAYON) $(1),$(MB_OS_ECHO) -e "\x1B[34m$(MB_MAKE_ECHO_CRAYON)$$1\x1B[39m $(1)") endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_make_echo_command,"Echo's an message to stdout with 'blue' crayon.","") +$(call mb_make_call,mb_doc_function_deep,mb_make_echo_command,"Echo's an message to stdout with 'blue' crayon.","") define mb_make_echo_phase $(if $(filter -1,$(MB_OS_COLORS)),@echo $(MB_MAKE_ECHO_CRAYON) $(1),$(MB_OS_ECHO) -e "\x1B[35m$(MB_MAKE_ECHO_CRAYON)$$1\x1B[39m $(1)") endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_make_echo_phase,"Echo's an message to stdout with 'magenta' crayon.","") +$(call mb_make_call,mb_doc_function_deep,mb_make_echo_phase,"Echo's an message to stdout with 'magenta' crayon.","") define mb_make_echo_assert $(if $(filter -1,$(MB_OS_COLORS)),@echo $(MB_MAKE_ECHO_CRAYON) $(1),$(MB_OS_ECHO) -e "\x1B[33m$(MB_MAKE_ECHO_CRAYON)$$1\x1B[39m $(1)") endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_make_echo_assert,"Echo's an message to stdout with 'yellow' crayon.","") +$(call mb_make_call,mb_doc_function_deep,mb_make_echo_assert,"Echo's an message to stdout with 'yellow' crayon.","") # Last color: Cyan: \u001b[36m diff --git a/lib/make/mb_msxhub.mk b/lib/make/mb_msxhub.mk index 2fb150d..46ecebf 100644 --- a/lib/make/mb_msxhub.mk +++ b/lib/make/mb_msxhub.mk @@ -1,9 +1,9 @@ MB_MSXHUB_API ?= https://msxhub.com/api -MB_DOC_FIRE_VARIABLE_DEEP += $(call mb_make_call,mb_doc_variable_deep,MB_MSXHUB_API,"Resource identifier for msxhub package rest api.") +$(call mb_make_call,mb_doc_variable_deep,MB_MSXHUB_API,"Resource identifier for msxhub package rest api.") MB_MSXHUB_CACHE ?= $(MB_OS_CACHE)/msxbuild/msxhub -MB_DOC_FIRE_VARIABLE_DEEP += $(call mb_make_call,mb_doc_variable_deep,MB_MSXHUB_CACHE,"Cache storage location.") +$(call mb_make_call,mb_doc_variable_deep,MB_MSXHUB_CACHE,"Cache storage location.") define _mb_msxhub_file_fetch @@ -18,7 +18,7 @@ define mb_msxhub_file $(if $(wildcard $(MB_MSXHUB_CACHE)/$(2)),,$(call mb_make_call,_mb_msxhub_file_fetch,$(MB_MSXHUB_API)/$(2))) $(if $(wildcard $(1)/$(call mb_make_call,mb_make_lowercase,$(notdir $(2)))),,$(call mb_make_call,mb_os_file_copy,$(MB_MSXHUB_CACHE)/$(2),$(1)/$(call mb_make_call,mb_make_lowercase,$(notdir $(2))))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxhub_file,"Installs msxsub packages from slug into dir."," ") +$(call mb_make_call,mb_doc_function_deep,mb_msxhub_file,"Installs msxsub packages from slug into dir."," ") # @@ -28,21 +28,21 @@ define mb_msxhub_get_msxdos1_boot $(call mb_make_call,mb_msxhub_file,$(1),MSXDOS1/1.03-2/get/MSXDOS1/MSXDOS.SYS) $(call mb_make_call,mb_msxhub_file,$(1),MSXDOS1/1.03-2/get/MSXDOS1/COMMAND.COM) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxhub_get_msxdos1_boot,"Installs msxdos1 boot files into dir.","") +$(call mb_make_call,mb_doc_function_deep,mb_msxhub_get_msxdos1_boot,"Installs msxdos1 boot files into dir.","") define mb_msxhub_get_msxdos2_boot $(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/MSXDOS2.SYS) $(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/COMMAND2.COM) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxhub_get_msxdos2_boot,"Installs msxdos2 boot files into dir.","") +$(call mb_make_call,mb_doc_function_deep,mb_msxhub_get_msxdos2_boot,"Installs msxdos2 boot files into dir.","") define mb_msxhub_get_nextor_boot $(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/NEXTOR.SYS) $(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/COMMAND2.COM) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxhub_get_nextor_boot,"Installs nextor boot files into dir.","") +$(call mb_make_call,mb_doc_function_deep,mb_msxhub_get_nextor_boot,"Installs nextor boot files into dir.","") # @@ -57,7 +57,7 @@ define mb_msxhub_get_msxdos2_utils $(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/XDIR.COM) $(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/KMODE.COM) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxhub_get_msxdos2_utils,"Installs msxdos2 util files into dir.","") +$(call mb_make_call,mb_doc_function,mb_msxhub_get_msxdos2_utils,"Installs msxdos2 util files into dir.","") define mb_msxhub_get_nextor_utils @@ -75,7 +75,7 @@ define mb_msxhub_get_nextor_utils $(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/NEXBOOT.COM) $(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/CONCLUS.COM) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxhub_get_nextor_utils,"Installs nextor util files into dir.","") +$(call mb_make_call,mb_doc_function,mb_msxhub_get_nextor_utils,"Installs nextor util files into dir.","") # @@ -87,7 +87,7 @@ define mb_msxhub_get_macro80 $(call mb_make_call,mb_msxhub_file,$(1),MACRO80/2.0-1/get/MACRO80/LIB80.COM) $(call mb_make_call,mb_msxhub_file,$(1),MACRO80/2.0-1/get/MACRO80/M80.COM) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxhub_get_macro80,"Installs macro80 package into dir.","") +$(call mb_make_call,mb_doc_function,mb_msxhub_get_macro80,"Installs macro80 package into dir.","") define mb_msxhub_get_z80asmuk @@ -101,7 +101,7 @@ define mb_msxhub_get_z80asmuk $(call mb_make_call,mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/ZSMPP.COM) $(call mb_make_call,mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/HEXTOBIN.COM) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxhub_get_z80asmuk,"Installs z80asmuk package into dir.","") +$(call mb_make_call,mb_doc_function,mb_msxhub_get_z80asmuk,"Installs z80asmuk package into dir.","") define mb_msxhub_get_wbass2 @@ -109,14 +109,14 @@ define mb_msxhub_get_wbass2 $(call mb_make_call,mb_msxhub_file,$(1),WBASS2/2.0-1/get/WBASS2/wbass2.bin) $(call mb_make_call,mb_msxhub_file,$(1),WBASS2/2.0-1/get/WBASS2/wbass2.bas) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxhub_get_wbass2,"Installs wbass2 package into dir.","") +$(call mb_make_call,mb_doc_function,mb_msxhub_get_wbass2,"Installs wbass2 package into dir.","") define mb_msxhub_get_konpass $(call mb_make_call,mb_msxhub_file,$(1),KONPASS/1.3.0-1/get/KONPASS/KONPASS.COM) $(call mb_make_call,mb_msxhub_file,$(1),KONPASS/1.3.0-1/get/KONPASS/KONPASS.DAT) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxhub_get_konpass,"Installs konpass package into dir.","") +$(call mb_make_call,mb_doc_function,mb_msxhub_get_konpass,"Installs konpass package into dir.","") # @@ -130,37 +130,37 @@ define mb_msxhub_get_pmarc $(call mb_make_call,mb_msxhub_file,$(1),PMARC/2.0-1/get/PMARC/PMSET.COM) $(call mb_make_call,mb_msxhub_file,$(1),PMARC/2.0-1/get/PMARC/PMSFX2.COM) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxhub_get_pmarc,"Installs pmarc package into dir.","") +$(call mb_make_call,mb_doc_function,mb_msxhub_get_pmarc,"Installs pmarc package into dir.","") define mb_msxhub_get_lhpack $(call mb_make_call,mb_msxhub_file,$(1),LHPACK/1.00-1/get/LHPACK/lhpack.com) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxhub_get_lhpack,"Installs lhpack package into dir.","") +$(call mb_make_call,mb_doc_function,mb_msxhub_get_lhpack,"Installs lhpack package into dir.","") define mb_msxhub_get_lhext $(call mb_make_call,mb_msxhub_file,$(1),LHEXT/1.34-2/get/LHEXT/lhext.com) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxhub_get_lhext,"Installs lhext package into dir.","") +$(call mb_make_call,mb_doc_function,mb_msxhub_get_lhext,"Installs lhext package into dir.","") define mb_msxhub_get_gunzip $(call mb_make_call,mb_msxhub_file,$(1),GUNZIP/1.1-1/get/GUNZIP/gunzip.com) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxhub_get_gunzip,"Installs gunzip package into dir.","") +$(call mb_make_call,mb_doc_function,mb_msxhub_get_gunzip,"Installs gunzip package into dir.","") define mb_msxhub_get_tunzip $(call mb_make_call,mb_msxhub_file,$(1),TUNZIP/0.91-1/get/TUNZIP/tunzip.com) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxhub_get_tunzip,"Installs tunzip package into dir.","") +$(call mb_make_call,mb_doc_function,mb_msxhub_get_tunzip,"Installs tunzip package into dir.","") define mb_msxhub_get_popcom $(call mb_make_call,mb_msxhub_file,$(1),POPCOM/1.00-2/get/POPCOM/popcom.com) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxhub_get_popcom,"Installs popcom package into dir.","") +$(call mb_make_call,mb_doc_function,mb_msxhub_get_popcom,"Installs popcom package into dir.","") # @@ -169,43 +169,43 @@ MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxhub_get_popcom define mb_msxhub_get_make $(call mb_make_call,mb_msxhub_file,$(1),MAKE/2.00-1/get/MAKE/MAKE.COM) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxhub_get_make,"Installs make package into dir.","") +$(call mb_make_call,mb_doc_function,mb_msxhub_get_make,"Installs make package into dir.","") define mb_msxhub_get_adir $(call mb_make_call,mb_msxhub_file,$(1),ADIR/1.3-1/get/ADIR/adir.com) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxhub_get_adir,"Installs adir package into dir.","") +$(call mb_make_call,mb_doc_function,mb_msxhub_get_adir,"Installs adir package into dir.","") define mb_msxhub_get_turbo $(call mb_make_call,mb_msxhub_file,$(1),TURBO/2.1-1/get/TURBO/turbo.com) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxhub_get_turbo,"Installs turbo package into dir.","") +$(call mb_make_call,mb_doc_function,mb_msxhub_get_turbo,"Installs turbo package into dir.","") define mb_msxhub_get_baskom $(call mb_make_call,mb_msxhub_file,$(1),BASKOM/1.0-1/get/BASKOM/baskom.com) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxhub_get_baskom,"Installs baskom package into dir.","") +$(call mb_make_call,mb_doc_function,mb_msxhub_get_baskom,"Installs baskom package into dir.","") define mb_msxhub_get_binldr $(call mb_make_call,mb_msxhub_file,$(1),BINLDR/1.0.2-1/get/BINLDR/BINLDR.COM) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxhub_get_binldr,"Installs binldr package into dir.","") +$(call mb_make_call,mb_doc_function,mb_msxhub_get_binldr,"Installs binldr package into dir.","") define mb_msxhub_get_dmphex $(call mb_make_call,mb_msxhub_file,$(1),DMPHEX/1.00-1/get/DMPHEX/dmphex.com) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxhub_get_dmphex,"Installs dmphex package into dir.","") +$(call mb_make_call,mb_doc_function,mb_msxhub_get_dmphex,"Installs dmphex package into dir.","") define mb_msxhub_get_zd $(call mb_make_call,mb_msxhub_file,$(1),ZD/3.30-1/get/ZD/zd.com) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxhub_get_zd,"Installs zd package into dir.","") +$(call mb_make_call,mb_doc_function,mb_msxhub_get_zd,"Installs zd package into dir.","") define mb_msxhub_get_msxdos2t @@ -233,7 +233,7 @@ define mb_msxhub_get_msxdos2t $(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/VIEW.COM) $(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/WC.COM) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxhub_get_msxdos2t,"Installs msxdos2t package into dir.","") +$(call mb_make_call,mb_doc_function,mb_msxhub_get_msxdos2t,"Installs msxdos2t package into dir.","") # @@ -242,5 +242,5 @@ MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxhub_get_msxdos define mb_msxhub_get_gfxage $(call mb_make_call,mb_msxhub_file,$(1),GFXAGE/0.99-1/get/GFXAGE/gfxage.com) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxhub_get_gfxage,"Installs gfxage package into dir.","") +$(call mb_make_call,mb_doc_function,mb_msxhub_get_gfxage,"Installs gfxage package into dir.","") diff --git a/lib/make/mb_msxpipe.mk b/lib/make/mb_msxpipe.mk index 46574ed..eb6abaf 100644 --- a/lib/make/mb_msxpipe.mk +++ b/lib/make/mb_msxpipe.mk @@ -1,21 +1,21 @@ MB_MSXPIPE_COLOR_FG_SAFE_CMD ?= 116 -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_MSXPIPE_COLOR_FG_SAFE_CMD,"Foreground color of safe command session pipe.") +$(call mb_make_call,mb_doc_variable,MB_MSXPIPE_COLOR_FG_SAFE_CMD,"Foreground color of safe command session pipe.") MB_MSXPIPE_COLOR_BG_SAFE_CMD ?= 000 -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_MSXPIPE_COLOR_BG_SAFE_CMD,"Background color of safe command session pipe.") +$(call mb_make_call,mb_doc_variable,MB_MSXPIPE_COLOR_BG_SAFE_CMD,"Background color of safe command session pipe.") MB_MSXPIPE_COLOR_FG_SAFE_TEST ?= 511 -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_MSXPIPE_COLOR_FG_SAFE_TEST,"Foreground color of safe test session pipe.") +$(call mb_make_call,mb_doc_variable,MB_MSXPIPE_COLOR_FG_SAFE_TEST,"Foreground color of safe test session pipe.") MB_MSXPIPE_COLOR_BG_SAFE_TEST ?= 000 -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_MSXPIPE_COLOR_BG_SAFE_TEST,"Background color of safe test session pipe.") +$(call mb_make_call,mb_doc_variable,MB_MSXPIPE_COLOR_BG_SAFE_TEST,"Background color of safe test session pipe.") MB_MSXPIPE_COLOR_FG_RUN_GUI ?= 151 -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_MSXPIPE_COLOR_FG_RUN_GUI,"Foreground color of run gui session pipe.") +$(call mb_make_call,mb_doc_variable,MB_MSXPIPE_COLOR_FG_RUN_GUI,"Foreground color of run gui session pipe.") MB_MSXPIPE_COLOR_BG_RUN_GUI ?= 000 -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_MSXPIPE_COLOR_BG_RUN_GUI,"Background color of run gui session pipe.") +$(call mb_make_call,mb_doc_variable,MB_MSXPIPE_COLOR_BG_RUN_GUI,"Background color of run gui session pipe.") define mb_msxpipe_safe_cmd @@ -24,7 +24,7 @@ define mb_msxpipe_safe_cmd $(call mb_make_call,mb_autoexec_append_exit,$(1)) $(call mb_make_call,mb_openmsx_dosctl,$(1),$(3)) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxpipe_safe_cmd,"Runs openMSX and safely executes one command."," [machine]") +$(call mb_make_call,mb_doc_function,mb_msxpipe_safe_cmd,"Runs openMSX and safely executes one command."," [machine]") define mb_msxpipe_safe_test @@ -33,7 +33,7 @@ define mb_msxpipe_safe_test $(call mb_make_call,mb_autoexec_append_exit,$(1)) $(call mb_make_call,mb_openmsx_dosctl,$(1),$(3)) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxpipe_safe_test,"Runs openMSX and safely executes one test."," [machine]") +$(call mb_make_call,mb_doc_function,mb_msxpipe_safe_test,"Runs openMSX and safely executes one test."," [machine]") define _mb_msxpipe_run_gui @@ -51,11 +51,11 @@ endef define mb_msxpipe_run_gui $(call mb_make_call,_mb_msxpipe_run_gui,$(1),$(2),$(3)) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxpipe_run_gui,"Runs openMSX forever with renderer and optionals."," [cmd] [machine]") +$(call mb_make_call,mb_doc_function,mb_msxpipe_run_gui,"Runs openMSX forever with renderer and optionals."," [cmd] [machine]") define mb_msxpipe_run_gui_mouse $(call mb_make_call,_mb_msxpipe_run_gui,$(1),$(2),$(3),mouse) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxpipe_run_gui_mouse,"Runs openMSX forever with renderer and mouse and optionals."," [cmd] [machine]") +$(call mb_make_call,mb_doc_function,mb_msxpipe_run_gui_mouse,"Runs openMSX forever with renderer and mouse and optionals."," [cmd] [machine]") diff --git a/lib/make/mb_msxrom.mk b/lib/make/mb_msxrom.mk index ee17b9a..2a24165 100644 --- a/lib/make/mb_msxrom.mk +++ b/lib/make/mb_msxrom.mk @@ -1,10 +1,10 @@ # TODO: Convert pi MSX number data, the named pie slices to hyperdrive storage for distribution over red sea MB_MSXROM_API ?= https://msxrom.distributedrebirth.love/calc-pi/v19.1 -MB_DOC_FIRE_VARIABLE_DEEP += $(call mb_make_call,mb_doc_variable_deep,MB_MSXROM_API,"Resource identifier for msxrom pi pie named slices data.") +$(call mb_make_call,mb_doc_variable_deep,MB_MSXROM_API,"Resource identifier for msxrom pi pie named slices data.") MB_MSXROM_CACHE ?= $(MB_OS_CACHE)/msxbuild/msxrom -MB_DOC_FIRE_VARIABLE_DEEP += $(call mb_make_call,mb_doc_variable_deep,MB_MSXROM_CACHE,"Cache storage location.") +$(call mb_make_call,mb_doc_variable_deep,MB_MSXROM_CACHE,"Cache storage location.") define _mb_msxrom_file_fetch @@ -18,7 +18,7 @@ define mb_msxrom_file $(if $(wildcard $(MB_MSXROM_CACHE)/$(2)),,$(call mb_make_call,_mb_msxrom_file_fetch,$(MB_MSXROM_API)/$(2))) $(if $(wildcard $(1)/$(notdir $(2))),,$(call mb_make_call,mb_os_file_copy,$(MB_MSXROM_CACHE)/$(2),$(1)/$(notdir $(2)))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_file,"Installs msxroms from slug into an subdir."," ") +$(call mb_make_call,mb_doc_function_deep,mb_msxrom_file,"Installs msxroms from slug into an subdir."," ") define mb_msxrom_setup @@ -28,79 +28,79 @@ define mb_msxrom_setup $(if $(wildcard $(1)-omsx/share/systemroms/machines),,$(call mb_make_call,mb_os_dir_create,$(1)-omsx/share/systemroms/machines)) $(if $(wildcard $(1)-omsx/share/systemroms/extensions),,$(call mb_make_call,mb_os_dir_create,$(1)-omsx/share/systemroms/extensions)) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_setup,"Creates needed systemrom folders.","") +$(call mb_make_call,mb_doc_function_deep,mb_msxrom_setup,"Creates needed systemrom folders.","") define mb_msxrom_extension_ide $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/ide240.dat) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_ide,"Installs sunrise IDE classic rom.","") +$(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_ide,"Installs sunrise IDE classic rom.","") define mb_msxrom_extension_ide_nextor $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/Nextor-2.1.1.SunriseIDE.ROM) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_ide_nextor,"Installs sunrise IDE nextor rom.","") +$(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_ide_nextor,"Installs sunrise IDE nextor rom.","") define mb_msxrom_extension_scsi_novaxis $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/novaxis.rom) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_scsi_novaxis,"Installs Gouda SCSI novaxis rom.","") +$(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_scsi_novaxis,"Installs Gouda SCSI novaxis rom.","") define mb_msxrom_extension_msxdos22 $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/msxdos22.rom) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_msxdos22,"Installs msxdos 2.20 rom.","") +$(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_msxdos22,"Installs msxdos 2.20 rom.","") define mb_msxrom_extension_rs232 $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/rs232.rom) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_rs232,"Installs rs232 rom.","") +$(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_rs232,"Installs rs232 rom.","") define mb_msxrom_extension_fmpac $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/fmpac.rom) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_fmpac,"Installs fmpac rom.","") +$(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_fmpac,"Installs fmpac rom.","") define mb_msxrom_extension_fmpac_en $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/fmpac_en.rom) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_fmpac_en,"Installs fmpac english rom.","") +$(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_fmpac_en,"Installs fmpac english rom.","") define mb_msxrom_extension_moonsound $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/yrw801.rom) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_moonsound,"Installs moonsound rom.","") +$(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_moonsound,"Installs moonsound rom.","") define mb_msxrom_extension_basickun $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,machines/sanyo/phc-70fd2_basickun.rom) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_basickun,"Installs basickun compiler rom.","") +$(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_basickun,"Installs basickun compiler rom.","") define mb_msxrom_machine_Canon_V-20 $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/canon/v-20_basic-bios1.rom) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Canon_V-20,"Installs machine roms.","") +$(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Canon_V-20,"Installs machine roms.","") define mb_msxrom_machine_Mitsubishi_ML-F80 $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/mitsubishi/ml-f80_basic-bios1.rom) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Mitsubishi_ML-F80,"Installs machine roms.","") +$(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Mitsubishi_ML-F80,"Installs machine roms.","") define mb_msxrom_machine_Mitsubishi_ML-FX1 $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/mitsubishi/ml-fx1_basic-bios1.rom) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Mitsubishi_ML-FX1,"Installs machine roms.","") +$(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Mitsubishi_ML-FX1,"Installs machine roms.","") define mb_msxrom_machine_Mitsubishi_ML-G3_ES @@ -109,13 +109,13 @@ define mb_msxrom_machine_Mitsubishi_ML-G3_ES $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/mitsubishi/ml-g3_es_msx2sub.rom) $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/mitsubishi/ml-g3_es_rs232.rom) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Mitsubishi_ML-G3_ES,"Installs machine roms.","") +$(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Mitsubishi_ML-G3_ES,"Installs machine roms.","") define mb_msxrom_machine_Philips_VG_8000 $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/philips/vg8000_basic-bios1.rom) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Philips_VG_8000,"Installs machine roms.","") +$(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Philips_VG_8000,"Installs machine roms.","") define mb_msxrom_machine_Philips_VG_8230 @@ -123,7 +123,7 @@ define mb_msxrom_machine_Philips_VG_8230 $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/philips/vg8230_disk.rom) $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/philips/vg8230_msx2sub.rom) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Philips_VG_8230,"Installs machine roms.","") +$(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Philips_VG_8230,"Installs machine roms.","") define mb_msxrom_machine_Philips_NMS_8250 @@ -131,14 +131,14 @@ define mb_msxrom_machine_Philips_NMS_8250 $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/philips/nms8250_msx2sub.rom) $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/philips/nms8250_disk.rom) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Philips_NMS_8250,"Installs machine roms.","") +$(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Philips_NMS_8250,"Installs machine roms.","") define mb_msxrom_machine_Toshiba_HX-21 $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/toshiba/HX21-IC2.BIN) $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/toshiba/HX21-IC3.BIN) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Toshiba_HX-21,"Installs machine roms.","") +$(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Toshiba_HX-21,"Installs machine roms.","") define mb_msxrom_machine_Yamaha_AX200 @@ -146,7 +146,7 @@ define mb_msxrom_machine_Yamaha_AX200 $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/yamaha/ax200_arabic.rom) $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/yamaha/ax200_music.rom) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Yamaha_AX200,"Installs machine roms.","") +$(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Yamaha_AX200,"Installs machine roms.","") define mb_msxrom_machine_Yamaha_YIS-503IIIR @@ -155,7 +155,7 @@ define mb_msxrom_machine_Yamaha_YIS-503IIIR $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/yamaha/yis503iii_cpm.rom) $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/yamaha/yis503iii_net_2.rom) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Yamaha_YIS-503IIIR,"Installs machine roms.","") +$(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Yamaha_YIS-503IIIR,"Installs machine roms.","") define mb_msxrom_machine_Panasonic_FS-A1WSX @@ -167,7 +167,7 @@ define mb_msxrom_machine_Panasonic_FS-A1WSX $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/panasonic/fs-a1wsx_kanjifont.rom) $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/panasonic/fs-a1wsx_msx2psub.rom) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Panasonic_FS-A1WSX,"Installs machine roms.","") +$(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Panasonic_FS-A1WSX,"Installs machine roms.","") define mb_msxrom_machine_Boosted_MSX2_EN @@ -176,7 +176,7 @@ define mb_msxrom_machine_Boosted_MSX2_EN $(call mb_make_call,mb_msxrom_extension_moonsound,$(1)) $(call mb_make_call,mb_msxrom_extension_basickun,$(1)) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Boosted_MSX2_EN,"Installs machine and extensions roms.","") +$(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Boosted_MSX2_EN,"Installs machine and extensions roms.","") define mb_msxrom_machine_Boosted_MSX2+_JP @@ -184,5 +184,5 @@ define mb_msxrom_machine_Boosted_MSX2+_JP $(call mb_make_call,mb_msxrom_extension_moonsound,$(1)) $(call mb_make_call,mb_msxrom_extension_basickun,$(1)) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Boosted_MSX2+_JP,"Installs machine and extensions roms.","") +$(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Boosted_MSX2+_JP,"Installs machine and extensions roms.","") diff --git a/lib/make/mb_openmsx.mk b/lib/make/mb_openmsx.mk index f223bfb..2907f4b 100644 --- a/lib/make/mb_openmsx.mk +++ b/lib/make/mb_openmsx.mk @@ -1,39 +1,39 @@ MB_OPENMSX_BOOT_TIMEOUT ?= 25 -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_OPENMSX_BOOT_TIMEOUT,"Timeout in seconds to guard for boot failures.") +$(call mb_make_call,mb_doc_variable,MB_OPENMSX_BOOT_TIMEOUT,"Timeout in seconds to guard for boot failures.") MB_OPENMSX_BOOT_OS ?= nextor -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_OPENMSX_BOOT_OS,"The default OS to run$(MB_MAKE_COMMA) valid values are; nextor$(MB_MAKE_COMMA)msxdos1$(MB_MAKE_COMMA)msxdos2") +$(call mb_make_call,mb_doc_variable,MB_OPENMSX_BOOT_OS,"The default OS to run$$(MB_MAKE_COMMA) valid values are; nextor$$(MB_MAKE_COMMA)msxdos1$$(MB_MAKE_COMMA)msxdos2") MB_OPENMSX_MACHINE ?= Philips_NMS_8250 -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_OPENMSX_MACHINE,"The default MSX machine to use.",$(MB_OPENMSX_MACHINE)) + $(call mb_make_call,mb_doc_variable,MB_OPENMSX_MACHINE,"The default MSX machine to use.",$(MB_OPENMSX_MACHINE)) MB_OPENMSX_MACHINE_RAM ?= ram1mb -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_OPENMSX_MACHINE_RAM,"Extra ram extension for machine allowed: off$(MB_MAKE_COMMA)ram16k$(MB_MAKE_COMMA)ram64k$(MB_MAKE_COMMA)ram512k$(MB_MAKE_COMMA)ram1mb$(MB_MAKE_COMMA)ram2mb$(MB_MAKE_COMMA)ram4mb") +$(call mb_make_call,mb_doc_variable,MB_OPENMSX_MACHINE_RAM,"Extra ram extension for machine allowed: off$$(MB_MAKE_COMMA)ram16k$$(MB_MAKE_COMMA)ram64k$$(MB_MAKE_COMMA)ram512k$$(MB_MAKE_COMMA)ram1mb$$(MB_MAKE_COMMA)ram2mb$$(MB_MAKE_COMMA)ram4mb") MB_OPENMSX_SPEED ?= $(if $(findstring turboR,$(MB_OPENMSX_MACHINE)),100,333) -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_OPENMSX_SPEED,"The throttled MSX emulation speed.") +$(call mb_make_call,mb_doc_variable,MB_OPENMSX_SPEED,"The throttled MSX emulation speed.") MB_OPENMSX_ARGS ?= -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_OPENMSX_ARGS,"Extra arguments to invoke openMSX with.") +$(call mb_make_call,mb_doc_variable,MB_OPENMSX_ARGS,"Extra arguments to invoke openMSX with.") MB_OPENMSX_HDD_SIZE ?= 4m -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_OPENMSX_HDD_SIZE,"The default fire-hdd disk image size.") +$(call mb_make_call,mb_doc_variable,MB_OPENMSX_HDD_SIZE,"The default fire-hdd disk image size.") MB_OPENMSX_SCALE_FACTOR ?= 3 -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_OPENMSX_SCALE_FACTOR,"The gui scale factor to display the emulation.") +$(call mb_make_call,mb_doc_variable,MB_OPENMSX_SCALE_FACTOR,"The gui scale factor to display the emulation.") MB_OPENMSX_THROTTLE ?= off -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_OPENMSX_THROTTLE,"An on/off flag to control throttling globally.") +$(call mb_make_call,mb_doc_variable,MB_OPENMSX_THROTTLE,"An on/off flag to control throttling globally.") MB_OPENMSX_HEADLESS ?= on -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_OPENMSX_HEADLESS,"Controls if openMSX starts headless.") +$(call mb_make_call,mb_doc_variable,MB_OPENMSX_HEADLESS,"Controls if openMSX starts headless.") MB_OPENMSX_RENDERER ?= SDLGL-PP -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_OPENMSX_RENDERER,"The gui renderer used to display the window head with.") +$(call mb_make_call,mb_doc_variable,MB_OPENMSX_RENDERER,"The gui renderer used to display the window head with.") MB_OPENMSX_LOG_PREFIX ?= "Start openMSX! for " -MB_DOC_FIRE_VARIABLE_DEEP += $(call mb_make_call,mb_doc_variable_deep,MB_OPENMSX_LOG_PREFIX,"Prefix of startup message of openMSX.") +$(call mb_make_call,mb_doc_variable_deep,MB_OPENMSX_LOG_PREFIX,"Prefix of startup message of openMSX.") # Kills valuable info on build server, thus keep off until issues openMSX are solved, rm this variale ASP; MB_OPENMSX_STDOUT_IGNORE ?= off @@ -58,7 +58,7 @@ define mb_openmsx_setup $(call mb_make_call,mb_msxrom_extension_ide_nextor,$(1)) $(call mb_make_call,mb_msxrom_machine_$(2),$(1)) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_openmsx_setup,"Setup openMSX local build home folder for machine."," ") +$(call mb_make_call,mb_doc_function_deep,mb_openmsx_setup,"Setup openMSX local build home folder for machine."," ") define _mb_openmsx_run @@ -111,5 +111,5 @@ define mb_openmsx_dosctl $(if $(wildcard $(1)/command.com),,$(if $(wildcard $(1)/command2.com),,$(call mb_make_call,mb_msxhub_get_$(MB_OPENMSX_BOOT_OS)_boot,$(1)))) $(call mb_make_call,_mb_openmsx_run,$(1),$(if $(2),$(2),$(MB_OPENMSX_MACHINE)),$(if $(3),$(3),$(MB_OPENMSX_MACHINE_RAM))) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_openmsx_dosctl,"Starts openMSX with dos controller."," [machine] [mem-ext]") +$(call mb_make_call,mb_doc_function,mb_openmsx_dosctl,"Starts openMSX with dos controller."," [machine] [mem-ext]") diff --git a/lib/make/mb_os.mk b/lib/make/mb_os.mk index 4716cdf..ace8f35 100644 --- a/lib/make/mb_os.mk +++ b/lib/make/mb_os.mk @@ -25,38 +25,38 @@ else endif -MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_OS_RM,"Native OS delete command.") -MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_OS_RMDIR,"Native OS remove folder command.") -MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_OS_MKDIR,"Native OS create folder command.") -MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_OS_COPY,"Native OS copy command.") -MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_OS_ECHO,"Native OS echo command.") -MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_OS_STDOUT_IGNORE,"Native OS ignore stdout.") -MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_OS_STDERR_IGNORE,"Native OS ignore stderr.") -MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_OS_SEP,"Native OS path seperator.") -MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_OS_CACHE,"Native OS application cache folder.") -MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_OS_COLORS,"Native OS terminal color count support.") +$(call mb_make_call,mb_doc_variable_rock,MB_OS_RM,"Native OS delete command.") +$(call mb_make_call,mb_doc_variable_rock,MB_OS_RMDIR,"Native OS remove folder command.") +$(call mb_make_call,mb_doc_variable_rock,MB_OS_MKDIR,"Native OS create folder command.") +$(call mb_make_call,mb_doc_variable_rock,MB_OS_COPY,"Native OS copy command.") +$(call mb_make_call,mb_doc_variable_rock,MB_OS_ECHO,"Native OS echo command.") +$(call mb_make_call,mb_doc_variable_rock,MB_OS_STDOUT_IGNORE,"Native OS ignore stdout.") +$(call mb_make_call,mb_doc_variable_rock,MB_OS_STDERR_IGNORE,"Native OS ignore stderr.") +$(call mb_make_call,mb_doc_variable_rock,MB_OS_SEP,"Native OS path seperator.") +$(call mb_make_call,mb_doc_variable_rock,MB_OS_CACHE,"Native OS application cache folder.") +$(call mb_make_call,mb_doc_variable_rock,MB_OS_COLORS,"Native OS terminal color count support.") define mb_os_dir_delete $(MB_OS_RMDIR) $(1) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_os_dir_delete,"Delete the full folder.","") +$(call mb_make_call,mb_doc_function,mb_os_dir_delete,"Delete the full folder.","") define mb_os_dir_create $(MB_OS_MKDIR) $(1) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_os_dir_create,"Creates an folder.","") +$(call mb_make_call,mb_doc_function,mb_os_dir_create,"Creates an folder.","") define mb_os_file_delete $(MB_OS_RM) $(1) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_os_file_delete,"Deletes an file.","") +$(call mb_make_call,mb_doc_function,mb_os_file_delete,"Deletes an file.","") define mb_os_file_copy $(MB_OS_COPY) $(1) $(2) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_os_file_copy,"Copy an file."," ") +$(call mb_make_call,mb_doc_function,mb_os_file_copy,"Copy an file."," ") diff --git a/lib/make/mb_proj.mk b/lib/make/mb_proj.mk index b0144be..26de6af 100644 --- a/lib/make/mb_proj.mk +++ b/lib/make/mb_proj.mk @@ -1,64 +1,64 @@ MB_PROJ_META_NAME ?= MSXBUILD -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_PROJ_META_NAME,"The project name.") +$(call mb_make_call,mb_doc_variable,MB_PROJ_META_NAME,"The project name.") MB_PROJ_META_DESCRIPTION ?= -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_PROJ_META_DESCRIPTION,"The project description.") +$(call mb_make_call,mb_doc_variable,MB_PROJ_META_DESCRIPTION,"The project description.") MB_PROJ_META_WEBSITE ?= -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_PROJ_META_WEBSITE,"The project website location.") +$(call mb_make_call,mb_doc_variable,MB_PROJ_META_WEBSITE,"The project website location.") MB_PROJ_STEP_BEFORE_PREFIX ?= "Before -- " -MB_DOC_FIRE_VARIABLE_DEEP += $(call mb_make_call,mb_doc_variable_deep,MB_PROJ_STEP_BEFORE_PREFIX,"Prefix for begin phase message.") +$(call mb_make_call,mb_doc_variable_deep,MB_PROJ_STEP_BEFORE_PREFIX,"Prefix for begin phase message.") MB_PROJ_STEP_AFTER_PREFIX ?= "After ---- " -MB_DOC_FIRE_VARIABLE_DEEP += $(call mb_make_call,mb_doc_variable_deep,MB_PROJ_STEP_AFTER_PREFIX,"Prefix for af phase message.") +$(call mb_make_call,mb_doc_variable_deep,MB_PROJ_STEP_AFTER_PREFIX,"Prefix for af phase message.") MB_PROJ_STEP_DONE_PREFIX ?= "Finished executing prime pi target " -MB_DOC_FIRE_VARIABLE_DEEP += $(call mb_make_call,mb_doc_variable_deep,MB_PROJ_STEP_DONE_PREFIX,"Prefix for af phase message.") +$(call mb_make_call,mb_doc_variable_deep,MB_PROJ_STEP_DONE_PREFIX,"Prefix for af phase message.") MB_PROJ_PHASE_PROCESS_DEPS ?= -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,mb_doc_variable_flow,MB_PROJ_PHASE_PROCESS_DEPS,"The targets to trigger by project @process target.") +$(call mb_make_call,mb_doc_variable_flow,MB_PROJ_PHASE_PROCESS_DEPS,"The targets to trigger by project @process target.") MB_PROJ_PHASE_COMPILE_DEPS ?= -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,mb_doc_variable_flow,MB_PROJ_PHASE_COMPILE_DEPS,"The targets to trigger by project @compile target.") +$(call mb_make_call,mb_doc_variable_flow,MB_PROJ_PHASE_COMPILE_DEPS,"The targets to trigger by project @compile target.") MB_PROJ_PHASE_LINK_DEPS ?= -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,mb_doc_variable_flow,MB_PROJ_PHASE_LINK_DEPS,"The targets to trigger by project @link target.") +$(call mb_make_call,mb_doc_variable_flow,MB_PROJ_PHASE_LINK_DEPS,"The targets to trigger by project @link target.") MB_PROJ_PHASE_BUILD_DEPS ?= -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,mb_doc_variable_flow,MB_PROJ_PHASE_BUILD_DEPS,"The targets to trigger by project @build target.") +$(call mb_make_call,mb_doc_variable_flow,MB_PROJ_PHASE_BUILD_DEPS,"The targets to trigger by project @build target.") MB_PROJ_PHASE_TEST_DEPS ?= -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,mb_doc_variable_flow,MB_PROJ_PHASE_TEST_DEPS,"The targets to trigger by project @test target.") +$(call mb_make_call,mb_doc_variable_flow,MB_PROJ_PHASE_TEST_DEPS,"The targets to trigger by project @test target.") MB_PROJ_PHASE_PACKAGE_DEPS ?= -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,mb_doc_variable_flow,MB_PROJ_PHASE_PACKAGE_DEPS,"The targets to trigger by project @package target.") +$(call mb_make_call,mb_doc_variable_flow,MB_PROJ_PHASE_PACKAGE_DEPS,"The targets to trigger by project @package target.") MB_PROJ_PHASE_PACKAGE_QA_DEPS ?= -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,mb_doc_variable_flow,MB_PROJ_PHASE_PACKAGE_QA_DEPS,"The targets to trigger by project @package-qa target.") +$(call mb_make_call,mb_doc_variable_flow,MB_PROJ_PHASE_PACKAGE_QA_DEPS,"The targets to trigger by project @package-qa target.") MB_PROJ_PHASE_PACKAGE_DEPLOY_DEPS ?= -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,mb_doc_variable_flow,MB_PROJ_PHASE_PACKAGE_QA_DEPLOY,"The targets to trigger by project @package-deploy target.") +$(call mb_make_call,mb_doc_variable_flow,MB_PROJ_PHASE_PACKAGE_QA_DEPLOY,"The targets to trigger by project @package-deploy target.") define mb_proj_module_path_src $(1)/$(subst /,,$(subst $(1),,$(dir $(lastword $(MAKEFILE_LIST))))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_proj_module_path_src,"Convert include location folder as src folder.","") +$(call mb_make_call,mb_doc_function_deep,mb_proj_module_path_src,"Convert include location folder as src folder.","") define mb_proj_module_path_bin $(2)/$(subst /,,$(subst $(1),,$(dir $(lastword $(MAKEFILE_LIST))))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_proj_module_path_src,"Convert include location folder as bin folder."," ") +$(call mb_make_call,mb_doc_function_deep,mb_proj_module_path_src,"Convert include location folder as bin folder."," ") define mb_proj_module_local_deps $(foreach dep,$(2),$(1)/$(notdir $(dep))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_proj_module_deps,"Convert other files to local deps."," ") +$(call mb_make_call,mb_doc_function_deep,mb_proj_module_deps,"Convert other files to local deps."," ") @@ -89,7 +89,7 @@ define mb_proj_flow_setup @clean: @@clean $$(call mb_make_call,_mb_proj_run_clean,$(1)) $$(call mb_make_call,_mb_proj_flow_step_done) -MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@clean,"Clean's the project build folders.") +$$(call mb_make_call,mb_doc_target_help,@clean,"Clean's the project build folders.") .PHONY: @clean @@ -101,7 +101,7 @@ MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@clean,"Clean's the @init: @@init $$(call mb_make_call,_mb_proj_run_init,$(1)) $$(call mb_make_call,_mb_proj_flow_step_done) -MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@init,"Create the project output folders.") +$$(call mb_make_call,mb_doc_target_help,@init,"Create the project output folders.") .PHONY: @init @@ -112,7 +112,7 @@ MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@init,"Create the pr @process: @@process $$(MB_PROJ_PHASE_PROCESS_DEPS) $$(call mb_make_call,_mb_proj_flow_step_done) -MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@process,"Process sources before compiling.") +$$(call mb_make_call,mb_doc_target_help,@process,"Process sources before compiling.") .PHONY: @process @@ -123,7 +123,7 @@ MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@process,"Process so @compile: @@compile $$(MB_PROJ_PHASE_COMPILE_DEPS) $$(call mb_make_call,_mb_proj_flow_step_done) -MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@compile,"Compiles all project sources.") +$$(call mb_make_call,mb_doc_target_help,@compile,"Compiles all project sources.") .PHONY: @compile @@ -134,7 +134,7 @@ MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@compile,"Compiles a @link: @@link $$(MB_PROJ_PHASE_LINK_DEPS) $$(call mb_make_call,_mb_proj_flow_step_done) -MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@link,"Link all project intermediate files.") +$$(call mb_make_call,mb_doc_target_help,@link,"Link all project intermediate files.") .PHONY: @link @@ -145,7 +145,7 @@ MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@link,"Link all proj @build: @@build $$(MB_PROJ_PHASE_BUILD_DEPS) $$(call mb_make_call,_mb_proj_flow_step_done) -MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@build,"Build all project artifacts.") +$$(call mb_make_call,mb_doc_target_help,@build,"Build all project artifacts.") .PHONY: @build @@ -156,7 +156,7 @@ MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@build,"Build all pr @test: @@test $$(MB_PROJ_PHASE_TEST_DEPS) $$(call mb_make_call,_mb_proj_flow_step_done) -MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@test,"Run all assertion tests.") +$$(call mb_make_call,mb_doc_target_help,@test,"Run all assertion tests.") .PHONY: @test @@ -167,7 +167,7 @@ MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@test,"Run all asser @package: @@package $$(MB_PROJ_PHASE_PACKAGE_DEPS) $$(call mb_make_call,_mb_proj_flow_step_done) -MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@package,"Create all packages of project.") +$$(call mb_make_call,mb_doc_target_help,@package,"Create all packages of project.") .PHONY: @package @@ -178,7 +178,7 @@ MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@package,"Create all @package-qa: @@package-qa $$(MB_PROJ_PHASE_PACKAGE_QA_DEPS) $$(call mb_make_call,_mb_proj_flow_step_done) -MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@package-qa,"Run all packages QA of project.") +$$(call mb_make_call,mb_doc_target_help,@package-qa,"Run all packages QA of project.") .PHONY: @package-qa @@ -189,62 +189,62 @@ MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@package-qa,"Run all @package-deploy: @@package-deploy $$(MB_PROJ_PHASE_PACKAGE_DEPLOY_DEPS) $$(call mb_make_call,_mb_proj_flow_step_done) -MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@package-deploy,"Deploy all the packages.") +$$(call mb_make_call,mb_doc_target_help,@package-deploy,"Deploy all the packages.") .PHONY: @package-deploy endef -MB_DOC_FIRE_FUNCTION_FLOW += $(call mb_make_call,mb_doc_function_flow,mb_proj_flow_setup,"Prints flow of abstract project build cycle.","") +$(call mb_make_call,mb_doc_function_flow,mb_proj_flow_setup,"Prints flow of abstract project build cycle.","") define mb_proj_flow_setup_jp @クリーン: @clean $$(call mb_make_call,_mb_proj_flow_step_done) -MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@クリーン,"プロジェクトのビルドフォルダーをクリーンアップします。") +$$(call mb_make_call,mb_doc_target_help,@クリーン,"プロジェクトのビルドフォルダーをクリーンアップします。") .PHONY: @クリーン @初期化する: @init $$(call mb_make_call,_mb_proj_flow_step_done) -MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@初期化する,"モジュール出力フォルダーをセットアップして検証します。") +$$(call mb_make_call,mb_doc_target_help,@初期化する,"モジュール出力フォルダーをセットアップして検証します。") .PHONY: @@初期化する @プロセス: @初期化する @process $$(call mb_make_call,_mb_proj_flow_step_done) -MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@プロセス,"コンパイル前にソースを処理します。") +$$(call mb_make_call,mb_doc_target_help,@プロセス,"コンパイル前にソースを処理します。") .PHONY: @プロセス @コンパイル: @プロセス @compile $$(call mb_make_call,_mb_proj_flow_step_done) -MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@コンパイル,"すべてのプロジェクト ソースをコンパイルします。") +$$(call mb_make_call,mb_doc_target_help,@コンパイル,"すべてのプロジェクト ソースをコンパイルします。") .PHONY: @コンパイル @リンク: @コンパイル @link $$(call mb_make_call,_mb_proj_flow_step_done) -MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@リンク,"すべてのプロジェクト中間ファイルをリンクします。") +$$(call mb_make_call,mb_doc_target_help,@リンク,"すべてのプロジェクト中間ファイルをリンクします。") .PHONY: @リンク @建てる: @リンク @build $$(call mb_make_call,_mb_proj_flow_step_done) -MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@建てる,"すべてのプロジェクト成果物をビルドします。") +$$(call mb_make_call,mb_doc_target_help,@建てる,"すべてのプロジェクト成果物をビルドします。") .PHONY: @建てる @テスト: @建てる @test $$(call mb_make_call,_mb_proj_flow_step_done) -MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@テスト,"すべてのアサーション テストを実行します。") +$$(call mb_make_call,mb_doc_target_help,@テスト,"すべてのアサーション テストを実行します。") .PHONY: @テスト @パッケージ: @テスト @package $$(call mb_make_call,_mb_proj_flow_step_done) -MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@パッケージ,"プロジェクトのパッケージを作成します。") +$$(call mb_make_call,mb_doc_target_help,@パッケージ,"プロジェクトのパッケージを作成します。") .PHONY: @パッケージ @パッケージの品質保証: @パッケージ @package-qa $$(call mb_make_call,_mb_proj_flow_step_done) -MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@パッケージの品質保証,"すべてのパッケージを実行します。プロジェクトの品質保証。") +$$(call mb_make_call,mb_doc_target_help,@パッケージの品質保証,"すべてのパッケージを実行します。プロジェクトの品質保証。") .PHONY: @パッケージの品質保証 @パッケージのデプロイ: @パッケージの品質保証 @package-deploy $$(call mb_make_call,_mb_proj_flow_step_done) -MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@パッケージのデプロイ,"パッケージをデプロイします。") +$$(call mb_make_call,mb_doc_target_help,@パッケージのデプロイ,"パッケージをデプロイします。") .PHONY: @パッケージのデプロイ endef -MB_DOC_FIRE_FUNCTION_FLOW += $(call mb_make_call,mb_doc_function_flow,mb_proj_flow_setup_jp,"Prints flow for japanese wrapper of project build cycle.") +$(call mb_make_call,mb_doc_function_flow,mb_proj_flow_setup_jp,"Prints flow for japanese wrapper of project build cycle.") diff --git a/lib/make/mb_sdcc.mk b/lib/make/mb_sdcc.mk index 3ccdc89..b4f4161 100644 --- a/lib/make/mb_sdcc.mk +++ b/lib/make/mb_sdcc.mk @@ -1,18 +1,18 @@ MB_SDCC_FLAG_CPU ?= -mz80 -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_SDCC_FLAG_CPU,"The SDCC cpu target option.") +$(call mb_make_call,mb_doc_variable,MB_SDCC_FLAG_CPU,"The SDCC cpu target option.") MB_SDCC_FLAG_LD ?= --nostdinc -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_SDCC_FLAG_LD,"The SDCC linker option.") +$(call mb_make_call,mb_doc_variable,MB_SDCC_FLAG_LD,"The SDCC linker option.") MB_SDCC_ASZ80_FLAGS ?= -g -l -c -o -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_SDCC_ASZ80_FLAGS,"The SDCC z80 asm compiler flags.") +$(call mb_make_call,mb_doc_variable,MB_SDCC_ASZ80_FLAGS,"The SDCC z80 asm compiler flags.") MB_SDCC_CC_FLAGS ?= -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_SDCC_CC_FLAGS,"The SDCC C compiler flags.") +$(call mb_make_call,mb_doc_variable,MB_SDCC_CC_FLAGS,"The SDCC C compiler flags.") MB_SDCC_AR_FLAGS ?= -rc -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_SDCC_AR_FLAGS,"The SDCC module archive flags.") +$(call mb_make_call,mb_doc_variable,MB_SDCC_AR_FLAGS,"The SDCC module archive flags.") # TODO: Add C + mixed support; @@ -24,68 +24,68 @@ define mb_sdcc_compile_asm $(call mb_make_call,mb_make_echo_command,SDCC Compile super $(2) from ASM) $(PATH_SDCC)/sdasz80 $(MB_SDCC_ASZ80_FLAGS) $(2) $(1) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_sdcc_compile_asm,"Compiles asm source code."," ") +$(call mb_make_call,mb_doc_function,mb_sdcc_compile_asm,"Compiles asm source code."," ") define mb_sdcc_link_asm_lib $(call mb_make_call,mb_make_echo_command,SDCC Link ASM lib $(2)) $(PATH_SDCC)/sdar $(MB_SDCC_AR_FLAGS) $(1) $(2) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_sdcc_link_asm_lib,"Link asm lib module."," ") +$(call mb_make_call,mb_doc_function,mb_sdcc_link_asm_lib,"Link asm lib module."," ") define mb_sdcc_link_asm $(call mb_make_call,mb_make_echo_command,SDCC Link megaocto $(2) at $(3)) $(PATH_SDCC)/sdcc $(MB_SDCC_FLAG_CPU) $(MB_SDCC_CC_FLAGS) --no-std-crt0 --code-loc $(3) -o $(2) $(1) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_sdcc_link_asm,"Links asm to custom code location."," ") +$(call mb_make_call,mb_doc_function,mb_sdcc_link_asm,"Links asm to custom code location."," ") define mb_sdcc_link_asm_0000 $(call mb_make_call,mb_sdcc_link_asm,$(1),$(2),0x0000)rule endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_sdcc_link_asm_0000,"Links asm to 0x0000."," ") +$(call mb_make_call,mb_doc_function,mb_sdcc_link_asm_0000,"Links asm to 0x0000."," ") define mb_sdcc_link_asm_0100 $(call mb_make_call,mb_sdcc_link_asm,$(1),$(2),0x0100) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_sdcc_link_asm_0100,"Links asm to 0x0100."," ") +$(call mb_make_call,mb_doc_function,mb_sdcc_link_asm_0100,"Links asm to 0x0100."," ") define mb_sdcc_link_asm_1000 $(call mb_make_call,mb_sdcc_link_asm,$(1),$(2),0x1000) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_sdcc_link_asm_1000,"Links asm to 0x1000."," ") +$(call mb_make_call,mb_doc_function,mb_sdcc_link_asm_1000,"Links asm to 0x1000."," ") define mb_sdcc_link_asm_4000 $(call mb_make_call,mb_sdcc_link_asm,$(1),$(2),0x4000) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_sdcc_link_asm_4000,"Links asm to 0x4000."," ") +$(call mb_make_call,mb_doc_function,mb_sdcc_link_asm_4000,"Links asm to 0x4000."," ") define mb_sdcc_link_asm_8000 $(call mb_make_call,mb_sdcc_link_asm,$(1),$(2),0x8000) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_sdcc_link_asm_8000,"Links asm to 0x8000."," ") +$(call mb_make_call,mb_doc_function,mb_sdcc_link_asm_8000,"Links asm to 0x8000."," ") define mb_sdcc_link_asm_C000 $(call mb_make_call,mb_sdcc_link_asm,$(1),$(2),0xC000) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_sdcc_link_asm_C000,"Links asm to 0xC000."," ") +$(call mb_make_call,mb_doc_function,mb_sdcc_link_asm_C000,"Links asm to 0xC000."," ") define mb_sdcc_link_asm_bdos $(call mb_make_call,mb_sdcc_link_asm_0100,$(1),$(2)) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_sdcc_link_asm_bdos,"Links asm to BDOS."," ") +$(call mb_make_call,mb_doc_function,mb_sdcc_link_asm_bdos,"Links asm to BDOS."," ") define mb_sdcc_hex2bin $(call mb_make_call,mb_make_echo_command,SDCC sdobjcopy for $(2)) $(PATH_SDCC)/sdobjcopy -I ihex --output-target=binary $(1) $(2) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_sdcc_hex2bin,"Converts an hex file to binary."," ") +$(call mb_make_call,mb_doc_function,mb_sdcc_hex2bin,"Converts an hex file to binary."," ") diff --git a/lib/make/mb_tool.mk b/lib/make/mb_tool.mk index 5f58601..c622ade 100644 --- a/lib/make/mb_tool.mk +++ b/lib/make/mb_tool.mk @@ -5,81 +5,81 @@ $(1): | @init $$(call mb_make_call,mb_os_dir_create,$(1)/utils) $$(call mb_make_call,mb_msxhub_get_macro80,$(1)/utils) $$(call mb_make_call,mb_msxhub_get_z80asmuk,$(1)/utils) -MB_DOC_FIRE_TARGET_DEEP += $$(call mb_make_call,mb_doc_target_deep,$(1)) +$$(call mb_make_call,mb_doc_target_deep,$(1)) .PHONY: $(1) $(1)/$(3).mac: $(2)/$(3).mac | $(1) $$(call mb_make_call,mb_conv_unix2dos,$(2)/$(3).mac,$(1)/$(3).mac) -MB_DOC_FIRE_TARGET_DEEP += $$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).mac) +$$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).mac) MB_PROJ_PHASE_PROCESS_DEPS += $(1)/$(3).mac $(1)/$(3).rel: $(1)/$(3).mac $$(call mb_make_call,mb_msxpipe_safe_cmd,$(1),m80 $$(MB_MAKE_EQUALS)$(3)/Z) -MB_DOC_FIRE_TARGET_DEEP += $$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).rel) +$$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).rel) MB_PROJ_PHASE_COMPILE_DEPS += $(1)/$(3).rel $(1)/$(3).hex: $(1)/$(3).rel $$(call mb_make_call,mb_msxpipe_safe_cmd,$(1),l80 $(3)$$(MB_MAKE_COMMA)$(3)/N/X/Y/E) -MB_DOC_FIRE_TARGET_DEEP += $$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).hex) +$$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).hex) MB_PROJ_PHASE_LINK_DEPS += $(1)/$(3).hex $(1)/$(3).com: $(1)/$(3).hex $$(call mb_make_call,mb_msxpipe_safe_cmd,$(1),hextocom $(3)) -MB_DOC_FIRE_TARGET_DEEP += $$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).com) +$$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).com) MB_PROJ_PHASE_BUILD_DEPS += $(1)/$(3).com $(1)/@build: $(1)/$(3).com -MB_DOC_FIRE_TARGET += $$(call mb_make_call,mb_doc_target,$(1)/@build,"Builds the $(1) module.") +$$(call mb_make_call,mb_doc_target,$(1)/@build,"Builds the $(1) module.") .PHONY: $(1)/@build $(1)/@run: $(1)/@build $$(call mb_make_call,mb_msxpipe_run_gui,$(1)) -MB_DOC_FIRE_TARGET_RUN += $$(call mb_make_call,mb_doc_target_run,$(1)/@run,"Run $(3).com manually with gui.") +$$(call mb_make_call,mb_doc_target_run,$(1)/@run,"Run $(3).com manually with gui.") .PHONY: $(1)/@run endef -MB_DOC_FIRE_FUNCTION_FLOW += $(call mb_make_call,mb_doc_function_flow,mb_tool_m80_flow_bdos_mono,"Prints flow of m80 toolchain bdos mono file module."," ") +$(call mb_make_call,mb_doc_function_flow,mb_tool_m80_flow_bdos_mono,"Prints flow of m80 toolchain bdos mono file module."," ") define mb_tool_sdcc_flow_bdos_mono $(1): | @init $$(call mb_make_call,mb_os_dir_create,$(1)) -MB_DOC_FIRE_TARGET_DEEP += $$(call mb_make_call,mb_doc_target_deep,$(1)) +$$(call mb_make_call,mb_doc_target_deep,$(1)) .PHONY: $(1) $(1)/$(3).rel: $(2)/$(3).asm | $(1) $$(call mb_make_call,mb_sdcc_compile_asm,$$<,$$@) -MB_DOC_FIRE_TARGET_DEEP += $$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).rel) +$$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).rel) MB_PROJ_PHASE_COMPILE_DEPS += $(1)/$(3).rel $(1)/$(3).hex: $(1)/$(3).rel $$(call mb_make_call,mb_sdcc_link_asm_bdos,$$<,$$@) -MB_DOC_FIRE_TARGET_DEEP += $$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).hex) +$$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).hex) MB_PROJ_PHASE_LINK_DEPS += $(1)/$(3).hex $(1)/$(3).com: $(1)/$(3).hex $$(call mb_make_call,mb_sdcc_hex2bin,$$<,$$@) -MB_DOC_FIRE_TARGET_DEEP += $$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).com) +$$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).com) MB_PROJ_PHASE_BUILD_DEPS += $(1)/$(3).com $(1)/@build: $(1)/$(3).com -MB_DOC_FIRE_TARGET += $$(call mb_make_call,mb_doc_target,$(1)/@build,"Builds the $(1) module.") +$$(call mb_make_call,mb_doc_target,$(1)/@build,"Builds the $(1) module.") .PHONY: $(1)/@build $(1)/@run: $(1)/@build $$(call mb_make_call,mb_msxpipe_run_gui,$(1)) -MB_DOC_FIRE_TARGET_RUN += $$(call mb_make_call,mb_doc_target_run,$(1)/@run,"Run $(3).com manually with gui.") +$$(call mb_make_call,mb_doc_target_run,$(1)/@run,"Run $(3).com manually with gui.") .PHONY: $(1)/@run endef -MB_DOC_FIRE_FUNCTION_FLOW += $(call mb_make_call,mb_doc_function_flow,mb_tool_sdcc_flow_bdos_mono,"Prints flow of sdcc toolchain bdos mono file module."," ") +$(call mb_make_call,mb_doc_function_flow,mb_tool_sdcc_flow_bdos_mono,"Prints flow of sdcc toolchain bdos mono file module."," ") diff --git a/lib/make/msxbuild.mk b/lib/make/msxbuild.mk index ccdb41a..ef7f139 100644 --- a/lib/make/msxbuild.mk +++ b/lib/make/msxbuild.mk @@ -28,10 +28,10 @@ include $(PATH_MSXBUILD)/lib/make/mb_os.mk # Below mb_doc.mk for white space... -MB_DOC_FIRE_VARIABLE_DEEP += $(call mb_make_call,mb_doc_variable_deep,PATH_SDCC,"Path where SDCC binaries are located.") -MB_DOC_FIRE_VARIABLE_DEEP += $(call mb_make_call,mb_doc_variable_deep,PATH_OPENMSX,"Path where openMSX binary is located.") -MB_DOC_FIRE_VARIABLE_DEEP += $(call mb_make_call,mb_doc_variable_deep,PATH_MSXBUILD,"Path where msxbuild lib folder is located.") -MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,PATH_MSXBUILD_REAL,"Resolved real path of msxbuild.") +$(call mb_make_call,mb_doc_variable_deep,PATH_SDCC,"Path where SDCC binaries are located.") +$(call mb_make_call,mb_doc_variable_deep,PATH_OPENMSX,"Path where openMSX binary is located.") +$(call mb_make_call,mb_doc_variable_deep,PATH_MSXBUILD,"Path where msxbuild lib folder is located.") +$(call mb_make_call,mb_doc_variable_rock,PATH_MSXBUILD_REAL,"Resolved real path of msxbuild.") @@ -40,5 +40,5 @@ define mb_create_dist $(call mb_make_call,mb_make_echo_command,Creating distribution archive $(2)) tar -czf $(2) -C $(1) `ls $(1)` endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_create_dist,"Create an distribution archive."," ") +$(call mb_make_call,mb_doc_function,mb_create_dist,"Create an distribution archive."," ") diff --git a/src/dist-qa-dos1/0module.mk b/src/dist-qa-dos1/0module.mk index 73b6e26..55798d4 100644 --- a/src/dist-qa-dos1/0module.mk +++ b/src/dist-qa-dos1/0module.mk @@ -15,7 +15,7 @@ $(DIST_QA_DOS1_BIN)/%: $(DIST_BIN)/% | $(DIST_QA_DOS1_BIN) $(DIST_DEPS) $(DIST_QA_DOS1_BIN)/@run: | $(DIST_QA_DOS1_DEPS) $(call mb_make_call,mb_msxpipe_run_gui,$(DIST_QA_DOS1_BIN)) -MB_DOC_FIRE_TARGET_RUN += $(call mb_make_call,mb_doc_target_run,$(DIST_QA_DOS1_BIN)/@run,"Run binaries on msxdos1 machine.") +$(call mb_make_call,mb_doc_target_run,$(DIST_QA_DOS1_BIN)/@run,"Run binaries on msxdos1 machine.") .PHONY: $(DIST_QA_DOS1_BIN)/@run @@ -26,7 +26,7 @@ $(DIST_QA_DOS1_BIN)/@assert: | $(DIST_QA_DOS1_DEPS) $(call mb_make_call,mb_autoexec_append_exit,$(DIST_QA_DOS1_BIN)) $(call mb_make_call,mb_openmsx_dosctl,$(DIST_QA_DOS1_BIN)) $(call mb_make_call,mb_make_call,mb_make_echo_assert,Assert succesfully $(DIST_QA_DOS1_BIN)/@assert) -MB_DOC_FIRE_TARGET += $(call mb_make_call,mb_doc_target,$(DIST_QA_DOS1_BIN)/@assert,"Assert binaries on msxdos1.") +$(call mb_make_call,mb_doc_target,$(DIST_QA_DOS1_BIN)/@assert,"Assert binaries on msxdos1.") MB_PROJ_PHASE_PACKAGE_QA_DEPS += $(DIST_QA_DOS1_BIN)/@assert .PHONY: $(DIST_QA_DOS1_BIN)/@assert diff --git a/src/dist-qa-dos2/0module.mk b/src/dist-qa-dos2/0module.mk index 59596c5..b09458e 100644 --- a/src/dist-qa-dos2/0module.mk +++ b/src/dist-qa-dos2/0module.mk @@ -15,7 +15,7 @@ $(DIST_QA_DOS2_BIN)/%: $(DIST_BIN)/% | $(DIST_QA_DOS2_BIN) $(DIST_DEPS) $(DIST_QA_DOS2_BIN)/@run: | $(DIST_QA_DOS2_DEPS) $(call mb_make_call,mb_msxpipe_run_gui,$(DIST_QA_DOS2_BIN)) -MB_DOC_FIRE_TARGET_RUN += $(call mb_make_call,mb_doc_target_run,$(DIST_QA_DOS2_BIN)/@run,"Run binaries on msxdos2 machine.") +$(call mb_make_call,mb_doc_target_run,$(DIST_QA_DOS2_BIN)/@run,"Run binaries on msxdos2 machine.") .PHONY: $(DIST_QA_DOS2_BIN)/@run @@ -30,7 +30,7 @@ $(DIST_QA_DOS2_BIN)/@assert: | $(DIST_QA_DOS2_DEPS) grep -q "M80: Hello world..." $(DIST_QA_DOS2_BIN)/ahello1.out grep -q "SDCC: Hello world..." $(DIST_QA_DOS2_BIN)/ahello2.out $(call mb_make_call,mb_make_echo_assert,Assert succesfully $(DIST_QA_DOS2_BIN)/@assert) -MB_DOC_FIRE_TARGET += $(call mb_make_call,mb_doc_target,$(DIST_QA_DOS2_BIN)/@assert,"Assert binaries on msxdos2.") +$(call mb_make_call,mb_doc_target,$(DIST_QA_DOS2_BIN)/@assert,"Assert binaries on msxdos2.") MB_PROJ_PHASE_PACKAGE_QA_DEPS += $(DIST_QA_DOS2_BIN)/@assert .PHONY: $(DIST_QA_DOS2_BIN)/@assert diff --git a/src/dist-qa-msx1/0module.mk b/src/dist-qa-msx1/0module.mk index 8b8807b..28f8652 100644 --- a/src/dist-qa-msx1/0module.mk +++ b/src/dist-qa-msx1/0module.mk @@ -17,7 +17,7 @@ $(DIST_QA_MSX1_BIN)/%: $(DIST_BIN)/% | $(DIST_QA_MSX1_BIN) $(DIST_DEPS) $(DIST_QA_MSX1_BIN)/@run: | $(DIST_QA_MSX1_DEPS) $(call mb_make_call,mb_msxpipe_run_gui,$(DIST_QA_MSX1_BIN),,$(DIST_QA_MSX1_MACHINE)) -MB_DOC_FIRE_TARGET_RUN += $(call mb_make_call,mb_doc_target_run,$(DIST_QA_MSX1_BIN)/@run,"Run binaries on MSX1 machine.") +$(call mb_make_call,mb_doc_target_run,$(DIST_QA_MSX1_BIN)/@run,"Run binaries on MSX1 machine.") .PHONY: $(DIST_QA_MSX1_BIN)/@run @@ -32,7 +32,7 @@ $(DIST_QA_MSX1_BIN)/@assert: | $(DIST_QA_MSX1_DEPS) grep -q "M80: Hello world..." $(DIST_QA_MSX1_BIN)/ahello1.out grep -q "SDCC: Hello world..." $(DIST_QA_MSX1_BIN)/ahello2.out $(call mb_make_call,mb_make_echo_assert,Assert succesfully $(DIST_QA_MSX1_BIN)/@assert) -MB_DOC_FIRE_TARGET += $(call mb_make_call,mb_doc_target,$(DIST_QA_MSX1_BIN)/@assert,"Assert binaries on MSX1 machine.") +$(call mb_make_call,mb_doc_target,$(DIST_QA_MSX1_BIN)/@assert,"Assert binaries on MSX1 machine.") MB_PROJ_PHASE_PACKAGE_QA_DEPS += $(DIST_QA_MSX1_BIN)/@assert .PHONY: $(DIST_QA_MSX1_BIN)/@assert diff --git a/src/dist-qa-msxhub/0module.mk b/src/dist-qa-msxhub/0module.mk index a80d3f6..0fefa8f 100644 --- a/src/dist-qa-msxhub/0module.mk +++ b/src/dist-qa-msxhub/0module.mk @@ -39,7 +39,7 @@ $(DIST_QA_MSXHUB_BIN)/%: $(DIST_BIN)/% | $(DIST_QA_MSXHUB_BIN) $(DIST_DEPS) $(DIST_QA_MSXHUB_BIN)/@run: | $(DIST_QA_MSXHUB_DEPS) $(call mb_make_call,mb_msxpipe_run_gui,$(DIST_QA_MSXHUB_BIN),,$(DIST_QA_MSXHUB_MACHINE)) -MB_DOC_FIRE_TARGET_RUN += $(call mb_make_call,mb_doc_target_run,$(DIST_QA_MSXHUB_BIN)/@run,"Run the dist with all msxhub packages.") +$(call mb_make_call,mb_doc_target_run,$(DIST_QA_MSXHUB_BIN)/@run,"Run the dist with all msxhub packages.") .PHONY: $(DIST_QA_MSXHUB_BIN)/@run @@ -58,7 +58,7 @@ $(DIST_QA_MSXHUB_BIN)/@assert: | $(DIST_QA_MSXHUB_DEPS) grep -q "KONPASS COM" $(DIST_QA_MSXHUB_BIN)/utils.out grep -q "MAKE COM" $(DIST_QA_MSXHUB_BIN)/utils.out $(call mb_make_call,mb_make_echo_assert,Assert succesfully $(DIST_QA_MSXHUB_BIN)/@assert) -MB_DOC_FIRE_TARGET += $(call mb_make_call,mb_doc_target,$(DIST_QA_MSXHUB_BIN)/@assert,"Asserts that all dist artifacts execute results.") +$(call mb_make_call,mb_doc_target,$(DIST_QA_MSXHUB_BIN)/@assert,"Asserts that all dist artifacts execute results.") MB_PROJ_PHASE_TEST_DEPS += $(DIST_QA_MSXHUB_BIN)/@assert .PHONY: $(DIST_QA_MSXHUB_BIN)/@assert diff --git a/src/dist/0module.mk b/src/dist/0module.mk index ee7062c..fbc783b 100644 --- a/src/dist/0module.mk +++ b/src/dist/0module.mk @@ -19,10 +19,10 @@ $(DIST_BIN)/ahello2.com: bin/ahello-sdcc/ahello.com | $(DIST_BIN) $(call mb_make_call,mb_os_file_copy,$<,$@) $(DIST_BIN)/@prepare: | $(DIST_DEPS) -MB_DOC_FIRE_TARGET += $(call mb_doc_target,$(DIST_BIN)/@prepare,"Prepare folder with binaries for distribution.") +$(call mb_make_call,mb_doc_target,$(DIST_BIN)/@prepare,"Prepare folder with binaries for distribution.") .PHONY: $(DIST_BIN)/@prepare $(DIST_OUT): | $(DIST_BIN)/@prepare $(call mb_make_call,mb_create_dist,$(DIST_BIN),$@) -MB_DOC_FIRE_TARGET += $(call mb_doc_target,$(DIST_OUT),"Build archive distribution artifact.") +$(call mb_make_call,mb_doc_target,$(DIST_OUT),"Build archive distribution artifact.") MB_PROJ_PHASE_PACKAGE_DEPS += $(DIST_OUT) diff --git a/src/make-on-msx/0module.mk b/src/make-on-msx/0module.mk index 353c5c7..1d2793f 100644 --- a/src/make-on-msx/0module.mk +++ b/src/make-on-msx/0module.mk @@ -9,42 +9,42 @@ $(MAKE_ON_MSX_BIN): | @init $(call mb_make_call,mb_msxhub_get_make,$(MAKE_ON_MSX_BIN)/utils) $(call mb_make_call,mb_msxhub_get_macro80,$(MAKE_ON_MSX_BIN)/utils) $(call mb_make_call,mb_msxhub_get_z80asmuk,$(MAKE_ON_MSX_BIN)/utils) -MB_DOC_FIRE_TARGET_DEEP += $(call mb_make_call,mb_doc_target_deep,$(MAKE_ON_MSX_BIN)) +$(call mb_make_call,mb_doc_target_deep,$(MAKE_ON_MSX_BIN)) .PHONY: $(MAKE_ON_MSX_BIN) $(MAKE_ON_MSX_BIN)/nfbsshot.mac: $(MAKE_ON_MSX_SRC)/nfbsshot.mac | $(MAKE_ON_MSX_BIN) $(call mb_make_call,mb_conv_unix2dos,$<,$@) -MB_DOC_FIRE_TARGET_DEEP += $(call mb_make_call,mb_doc_target_deep,$(MAKE_ON_MSX_BIN)/nfbsshot.mac) +$(call mb_make_call,mb_doc_target_deep,$(MAKE_ON_MSX_BIN)/nfbsshot.mac) MB_PROJ_PHASE_PROCESS_DEPS += $(MAKE_ON_MSX_BIN)/nfbsshot.mac $(MAKE_ON_MSX_BIN)/nfbsshot.mf: $(MAKE_ON_MSX_SRC)/nfbsshot.mf $(MAKE_ON_MSX_BIN)/nfbsshot.mac $(call mb_make_call,mb_conv_unix2dos,$<,$@) -MB_DOC_FIRE_TARGET_DEEP += $(call mb_make_call,mb_doc_target_deep,$(MAKE_ON_MSX_BIN)/nfbsshot.mf) +$(call mb_make_call,mb_doc_target_deep,$(MAKE_ON_MSX_BIN)/nfbsshot.mf) MB_PROJ_PHASE_PROCESS_DEPS += $(MAKE_ON_MSX_BIN)/nfbsshot.mf $(MAKE_ON_MSX_BIN)/build.bat: $(MAKE_ON_MSX_SRC)/build.bat $(MAKE_ON_MSX_BIN)/nfbsshot.mf $(call mb_make_call,mb_conv_unix2dos,$<,$@) -MB_DOC_FIRE_TARGET_DEEP += $(call mb_make_call,mb_doc_target_deep,$(MAKE_ON_MSX_BIN)/build.bat) +$(call mb_make_call,mb_doc_target_deep,$(MAKE_ON_MSX_BIN)/build.bat) MB_PROJ_PHASE_PROCESS_DEPS += $(MAKE_ON_MSX_BIN)/build.bat $(MAKE_ON_MSX_BIN)/nfbsshot.bin: $(MAKE_ON_MSX_BIN)/build.bat $(call mb_make_call,mb_msxpipe_safe_cmd,$(MAKE_ON_MSX_BIN),build shutdown) -MB_DOC_FIRE_TARGET_DEEP += $(call mb_make_call,mb_doc_target_deep,$(MAKE_ON_MSX_BIN)/nfbsshot.bin) +$(call mb_make_call,mb_doc_target_deep,$(MAKE_ON_MSX_BIN)/nfbsshot.bin) $(MAKE_ON_MSX_BIN)/@build: $(MAKE_ON_MSX_BIN)/nfbsshot.bin -MB_DOC_FIRE_TARGET += $(call mb_make_call,mb_doc_target,$(MAKE_ON_MSX_BIN)/@build,"Compiles binary with make on msx.") +$(call mb_make_call,mb_doc_target,$(MAKE_ON_MSX_BIN)/@build,"Compiles binary with make on msx.") MB_PROJ_PHASE_BUILD_DEPS +=$(MAKE_ON_MSX_BIN)/@build .PHONY: $(MAKE_ON_MSX_BIN)/@build $(MAKE_ON_MSX_BIN)/@run: $(MAKE_ON_MSX_BIN)/@build $(call mb_make_call,mb_msxpipe_run_gui,$(MAKE_ON_MSX_BIN)) -MB_DOC_FIRE_TARGET_RUN += $(call mb_make_call,mb_doc_target_run,$(MAKE_ON_MSX_BIN)/@run,"Run the make build manually.") +$(call mb_make_call,mb_doc_target_run,$(MAKE_ON_MSX_BIN)/@run,"Run the make build manually.") .PHONY: $(MAKE_ON_MSX_BIN)/@run diff --git a/src/mbboot80/0module.mk b/src/mbboot80/0module.mk index 762ccde..bd5e27c 100644 --- a/src/mbboot80/0module.mk +++ b/src/mbboot80/0module.mk @@ -9,6 +9,6 @@ $(call mb_make_call_eval,mb_assert_flow_bdos_grep,$(MBBOOT80_BIN),check-boot80,m $(MBBOOT80_BIN)/@release-local: | $(MBBOOT80_BIN)/@build $(call mb_make_call,mb_os_file_copy,$(MBBOOT80_BIN)/mbboot80.com,lib/emuctl/mbboot80.com) -MB_DOC_HELP_TARGET += $(call mb_make_call,mb_doc_target,$(MBBOOT80_BIN)/@release-local,"Updates binary copy in lib/emuctl folder.") +$(call mb_make_call,mb_doc_target,$(MBBOOT80_BIN)/@release-local,"Updates binary copy in lib/emuctl folder.") .PHONY: $(MBBOOT80_BIN)/@release-local From 183b0908aab20906a379ce5df42a91784793f3d2 Mon Sep 17 00:00:00 2001 From: Willem Date: Sun, 7 Jul 2024 21:34:56 +0200 Subject: [PATCH 158/274] Removed builtin rules and left over fix. --- Makefile | 2 ++ lib/make/mb_assert.mk | 4 ++-- 2 files changed, 4 insertions(+), 2 deletions(-) diff --git a/Makefile b/Makefile index 7b0ab7f..ebd5043 100644 --- a/Makefile +++ b/Makefile @@ -5,6 +5,8 @@ # Configure make, can't use tabs here, than no indenting, # as white space is not for typing multiple white spaces. +MAKEFLAGS += --no-builtin-rules +MAKEFLAGS += --no-builtin-variables .SUFFIXES: .PHONY: Makefile ifdef VERBOSE diff --git a/lib/make/mb_assert.mk b/lib/make/mb_assert.mk index 36e9b86..83fa70e 100644 --- a/lib/make/mb_assert.mk +++ b/lib/make/mb_assert.mk @@ -3,7 +3,7 @@ define mb_assert_flow_grep_binary $(1)/@assert-$(2): $(1)/@build grep -q -U $(4) $(1)/$(3) $$(call mb_make_call,mb_make_echo_assert,Assert succesfully @assert-$(2)) -MB_DOC_FIRE_TARGET += $$(call mb_make_call,mb_doc_target,$(1)/@assert-$(2),"Asserts that $(3) output matches.") +$$(call mb_make_call,mb_doc_target,$(1)/@assert-$(2),"Asserts that $(3) output matches.") MB_PROJ_PHASE_TEST_DEPS += $(1)/@assert-$(2) .PHONY: $(1)/@assert-$(2) endef @@ -17,7 +17,7 @@ $(1)-test-$(2)/@assert: $(1)/@build $$(call mb_make_call,mb_msxpipe_safe_test,$(1)-test-$(2),$(3) > $(3).out) grep -q $(4) $(1)-test-$(2)/$(3).out $$(call mb_make_call,mb_make_echo_assert,Assert succesfully $(1)-test-$(2)/@assert) -MB_DOC_FIRE_TARGET += $$(call mb_make_call,mb_doc_target,$(1)-test-$(2)/@assert,"Asserts that $(3) output matches.") +$$(call mb_make_call,mb_doc_target,$(1)-test-$(2)/@assert,"Asserts that $(3) output matches.") MB_PROJ_PHASE_TEST_DEPS += $(1)-test-$(2)/@assert .PHONY: $(1)-test-$(2)/@assert endef From 8a07c9de8d5ed33392d68c83105611a8117d3d7d Mon Sep 17 00:00:00 2001 From: Willem Date: Sun, 7 Jul 2024 23:26:47 +0200 Subject: [PATCH 159/274] Moved all eval over own function for argument validation. --- Makefile | 11 +++++------ README.md | 8 +++----- lib/make/mb_assert.mk | 18 ++++++++++++++++-- lib/make/mb_doc.mk | 6 +++++- lib/make/mb_flight.mk | 6 +++++- lib/make/mb_make.mk | 12 +++++------- lib/make/mb_proj.mk | 27 +++++++++++++++++---------- lib/make/mb_tool.mk | 16 ++++++++++++++-- src/ahello-m80/0module.mk | 6 +++--- src/ahello-sdcc/0module.mk | 6 +++--- src/mbboot80/0module.mk | 4 ++-- 11 files changed, 78 insertions(+), 42 deletions(-) diff --git a/Makefile b/Makefile index ebd5043..6403feb 100644 --- a/Makefile +++ b/Makefile @@ -100,11 +100,10 @@ endif # Generate project flow include $(PATH_MSXBUILD)/lib/make/msxbuild.mk -$(call mb_make_call_eval,mb_doc_flow_help,MSXBUILD-Example) -$(call mb_make_call_eval,mb_make_flow_0module,$(PATH_SRC)) -$(call mb_make_call_eval,mb_proj_flow_setup,$(PATH_BIN)) -$(call mb_make_call_eval,mb_flight_proj_flow_video,$(PATH_BIN)) - +$(call mb_make_call,mb_doc_flow_help,MSXBUILD-Example) +$(call mb_make_call,mb_make_flow_0module,$(PATH_SRC)) +$(call mb_make_call,mb_proj_flow_setup,$(PATH_BIN)) +$(call mb_make_call,mb_flight_proj_flow_video,$(PATH_BIN)) # Add japanese support ifdef LANG_JP @@ -115,6 +114,6 @@ MB_PROJ_STEP_AFTER_PREFIX = "フェーズ後 " MB_PROJ_STEP_DONE_PREFIX = "プライムパイターゲットの実行を終了しました " MB_OPENMSX_LOG_PREFIX = "オープンメッカシステム拡張を開始しましょう!のために" MB_AUTOEXEC_LOG_PREFIX = "自動実行の書き込み" -$(call mb_make_call_eval,mb_proj_flow_setup_jp) +$(call mb_make_call,mb_proj_flow_setup_jp) endif diff --git a/README.md b/README.md index f13341e..d0ae916 100644 --- a/README.md +++ b/README.md @@ -148,11 +148,9 @@ When you want to see whats happening do a debug run; * Add function `call_real` which exits on undefined call argument * Add -e option to make internal echo command for escaped sequences * Add native OS functions for `os_copy,os_rmfile,rmdir,mkdir,touch,delfile,deldir` -* Add string str_lenght function -* Add string str_is_ascii function (death with unicode) -* Add str_lowercase and str_uppercase functions (full 21bit unicode support) -* Add `call_eval` fail on undefined argument and puts result in eval to parse flow rules -* Add `define_eval` which reverses dollar escaping so write $$(1) for $(1) and other are like normal +* Add string letters function +* Add string isascii function (death with unicode) +* Add lowercase and uppercase functions (full 21bit unicode support) * Add XML output for help system for integration with IDE's * Add rwildcard for deeper searches * Add namespace support for variables/functions/targets like: moduleX::bin/prog.o: moduleX::src/prog.c diff --git a/lib/make/mb_assert.mk b/lib/make/mb_assert.mk index 83fa70e..69d28ff 100644 --- a/lib/make/mb_assert.mk +++ b/lib/make/mb_assert.mk @@ -1,5 +1,5 @@ -define mb_assert_flow_grep_binary +define __mb_assert_flow_grep_binary $(1)/@assert-$(2): $(1)/@build grep -q -U $(4) $(1)/$(3) $$(call mb_make_call,mb_make_echo_assert,Assert succesfully @assert-$(2)) @@ -7,10 +7,17 @@ $$(call mb_make_call,mb_doc_target,$(1)/@assert-$(2),"Asserts that $(3) output m MB_PROJ_PHASE_TEST_DEPS += $(1)/@assert-$(2) .PHONY: $(1)/@assert-$(2) endef +define mb_assert_flow_grep_binary + $(if $(1),,$(error Argument 1 missing)) + $(if $(2),,$(error Argument 2 missing)) + $(if $(3),,$(error Argument 3 missing)) + $(if $(4),,$(error Argument 4 missing)) + $(eval $(call mb_make_call,__mb_assert_flow_grep_binary,$(1),$(2),$(3),$(4))) +endef $(call mb_make_call,mb_doc_function_flow,mb_assert_flow_grep_binary,"Prints flow of single binary grep assert."," ") #$$(call mb_delete,$(1)/$(3).out) -define mb_assert_flow_bdos_grep +define __mb_assert_flow_bdos_grep $(1)-test-$(2)/@assert: $(1)/@build $$(call mb_make_call,mb_os_dir_create,$(1)-test-$(2)) $$(call mb_make_call,mb_os_file_copy,$(1)/$(3).com,$(1)-test-$(2)) @@ -21,4 +28,11 @@ $$(call mb_make_call,mb_doc_target,$(1)-test-$(2)/@assert,"Asserts that $(3) out MB_PROJ_PHASE_TEST_DEPS += $(1)-test-$(2)/@assert .PHONY: $(1)-test-$(2)/@assert endef +define mb_assert_flow_bdos_grep + $(if $(1),,$(error mb_assert_flow_bdos_grep: Argument 1 missing)) + $(if $(2),,$(error Argument 2 missing)) + $(if $(3),,$(error Argument 3 missing)) + $(if $(4),,$(error Argument 4 missing)) + $(eval $(call mb_make_call,__mb_assert_flow_bdos_grep,$(1),$(2),$(3),$(4))) +endef $(call mb_make_call,mb_doc_function_flow,mb_assert_flow_bdos_grep,"Prints flow of single grep assert."," ") diff --git a/lib/make/mb_doc.mk b/lib/make/mb_doc.mk index 92e5e50..20e75bb 100644 --- a/lib/make/mb_doc.mk +++ b/lib/make/mb_doc.mk @@ -137,7 +137,7 @@ endef MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_target_run,"Prints formatted documention of an run target."," [desc]") -define mb_doc_flow_help +define __mb_doc_flow_help @help: $$(if $$(filter txt,$$(MB_DOC_FORMAT)),@echo "Welcome to the $$(if $(1),$(1),MSXBUILD) help system.\\n\\nFor detailed fire help use one of the following targets;\\n") $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_xml_open,$$(MB_DOC_XML_ROOT))) @@ -272,5 +272,9 @@ $$(call mb_make_call,mb_doc_target_help,@help-all,"Lists all documented informat $$(call mb_make_call,mb_doc_target_help,@help-firemake,"Output all documention in XML for IDE integration.") .PHONY: @help-firemake endef +define mb_doc_flow_help + $(if $(1),,$(error $(1) missing)) + $(eval $(call mb_make_call,__mb_doc_flow_help,$(1))) +endef MB_DOC_FIRE_FUNCTION_FLOW += $(call mb_make_call,_mb_doc_function4u_flow,mb_doc_flow_help,"Prints flow of fire help target.","[project-name]") diff --git a/lib/make/mb_flight.mk b/lib/make/mb_flight.mk index 7704da1..f8b1b56 100644 --- a/lib/make/mb_flight.mk +++ b/lib/make/mb_flight.mk @@ -27,7 +27,7 @@ endef $(call mb_make_call,mb_doc_function,mb_flight_video_merge,"Merged all flight videos to one.","") -define mb_flight_proj_flow_video +define __mb_flight_proj_flow_video @@flight-video-build: @build $$(if $$(filter on,$$(MB_FLIGHT_VIDEO)),$$(call mb_make_call,mb_flight_video_merge,$(1))) @@ -63,5 +63,9 @@ $$(call mb_make_call,mb_doc_target_help,@flight-video-test,"Runs @clean and @tes $$(call mb_make_call,mb_doc_target_help,@flight-video-package-qa,"Runs @clean and @package-qa with recorder and merges flight videos to one.") .PHONY: @flight-video-package-qa endef +define mb_flight_proj_flow_video + $(if $(1),,$(error $(1) missing)) + $(eval $(call mb_make_call,__mb_flight_proj_flow_video,$(1))) +endef $(call mb_make_call,mb_doc_function_flow,mb_flight_proj_flow_video,"Prints flow for adding flight video targets in project build cycle.","") diff --git a/lib/make/mb_make.mk b/lib/make/mb_make.mk index 18f5e39..e175419 100644 --- a/lib/make/mb_make.mk +++ b/lib/make/mb_make.mk @@ -54,12 +54,6 @@ endef $(call mb_make_call,mb_doc_function_deep,mb_make_call,"Checked origin call function wrapper."," [args...]") -define mb_make_call_eval -$(eval $(call mb_make_call,$(1),$(2),$(3),$(4),$(5),$(6),$(7),$(8),$(9))) -endef -$(call mb_make_call,mb_doc_function_deep,mb_make_call_eval,"Eval and origin checked flow injector."," [args...]") - - define mb_make_lowercase $(subst A,a,$(subst B,b,$(subst C,c,$(subst D,d,$(subst E,e,$(subst F,f,$(subst G,g,$(subst H,h,$(subst I,i,$(subst J,j,$(subst K,k,$(subst L,l,$(subst M,m,$(subst N,n,$(subst O,o,$(subst P,p,$(subst Q,q,$(subst R,r,$(subst S,s,$(subst T,t,$(subst U,u,$(subst V,v,$(subst W,w,$(subst X,x,$(subst Y,y,$(subst Z,z,$(1))))))))))))))))))))))))))) endef @@ -96,9 +90,13 @@ endef $(call mb_make_call,mb_doc_function_deep,mb_make_xml_tag_value,"Print xml tag with value inside."," [value]") -define mb_make_flow_0module +define __mb_make_flow_0module include $$(call mb_make_call,mb_make_rwildcard,$(1),*/0module.mk) endef +define mb_make_flow_0module + $(if $(1),,$(error $(1) missing)) + $(eval $(call mb_make_call,__mb_make_flow_0module,$(1))) +endef $(call mb_make_call,mb_doc_function_flow,mb_make_flow_0module,"Prints flow of recursive 0module.mk include.","") diff --git a/lib/make/mb_proj.mk b/lib/make/mb_proj.mk index 26de6af..ea809a8 100644 --- a/lib/make/mb_proj.mk +++ b/lib/make/mb_proj.mk @@ -63,24 +63,24 @@ $(call mb_make_call,mb_doc_function_deep,mb_proj_module_deps,"Convert other file define _mb_proj_flow_step_before - $(call mb_make_call,mb_make_echo_phase,$(MB_PROJ_STEP_BEFORE_PREFIX)$@) +$(call mb_make_call,mb_make_echo_phase,$(MB_PROJ_STEP_BEFORE_PREFIX)$@) endef define _mb_proj_flow_step_done - $(call mb_make_call,mb_make_echo_phase,$(MB_PROJ_STEP_AFTER_PREFIX)$@) - $(if $(filter $@,$(MAKECMDGOALS)),$(call mb_make_call,mb_make_echo_good,$(MB_PROJ_STEP_DONE_PREFIX)$@)) +$(call mb_make_call,mb_make_echo_phase,$(MB_PROJ_STEP_AFTER_PREFIX)$@) +$(if $(filter $@,$(MAKECMDGOALS)),$(call mb_make_call,mb_make_echo_good,$(MB_PROJ_STEP_DONE_PREFIX)$@)) endef define _mb_proj_run_clean - $(foreach folder,$(1),$(if $(wildcard $(folder)),$(call mb_make_call,mb_make_echo_command,Removing folder $(folder));)) - $(foreach folder,$(1),$(if $(wildcard $(folder)),$(call mb_make_call,mb_os_dir_delete,$(folder)))) +$(foreach folder,$(1),$(if $(wildcard $(folder)),$(call mb_make_call,mb_make_echo_command,Removing folder $(folder));)) +$(foreach folder,$(1),$(if $(wildcard $(folder)),$(call mb_make_call,mb_os_dir_delete,$(folder)))) endef define _mb_proj_run_init - $(foreach folder,$(1),$(if $(wildcard $(folder)),,$(call mb_make_call,mb_make_echo_command,Creating folder $(folder));)) - $(foreach folder,$(1),$(if $(wildcard $(folder)),,$(call mb_make_call,mb_os_dir_create,$(folder)))) +$(foreach folder,$(1),$(if $(wildcard $(folder)),,$(call mb_make_call,mb_make_echo_command,Creating folder $(folder));)) +$(foreach folder,$(1),$(if $(wildcard $(folder)),,$(call mb_make_call,mb_os_dir_create,$(folder)))) endef -define mb_proj_flow_setup +define __mb_proj_flow_setup @@clean: $$(call mb_make_call,_mb_proj_flow_step_before) .PHONY: @@clean @@ -188,14 +188,18 @@ $$(call mb_make_call,mb_doc_target_help,@package-qa,"Run all packages QA of proj @package-deploy: @@package-deploy $$(MB_PROJ_PHASE_PACKAGE_DEPLOY_DEPS) - $$(call mb_make_call,_mb_proj_flow_step_done) + $$(call mb_make_call,__mb_proj_flow_step_done) $$(call mb_make_call,mb_doc_target_help,@package-deploy,"Deploy all the packages.") .PHONY: @package-deploy endef +define mb_proj_flow_setup + $(if $(1),,$(error $(1) missing)) + $(eval $(call mb_make_call,__mb_proj_flow_setup,$(1))) +endef $(call mb_make_call,mb_doc_function_flow,mb_proj_flow_setup,"Prints flow of abstract project build cycle.","") -define mb_proj_flow_setup_jp +define __mb_proj_flow_setup_jp @クリーン: @clean $$(call mb_make_call,_mb_proj_flow_step_done) $$(call mb_make_call,mb_doc_target_help,@クリーン,"プロジェクトのビルドフォルダーをクリーンアップします。") @@ -246,5 +250,8 @@ $$(call mb_make_call,mb_doc_target_help,@パッケージの品質保証,"すべ $$(call mb_make_call,mb_doc_target_help,@パッケージのデプロイ,"パッケージをデプロイします。") .PHONY: @パッケージのデプロイ endef +define mb_proj_flow_setup_jp + $(eval $(call mb_make_call,__mb_proj_flow_setup_jp)) +endef $(call mb_make_call,mb_doc_function_flow,mb_proj_flow_setup_jp,"Prints flow for japanese wrapper of project build cycle.") diff --git a/lib/make/mb_tool.mk b/lib/make/mb_tool.mk index c622ade..b14be5a 100644 --- a/lib/make/mb_tool.mk +++ b/lib/make/mb_tool.mk @@ -1,5 +1,5 @@ -define mb_tool_m80_flow_bdos_mono +define __mb_tool_m80_flow_bdos_mono $(1): | @init $$(call mb_make_call,mb_os_dir_create,$(1)) $$(call mb_make_call,mb_os_dir_create,$(1)/utils) @@ -43,10 +43,16 @@ $(1)/@run: $(1)/@build $$(call mb_make_call,mb_doc_target_run,$(1)/@run,"Run $(3).com manually with gui.") .PHONY: $(1)/@run endef +define mb_tool_m80_flow_bdos_mono + $(if $(1),,$(error Argument 1 missing)) + $(if $(2),,$(error Argument 2 missing)) + $(if $(3),,$(error Argument 3 missing)) + $(eval $(call mb_make_call,__mb_tool_m80_flow_bdos_mono,$(1),$(2),$(3))) +endef $(call mb_make_call,mb_doc_function_flow,mb_tool_m80_flow_bdos_mono,"Prints flow of m80 toolchain bdos mono file module."," ") -define mb_tool_sdcc_flow_bdos_mono +define __mb_tool_sdcc_flow_bdos_mono $(1): | @init $$(call mb_make_call,mb_os_dir_create,$(1)) $$(call mb_make_call,mb_doc_target_deep,$(1)) @@ -81,5 +87,11 @@ $(1)/@run: $(1)/@build $$(call mb_make_call,mb_doc_target_run,$(1)/@run,"Run $(3).com manually with gui.") .PHONY: $(1)/@run endef +define mb_tool_sdcc_flow_bdos_mono + $(if $(1),,$(error Argument 1 missing)) + $(if $(2),,$(error Argument 2 missing)) + $(if $(3),,$(error Argument 3 missing)) + $(eval $(call mb_make_call,__mb_tool_sdcc_flow_bdos_mono,$(1),$(2),$(3))) +endef $(call mb_make_call,mb_doc_function_flow,mb_tool_sdcc_flow_bdos_mono,"Prints flow of sdcc toolchain bdos mono file module."," ") diff --git a/src/ahello-m80/0module.mk b/src/ahello-m80/0module.mk index 97f6f55..b1fd34b 100644 --- a/src/ahello-m80/0module.mk +++ b/src/ahello-m80/0module.mk @@ -3,7 +3,7 @@ AHELLO_M80_SRC := $(call mb_make_call,mb_proj_module_path_src,$(PATH_SRC)) AHELLO_M80_BIN := $(call mb_make_call,mb_proj_module_path_bin,$(PATH_SRC),$(PATH_BIN)) -$(call mb_make_call_eval,mb_tool_m80_flow_bdos_mono,$(AHELLO_M80_BIN),$(AHELLO_M80_SRC),ahello) -$(call mb_make_call_eval,mb_assert_flow_bdos_grep,$(AHELLO_M80_BIN),check-m80,ahello,M80) -$(call mb_make_call_eval,mb_assert_flow_bdos_grep,$(AHELLO_M80_BIN),check-txt,ahello,"o world...") +$(call mb_make_call,mb_tool_m80_flow_bdos_mono,$(AHELLO_M80_BIN),$(AHELLO_M80_SRC),ahello) +$(call mb_make_call,mb_assert_flow_bdos_grep,$(AHELLO_M80_BIN),check-m80,ahello,M80) +$(call mb_make_call,mb_assert_flow_bdos_grep,$(AHELLO_M80_BIN),check-txt,ahello,"o world...") diff --git a/src/ahello-sdcc/0module.mk b/src/ahello-sdcc/0module.mk index 2a23b60..c8c5d83 100644 --- a/src/ahello-sdcc/0module.mk +++ b/src/ahello-sdcc/0module.mk @@ -3,7 +3,7 @@ AHELLO_SDCC_SRC := $(call mb_make_call,mb_proj_module_path_src,$(PATH_SRC)) AHELLO_SDCC_BIN := $(call mb_make_call,mb_proj_module_path_bin,$(PATH_SRC),$(PATH_BIN)) -$(call mb_make_call_eval,mb_tool_sdcc_flow_bdos_mono,$(AHELLO_SDCC_BIN),$(AHELLO_SDCC_SRC),ahello) -$(call mb_make_call_eval,mb_assert_flow_bdos_grep,$(AHELLO_SDCC_BIN),check-sdcc,ahello,SDCC) -$(call mb_make_call_eval,mb_assert_flow_bdos_grep,$(AHELLO_SDCC_BIN),check-hell,ahello,Hell) +$(call mb_make_call,mb_tool_sdcc_flow_bdos_mono,$(AHELLO_SDCC_BIN),$(AHELLO_SDCC_SRC),ahello) +$(call mb_make_call,mb_assert_flow_bdos_grep,$(AHELLO_SDCC_BIN),check-sdcc,ahello,SDCC) +$(call mb_make_call,mb_assert_flow_bdos_grep,$(AHELLO_SDCC_BIN),check-hell,ahello,Hell) diff --git a/src/mbboot80/0module.mk b/src/mbboot80/0module.mk index bd5e27c..6534d0d 100644 --- a/src/mbboot80/0module.mk +++ b/src/mbboot80/0module.mk @@ -3,8 +3,8 @@ MBBOOT80_SRC := $(call mb_make_call,mb_proj_module_path_src,$(PATH_SRC)) MBBOOT80_BIN := $(call mb_make_call,mb_proj_module_path_bin,$(PATH_SRC),$(PATH_BIN)) -$(call mb_make_call_eval,mb_tool_sdcc_flow_bdos_mono,$(MBBOOT80_BIN),$(MBBOOT80_SRC),mbboot80) -$(call mb_make_call_eval,mb_assert_flow_bdos_grep,$(MBBOOT80_BIN),check-boot80,mbboot80,"mb::boot mode 80") +$(call mb_make_call,mb_tool_sdcc_flow_bdos_mono,$(MBBOOT80_BIN),$(MBBOOT80_SRC),mbboot80) +$(call mb_make_call,mb_assert_flow_bdos_grep,$(MBBOOT80_BIN),check-boot80,mbboot80,"mb::boot mode 80") $(MBBOOT80_BIN)/@release-local: | $(MBBOOT80_BIN)/@build From 5f26a4588d21622ff50003f465d224adce8ba8c4 Mon Sep 17 00:00:00 2001 From: Willem Date: Sun, 7 Jul 2024 23:45:25 +0200 Subject: [PATCH 160/274] Added basic argument check on flow templates. --- lib/make/mb_assert.mk | 10 ++-------- lib/make/mb_make.mk | 40 ++++++++++++++++++++++++++++++++++++++++ lib/make/mb_proj.mk | 2 +- lib/make/mb_tool.mk | 8 ++------ 4 files changed, 45 insertions(+), 15 deletions(-) diff --git a/lib/make/mb_assert.mk b/lib/make/mb_assert.mk index 69d28ff..7c378df 100644 --- a/lib/make/mb_assert.mk +++ b/lib/make/mb_assert.mk @@ -8,10 +8,7 @@ MB_PROJ_PHASE_TEST_DEPS += $(1)/@assert-$(2) .PHONY: $(1)/@assert-$(2) endef define mb_assert_flow_grep_binary - $(if $(1),,$(error Argument 1 missing)) - $(if $(2),,$(error Argument 2 missing)) - $(if $(3),,$(error Argument 3 missing)) - $(if $(4),,$(error Argument 4 missing)) + $(call mb_make_call,mb_make_check_args_4,mb_assert_flow_grep_binary,$(1),$(2),$(3),$(4)) $(eval $(call mb_make_call,__mb_assert_flow_grep_binary,$(1),$(2),$(3),$(4))) endef $(call mb_make_call,mb_doc_function_flow,mb_assert_flow_grep_binary,"Prints flow of single binary grep assert."," ") @@ -29,10 +26,7 @@ MB_PROJ_PHASE_TEST_DEPS += $(1)-test-$(2)/@assert .PHONY: $(1)-test-$(2)/@assert endef define mb_assert_flow_bdos_grep - $(if $(1),,$(error mb_assert_flow_bdos_grep: Argument 1 missing)) - $(if $(2),,$(error Argument 2 missing)) - $(if $(3),,$(error Argument 3 missing)) - $(if $(4),,$(error Argument 4 missing)) + $(call mb_make_call,mb_make_check_args_4,mb_assert_flow_bdos_grep,$(1),$(2),$(3),$(4)) $(eval $(call mb_make_call,__mb_assert_flow_bdos_grep,$(1),$(2),$(3),$(4))) endef $(call mb_make_call,mb_doc_function_flow,mb_assert_flow_bdos_grep,"Prints flow of single grep assert."," ") diff --git a/lib/make/mb_make.mk b/lib/make/mb_make.mk index e175419..073e6d6 100644 --- a/lib/make/mb_make.mk +++ b/lib/make/mb_make.mk @@ -100,6 +100,46 @@ endef $(call mb_make_call,mb_doc_function_flow,mb_make_flow_0module,"Prints flow of recursive 0module.mk include.","") +define mb_make_check_args_1 +$(if $(2),,$(error $(1): Argument 1 missing)) +endef + + +$(call mb_make_call,mb_doc_function_deep,mb_make_check_args_1,"Checks that a function has a given argument."," ") +define mb_make_check_args_2 +$(if $(2),,$(error $(1): Argument 1 missing)) +$(if $(3),,$(error $(1): Argument 2 missing)) +endef + + +$(call mb_make_call,mb_doc_function_deep,mb_make_check_args_2,"Checks that a function has the given arguments."," ") +define mb_make_check_args_3 +$(if $(2),,$(error $(1): Argument 1 missing)) +$(if $(3),,$(error $(1): Argument 2 missing)) +$(if $(4),,$(error $(1): Argument 3 missing)) +endef + + +$(call mb_make_call,mb_doc_function_deep,mb_make_check_args_3,"Checks that a function has the given arguments."," ") +define mb_make_check_args_4 +$(if $(2),,$(error $(1): Argument 1 missing)) +$(if $(3),,$(error $(1): Argument 2 missing)) +$(if $(4),,$(error $(1): Argument 3 missing)) +$(if $(5),,$(error $(1): Argument 4 missing)) +endef + + +$(call mb_make_call,mb_doc_function_deep,mb_make_check_args_4,"Checks that a function has the given arguments."," ") +define mb_make_check_args_5 +$(if $(2),,$(error $(1): Argument 1 missing)) +$(if $(3),,$(error $(1): Argument 2 missing)) +$(if $(4),,$(error $(1): Argument 3 missing)) +$(if $(5),,$(error $(1): Argument 4 missing)) +$(if $(6),,$(error $(1): Argument 5 missing)) +endef +$(call mb_make_call,mb_doc_function_deep,mb_make_check_args_5,"Checks that a function has the given arguments."," ") + + define mb_make_echo_good $(if $(filter -1,$(MB_OS_COLORS)),@echo $(MB_MAKE_ECHO_CRAYON) $(1),$(MB_OS_ECHO) -e "\x1B[32m$(MB_MAKE_ECHO_CRAYON)$$1\x1B[39m $(1)") endef diff --git a/lib/make/mb_proj.mk b/lib/make/mb_proj.mk index ea809a8..e38e6c8 100644 --- a/lib/make/mb_proj.mk +++ b/lib/make/mb_proj.mk @@ -193,7 +193,7 @@ $$(call mb_make_call,mb_doc_target_help,@package-deploy,"Deploy all the packages .PHONY: @package-deploy endef define mb_proj_flow_setup - $(if $(1),,$(error $(1) missing)) + $(call mb_make_call,mb_make_check_args_1,mb_proj_flow_setup,$(1)) $(eval $(call mb_make_call,__mb_proj_flow_setup,$(1))) endef $(call mb_make_call,mb_doc_function_flow,mb_proj_flow_setup,"Prints flow of abstract project build cycle.","") diff --git a/lib/make/mb_tool.mk b/lib/make/mb_tool.mk index b14be5a..14ace00 100644 --- a/lib/make/mb_tool.mk +++ b/lib/make/mb_tool.mk @@ -44,9 +44,7 @@ $$(call mb_make_call,mb_doc_target_run,$(1)/@run,"Run $(3).com manually with gui .PHONY: $(1)/@run endef define mb_tool_m80_flow_bdos_mono - $(if $(1),,$(error Argument 1 missing)) - $(if $(2),,$(error Argument 2 missing)) - $(if $(3),,$(error Argument 3 missing)) + $(call mb_make_call,mb_make_check_args_3,mb_tool_m80_flow_bdos_mono,$(1),$(2),$(3)) $(eval $(call mb_make_call,__mb_tool_m80_flow_bdos_mono,$(1),$(2),$(3))) endef $(call mb_make_call,mb_doc_function_flow,mb_tool_m80_flow_bdos_mono,"Prints flow of m80 toolchain bdos mono file module."," ") @@ -88,9 +86,7 @@ $$(call mb_make_call,mb_doc_target_run,$(1)/@run,"Run $(3).com manually with gui .PHONY: $(1)/@run endef define mb_tool_sdcc_flow_bdos_mono - $(if $(1),,$(error Argument 1 missing)) - $(if $(2),,$(error Argument 2 missing)) - $(if $(3),,$(error Argument 3 missing)) + $(call mb_make_call,mb_make_check_args_3,mb_tool_sdcc_flow_bdos_mono,$(1),$(2),$(3)) $(eval $(call mb_make_call,__mb_tool_sdcc_flow_bdos_mono,$(1),$(2),$(3))) endef $(call mb_make_call,mb_doc_function_flow,mb_tool_sdcc_flow_bdos_mono,"Prints flow of sdcc toolchain bdos mono file module."," ") From 73d566d16ddf6b8d0e387e4a7ab0e6ebd61d6b09 Mon Sep 17 00:00:00 2001 From: Willem Date: Mon, 8 Jul 2024 00:34:15 +0200 Subject: [PATCH 161/274] Moved phase deps to function registration. --- lib/make/mb_assert.mk | 4 +-- lib/make/mb_flight.mk | 3 ++- lib/make/mb_make.mk | 2 +- lib/make/mb_proj.mk | 47 +++++++++++++++++++++++++++++++++++ src/dist-qa-dos1/0module.mk | 2 +- src/dist-qa-dos2/0module.mk | 2 +- src/dist-qa-msx1/0module.mk | 2 +- src/dist-qa-msxhub/0module.mk | 2 +- src/dist/0module.mk | 5 ++-- src/make-on-msx/0module.mk | 8 +++--- 10 files changed, 63 insertions(+), 14 deletions(-) diff --git a/lib/make/mb_assert.mk b/lib/make/mb_assert.mk index 7c378df..fe011f2 100644 --- a/lib/make/mb_assert.mk +++ b/lib/make/mb_assert.mk @@ -4,7 +4,7 @@ $(1)/@assert-$(2): $(1)/@build grep -q -U $(4) $(1)/$(3) $$(call mb_make_call,mb_make_echo_assert,Assert succesfully @assert-$(2)) $$(call mb_make_call,mb_doc_target,$(1)/@assert-$(2),"Asserts that $(3) output matches.") -MB_PROJ_PHASE_TEST_DEPS += $(1)/@assert-$(2) +$$(call mb_make_call,mb_proj_grow_deps_phase_test,$(1)/@assert-$(2)) .PHONY: $(1)/@assert-$(2) endef define mb_assert_flow_grep_binary @@ -22,7 +22,7 @@ $(1)-test-$(2)/@assert: $(1)/@build grep -q $(4) $(1)-test-$(2)/$(3).out $$(call mb_make_call,mb_make_echo_assert,Assert succesfully $(1)-test-$(2)/@assert) $$(call mb_make_call,mb_doc_target,$(1)-test-$(2)/@assert,"Asserts that $(3) output matches.") -MB_PROJ_PHASE_TEST_DEPS += $(1)-test-$(2)/@assert +$$(call mb_make_call,mb_proj_grow_deps_phase_test,$(1)-test-$(2)/@assert) .PHONY: $(1)-test-$(2)/@assert endef define mb_assert_flow_bdos_grep diff --git a/lib/make/mb_flight.mk b/lib/make/mb_flight.mk index f8b1b56..c282fa6 100644 --- a/lib/make/mb_flight.mk +++ b/lib/make/mb_flight.mk @@ -19,6 +19,7 @@ $(call mb_make_call,mb_doc_variable,MB_FLIGHT_VIDEO_NAME,"Video file name of the define mb_flight_video_merge + $(call mb_make_call,mb_make_check_args_1,mb_flight_video_merge,$(1)) $(call mb_make_call,mb_make_echo_good,Indexing flight videos) ls -1tr $(1)/*-omsx/videos/*.avi | awk -F "$(1)/" -v s="file '" -v e="'" '{print s$$2e}' > $(1)/$(MB_FLIGHT_VIDEO_NAME).lst ffmpeg -v quiet -y -f concat -i $(1)/$(MB_FLIGHT_VIDEO_NAME).lst -c copy $(1)/$(MB_FLIGHT_VIDEO_NAME).avi @@ -64,7 +65,7 @@ $$(call mb_make_call,mb_doc_target_help,@flight-video-package-qa,"Runs @clean an .PHONY: @flight-video-package-qa endef define mb_flight_proj_flow_video - $(if $(1),,$(error $(1) missing)) + $(call mb_make_call,mb_make_check_args_1,mb_flight_proj_flow_video,$(1)) $(eval $(call mb_make_call,__mb_flight_proj_flow_video,$(1))) endef $(call mb_make_call,mb_doc_function_flow,mb_flight_proj_flow_video,"Prints flow for adding flight video targets in project build cycle.","") diff --git a/lib/make/mb_make.mk b/lib/make/mb_make.mk index 073e6d6..2c3fb4a 100644 --- a/lib/make/mb_make.mk +++ b/lib/make/mb_make.mk @@ -94,7 +94,7 @@ define __mb_make_flow_0module include $$(call mb_make_call,mb_make_rwildcard,$(1),*/0module.mk) endef define mb_make_flow_0module - $(if $(1),,$(error $(1) missing)) + $(call mb_make_call,mb_make_check_args_1,mb_make_flow_0module,$(1)) $(eval $(call mb_make_call,__mb_make_flow_0module,$(1))) endef $(call mb_make_call,mb_doc_function_flow,mb_make_flow_0module,"Prints flow of recursive 0module.mk include.","") diff --git a/lib/make/mb_proj.mk b/lib/make/mb_proj.mk index e38e6c8..fc710ed 100644 --- a/lib/make/mb_proj.mk +++ b/lib/make/mb_proj.mk @@ -61,6 +61,53 @@ endef $(call mb_make_call,mb_doc_function_deep,mb_proj_module_deps,"Convert other files to local deps."," ") +define mb_proj_grow_deps_phase_process + $(eval MB_PROJ_PHASE_PROCESS_DEPS += $(1)) +endef +$(call mb_make_call,mb_doc_function_deep,mb_proj_grow_deps_phase_process,"Grow the deps of the '@process' phase..","") + + +define mb_proj_grow_deps_phase_compile + $(eval MB_PROJ_PHASE_COMPILE_DEPS += $(1)) +endef +$(call mb_make_call,mb_doc_function_deep,mb_proj_grow_deps_phase_compile,"Grow the deps of the '@compile' phase..","") + + +define mb_proj_grow_deps_phase_link + $(eval MB_PROJ_PHASE_LINK_DEPS += $(1)) +endef +$(call mb_make_call,mb_doc_function_deep,mb_proj_grow_deps_phase_link,"Grow the deps of the '@link' phase..","") + + +define mb_proj_grow_deps_phase_build + $(eval MB_PROJ_PHASE_BUILD_DEPS += $(1)) +endef +$(call mb_make_call,mb_doc_function_deep,mb_proj_grow_deps_phase_build,"Grow the deps of the '@build' phase..","") + + +define mb_proj_grow_deps_phase_test + $(eval MB_PROJ_PHASE_TEST_DEPS += $(1)) +endef +$(call mb_make_call,mb_doc_function_deep,mb_proj_grow_deps_phase_test,"Grow the deps of the '@test' phase..","") + + +define mb_proj_grow_deps_phase_package + $(eval MB_PROJ_PHASE_PACKAGE_DEPS += $(1)) +endef +$(call mb_make_call,mb_doc_function_deep,mb_proj_grow_deps_phase_package,"Grow the deps of the '@package' phase..","") + + +define mb_proj_grow_deps_phase_package_qa + $(eval MB_PROJ_PHASE_PACKAGE_QA_DEPS += $(1)) +endef +$(call mb_make_call,mb_doc_function_deep,mb_proj_grow_deps_phase_package_qa,"Grow the deps of the '@package-qa' phase..","") + + +define mb_proj_grow_deps_phase_package_deploy + $(eval MB_PROJ_PHASE_PACKAGE_DEPLOY_DEPS += $(1)) +endef +$(call mb_make_call,mb_doc_function_deep,mb_proj_grow_deps_phase_package_deploy,"Grow the deps of the '@package-deploy' phase..","") + define _mb_proj_flow_step_before $(call mb_make_call,mb_make_echo_phase,$(MB_PROJ_STEP_BEFORE_PREFIX)$@) diff --git a/src/dist-qa-dos1/0module.mk b/src/dist-qa-dos1/0module.mk index 55798d4..4e02f1c 100644 --- a/src/dist-qa-dos1/0module.mk +++ b/src/dist-qa-dos1/0module.mk @@ -27,6 +27,6 @@ $(DIST_QA_DOS1_BIN)/@assert: | $(DIST_QA_DOS1_DEPS) $(call mb_make_call,mb_openmsx_dosctl,$(DIST_QA_DOS1_BIN)) $(call mb_make_call,mb_make_call,mb_make_echo_assert,Assert succesfully $(DIST_QA_DOS1_BIN)/@assert) $(call mb_make_call,mb_doc_target,$(DIST_QA_DOS1_BIN)/@assert,"Assert binaries on msxdos1.") -MB_PROJ_PHASE_PACKAGE_QA_DEPS += $(DIST_QA_DOS1_BIN)/@assert +$(call mb_make_call,mb_proj_grow_deps_phase_package_qa,$(DIST_QA_DOS1_BIN)/@assert) .PHONY: $(DIST_QA_DOS1_BIN)/@assert diff --git a/src/dist-qa-dos2/0module.mk b/src/dist-qa-dos2/0module.mk index b09458e..065f4f2 100644 --- a/src/dist-qa-dos2/0module.mk +++ b/src/dist-qa-dos2/0module.mk @@ -31,6 +31,6 @@ $(DIST_QA_DOS2_BIN)/@assert: | $(DIST_QA_DOS2_DEPS) grep -q "SDCC: Hello world..." $(DIST_QA_DOS2_BIN)/ahello2.out $(call mb_make_call,mb_make_echo_assert,Assert succesfully $(DIST_QA_DOS2_BIN)/@assert) $(call mb_make_call,mb_doc_target,$(DIST_QA_DOS2_BIN)/@assert,"Assert binaries on msxdos2.") -MB_PROJ_PHASE_PACKAGE_QA_DEPS += $(DIST_QA_DOS2_BIN)/@assert +$(call mb_make_call,mb_proj_grow_deps_phase_package_qa,$(DIST_QA_DOS2_BIN)/@assert) .PHONY: $(DIST_QA_DOS2_BIN)/@assert diff --git a/src/dist-qa-msx1/0module.mk b/src/dist-qa-msx1/0module.mk index 28f8652..ba92123 100644 --- a/src/dist-qa-msx1/0module.mk +++ b/src/dist-qa-msx1/0module.mk @@ -33,6 +33,6 @@ $(DIST_QA_MSX1_BIN)/@assert: | $(DIST_QA_MSX1_DEPS) grep -q "SDCC: Hello world..." $(DIST_QA_MSX1_BIN)/ahello2.out $(call mb_make_call,mb_make_echo_assert,Assert succesfully $(DIST_QA_MSX1_BIN)/@assert) $(call mb_make_call,mb_doc_target,$(DIST_QA_MSX1_BIN)/@assert,"Assert binaries on MSX1 machine.") -MB_PROJ_PHASE_PACKAGE_QA_DEPS += $(DIST_QA_MSX1_BIN)/@assert +$(call mb_make_call,mb_proj_grow_deps_phase_package_qa,$(DIST_QA_MSX1_BIN)/@assert) .PHONY: $(DIST_QA_MSX1_BIN)/@assert diff --git a/src/dist-qa-msxhub/0module.mk b/src/dist-qa-msxhub/0module.mk index 0fefa8f..4c3dfcd 100644 --- a/src/dist-qa-msxhub/0module.mk +++ b/src/dist-qa-msxhub/0module.mk @@ -59,6 +59,6 @@ $(DIST_QA_MSXHUB_BIN)/@assert: | $(DIST_QA_MSXHUB_DEPS) grep -q "MAKE COM" $(DIST_QA_MSXHUB_BIN)/utils.out $(call mb_make_call,mb_make_echo_assert,Assert succesfully $(DIST_QA_MSXHUB_BIN)/@assert) $(call mb_make_call,mb_doc_target,$(DIST_QA_MSXHUB_BIN)/@assert,"Asserts that all dist artifacts execute results.") -MB_PROJ_PHASE_TEST_DEPS += $(DIST_QA_MSXHUB_BIN)/@assert +$(call mb_make_call,mb_proj_grow_deps_phase_test,$(DIST_QA_MSXHUB_BIN)/@assert) .PHONY: $(DIST_QA_MSXHUB_BIN)/@assert diff --git a/src/dist/0module.mk b/src/dist/0module.mk index fbc783b..31d10af 100644 --- a/src/dist/0module.mk +++ b/src/dist/0module.mk @@ -10,7 +10,7 @@ $(DIST_BIN): | @init $(DIST_BIN)/readme.txt: $(DIST_SRC)/readme.txt | $(DIST_BIN) $(call mb_make_call,mb_conv_unix2dos,$<,$@) -MB_PROJ_PHASE_PROCESS_DEPS += $(DIST_BIN)/readme.txt +$(call mb_make_call,mb_proj_grow_deps_phase_process,$(DIST_BIN)/readme.txt) $(DIST_BIN)/ahello1.com: bin/ahello-m80/ahello.com | $(DIST_BIN) $(call mb_make_call,mb_os_file_copy,$<,$@) @@ -25,4 +25,5 @@ $(call mb_make_call,mb_doc_target,$(DIST_BIN)/@prepare,"Prepare folder with bina $(DIST_OUT): | $(DIST_BIN)/@prepare $(call mb_make_call,mb_create_dist,$(DIST_BIN),$@) $(call mb_make_call,mb_doc_target,$(DIST_OUT),"Build archive distribution artifact.") -MB_PROJ_PHASE_PACKAGE_DEPS += $(DIST_OUT) +$(call mb_make_call,mb_proj_grow_deps_phase_package,$(DIST_OUT)) + diff --git a/src/make-on-msx/0module.mk b/src/make-on-msx/0module.mk index 1d2793f..5cfe3a8 100644 --- a/src/make-on-msx/0module.mk +++ b/src/make-on-msx/0module.mk @@ -16,19 +16,19 @@ $(call mb_make_call,mb_doc_target_deep,$(MAKE_ON_MSX_BIN)) $(MAKE_ON_MSX_BIN)/nfbsshot.mac: $(MAKE_ON_MSX_SRC)/nfbsshot.mac | $(MAKE_ON_MSX_BIN) $(call mb_make_call,mb_conv_unix2dos,$<,$@) $(call mb_make_call,mb_doc_target_deep,$(MAKE_ON_MSX_BIN)/nfbsshot.mac) -MB_PROJ_PHASE_PROCESS_DEPS += $(MAKE_ON_MSX_BIN)/nfbsshot.mac +$(call mb_make_call,mb_proj_grow_deps_phase_process,$(MAKE_ON_MSX_BIN)/nfbsshot.mac) $(MAKE_ON_MSX_BIN)/nfbsshot.mf: $(MAKE_ON_MSX_SRC)/nfbsshot.mf $(MAKE_ON_MSX_BIN)/nfbsshot.mac $(call mb_make_call,mb_conv_unix2dos,$<,$@) $(call mb_make_call,mb_doc_target_deep,$(MAKE_ON_MSX_BIN)/nfbsshot.mf) -MB_PROJ_PHASE_PROCESS_DEPS += $(MAKE_ON_MSX_BIN)/nfbsshot.mf +$(call mb_make_call,mb_proj_grow_deps_phase_process,$(MAKE_ON_MSX_BIN)/nfbsshot.mf) $(MAKE_ON_MSX_BIN)/build.bat: $(MAKE_ON_MSX_SRC)/build.bat $(MAKE_ON_MSX_BIN)/nfbsshot.mf $(call mb_make_call,mb_conv_unix2dos,$<,$@) $(call mb_make_call,mb_doc_target_deep,$(MAKE_ON_MSX_BIN)/build.bat) -MB_PROJ_PHASE_PROCESS_DEPS += $(MAKE_ON_MSX_BIN)/build.bat +$(call mb_make_call,mb_proj_grow_deps_phase_process,$(MAKE_ON_MSX_BIN)/build.bat) $(MAKE_ON_MSX_BIN)/nfbsshot.bin: $(MAKE_ON_MSX_BIN)/build.bat @@ -38,7 +38,7 @@ $(call mb_make_call,mb_doc_target_deep,$(MAKE_ON_MSX_BIN)/nfbsshot.bin) $(MAKE_ON_MSX_BIN)/@build: $(MAKE_ON_MSX_BIN)/nfbsshot.bin $(call mb_make_call,mb_doc_target,$(MAKE_ON_MSX_BIN)/@build,"Compiles binary with make on msx.") -MB_PROJ_PHASE_BUILD_DEPS +=$(MAKE_ON_MSX_BIN)/@build +$(call mb_make_call,mb_proj_grow_deps_phase_build,$(MAKE_ON_MSX_BIN)/@build) .PHONY: $(MAKE_ON_MSX_BIN)/@build From d7dc7b96441440cc5bea356363d79f1d6ca56f9a Mon Sep 17 00:00:00 2001 From: Willem Date: Mon, 8 Jul 2024 04:23:37 +0200 Subject: [PATCH 162/274] Added i18n and updated jp i18n test text. --- .forgejo/workflows/run-test-asserts.yaml | 3 +- Makefile | 34 ++++++--- lib/make/mb_assert.mk | 9 +-- lib/make/mb_autoexec.mk | 22 +++--- lib/make/mb_conv.mk | 4 +- lib/make/mb_doc.mk | 67 +++++++++++------- lib/make/mb_flight.mk | 8 +-- lib/make/mb_i18n.mk | 67 ++++++++++++++++++ lib/make/mb_make.mk | 87 +++++++----------------- lib/make/mb_msxhub.mk | 2 +- lib/make/mb_msxrom.mk | 2 +- lib/make/mb_openmsx.mk | 11 ++- lib/make/mb_os.mk | 31 +++++++++ lib/make/mb_proj.mk | 23 ++----- lib/make/mb_sdcc.mk | 30 ++++---- lib/make/mb_tool.mk | 21 +++--- lib/make/msxbuild.mk | 3 +- src/dist-qa-dos1/0module.mk | 2 +- src/dist-qa-dos2/0module.mk | 2 +- src/dist-qa-msx1/0module.mk | 2 +- src/dist-qa-msxhub/0module.mk | 2 +- src/make-on-msx/0module.mk | 2 +- 22 files changed, 261 insertions(+), 173 deletions(-) create mode 100644 lib/make/mb_i18n.mk diff --git a/.forgejo/workflows/run-test-asserts.yaml b/.forgejo/workflows/run-test-asserts.yaml index 0286e81..fad0668 100644 --- a/.forgejo/workflows/run-test-asserts.yaml +++ b/.forgejo/workflows/run-test-asserts.yaml @@ -8,9 +8,8 @@ env: VERBOSE: off MB_OPENMSX_STDOUT_IGNORE: on MB_OPENMSX_STDERR_IGNORE: on - MB_AUTOEXEC_STARTUP_NOTE: ${{github.workflow}} MB_AUTOEXEC_EMBED_USER: GITHUB_ACTOR - MB_AUTOEXEC_EMBED_VARS: GITHUB_EVENT_NAME GITHUB_REF_NAME GITHUB_REF_TYPE GITHUB_REPOSITORY GITHUB_REPOSITORY_OWNER + MB_AUTOEXEC_EMBED_VARS: GITHUB_WORKFLOW GITHUB_JOB GITHUB_RUN_NUMBER GITHUB_EVENT_NAME GITHUB_REF_NAME GITHUB_REF_TYPE GITHUB_REPOSITORY GITHUB_REPOSITORY_OWNER GITHUB_SERVER_URL jobs: Test-Asserts: runs-on: self-hosted diff --git a/Makefile b/Makefile index 6403feb..d4175cf 100644 --- a/Makefile +++ b/Makefile @@ -3,12 +3,13 @@ # -# Configure make, can't use tabs here, than no indenting, -# as white space is not for typing multiple white spaces. +# Configure make to normal empty state MAKEFLAGS += --no-builtin-rules MAKEFLAGS += --no-builtin-variables .SUFFIXES: .PHONY: Makefile + +# Configure make verbose mode ifdef VERBOSE ifeq ("$(VERBOSE)", "off") .SILENT: @@ -107,13 +108,28 @@ $(call mb_make_call,mb_flight_proj_flow_video,$(PATH_BIN)) # Add japanese support ifdef LANG_JP -MB_MAKE_ECHO_CRAYON = "©Δ∞ 仙上主天" -MB_PROJ_META_NAME = "メッカシステム拡大建てる" -MB_PROJ_STEP_BEFORE_PREFIX = "フェーズ前 " -MB_PROJ_STEP_AFTER_PREFIX = "フェーズ後 " -MB_PROJ_STEP_DONE_PREFIX = "プライムパイターゲットの実行を終了しました " -MB_OPENMSX_LOG_PREFIX = "オープンメッカシステム拡張を開始しましょう!のために" -MB_AUTOEXEC_LOG_PREFIX = "自動実行の書き込み" +MB_I18N_ASSERT_SUCCESS = "アサート成功" +MB_I18N_AUTOEXEC_WRITE = "自動実行の書き込み" +MB_I18N_CONV_UNIX2DOS = "ディスクシステムの行末に変換" +MB_I18N_CONV_DOS2UNIX = "メインフレームラインエンドに変換" +MB_I18N_MAKE_CHECK_ARG1 = "引数 一 がありません。" +MB_I18N_MAKE_CHECK_ARG2 = "引数 二 がありません。" +MB_I18N_MAKE_CHECK_ARG3 = "引数 三 がありません。" +MB_I18N_MAKE_CHECK_ARG4 = "引数 四 がありません。" +MB_I18N_MAKE_CHECK_ARG5 = "引数 五 がありません。" +MB_I18N_MSXHUB_FILE_FETCH = "コンピューターハブファイルを取得する" +MB_I18N_MSXROM_FILE_FETCH = "読み取り専用メモリファイルを取得する" +MB_I18N_OPENMSX_STARTUP = "オープンメッカシステムを開始" +MB_I18N_OS_ECHO_CRAYON = "©Δ∞ 仙上主天" +MB_I18N_PROJ_STEP_BEFORE = "フェーズ前" +MB_I18N_PROJ_STEP_AFTER = "フェーズ後" +MB_I18N_PROJ_STEP_DONE = "プライムパイターゲットの実行を終了しました" +MB_I18N_PROJ_DIR_CREATE = "フォルダーの作成" +MB_I18N_PROJ_DIR_DELETE = "フォルダーの削除" +MB_I18N_SDCC_COMPILE = "標準マイクロコンパイラ" +MB_I18N_SDCC_ARLIB = "標準マイクロビルドライブラリ" +MB_I18N_SDCC_LINK = "標準マイクロリンクメッカ図書館" +MB_I18N_SDCC_OBJCOPY = "標準マイクロオブジェクトコピー" $(call mb_make_call,mb_proj_flow_setup_jp) endif diff --git a/lib/make/mb_assert.mk b/lib/make/mb_assert.mk index fe011f2..3b919f4 100644 --- a/lib/make/mb_assert.mk +++ b/lib/make/mb_assert.mk @@ -2,13 +2,13 @@ define __mb_assert_flow_grep_binary $(1)/@assert-$(2): $(1)/@build grep -q -U $(4) $(1)/$(3) - $$(call mb_make_call,mb_make_echo_assert,Assert succesfully @assert-$(2)) + $$(call mb_make_call,mb_os_echo_assert,$$(MB_I18N_ASSERT_SUCCESS) @assert-$(2)) $$(call mb_make_call,mb_doc_target,$(1)/@assert-$(2),"Asserts that $(3) output matches.") $$(call mb_make_call,mb_proj_grow_deps_phase_test,$(1)/@assert-$(2)) .PHONY: $(1)/@assert-$(2) endef define mb_assert_flow_grep_binary - $(call mb_make_call,mb_make_check_args_4,mb_assert_flow_grep_binary,$(1),$(2),$(3),$(4)) + $(call mb_make_call,mb_make_check_arg4,mb_assert_flow_grep_binary,$(1),$(2),$(3),$(4)) $(eval $(call mb_make_call,__mb_assert_flow_grep_binary,$(1),$(2),$(3),$(4))) endef $(call mb_make_call,mb_doc_function_flow,mb_assert_flow_grep_binary,"Prints flow of single binary grep assert."," ") @@ -20,13 +20,14 @@ $(1)-test-$(2)/@assert: $(1)/@build $$(call mb_make_call,mb_os_file_copy,$(1)/$(3).com,$(1)-test-$(2)) $$(call mb_make_call,mb_msxpipe_safe_test,$(1)-test-$(2),$(3) > $(3).out) grep -q $(4) $(1)-test-$(2)/$(3).out - $$(call mb_make_call,mb_make_echo_assert,Assert succesfully $(1)-test-$(2)/@assert) + $$(call mb_make_call,mb_os_echo_assert,$$(MB_I18N_ASSERT_SUCCESS) $(1)-test-$(2)/@assert) $$(call mb_make_call,mb_doc_target,$(1)-test-$(2)/@assert,"Asserts that $(3) output matches.") $$(call mb_make_call,mb_proj_grow_deps_phase_test,$(1)-test-$(2)/@assert) .PHONY: $(1)-test-$(2)/@assert endef define mb_assert_flow_bdos_grep - $(call mb_make_call,mb_make_check_args_4,mb_assert_flow_bdos_grep,$(1),$(2),$(3),$(4)) + $(call mb_make_call,mb_make_check_arg4,mb_assert_flow_bdos_grep,$(1),$(2),$(3),$(4)) $(eval $(call mb_make_call,__mb_assert_flow_bdos_grep,$(1),$(2),$(3),$(4))) endef $(call mb_make_call,mb_doc_function_flow,mb_assert_flow_bdos_grep,"Prints flow of single grep assert."," ") + diff --git a/lib/make/mb_autoexec.mk b/lib/make/mb_autoexec.mk index 2189237..34e692b 100644 --- a/lib/make/mb_autoexec.mk +++ b/lib/make/mb_autoexec.mk @@ -65,9 +65,6 @@ $(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_PROMPT_FORMAT,"The prompt format MB_AUTOEXEC_PROMPT_SPACE ?= on $(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_PROMPT_SPACE,"When on adds an white space after the prompt format.") -MB_AUTOEXEC_LOG_PREFIX ?= "Write autoexec for " -$(call mb_make_call,mb_doc_variable_deep,MB_AUTOEXEC_LOG_PREFIX,"Prefix of message to log when writing a default autoexec.") - define mb_autoexec_append_cmd echo "$(2)\r" >> $(1)/autoexec.bat @@ -158,21 +155,22 @@ endef define mb_autoexec_write_default - $(call mb_make_call,mb_make_echo_command,$(MB_AUTOEXEC_LOG_PREFIX)$@) + $(call mb_make_call,mb_os_echo_command,$(MB_I18N_AUTOEXEC_WRITE) $@) @echo -n "" > $(1)/autoexec.bat $(if $(wildcard $(1)/utils),$(call mb_make_call,_mb_autoexec_write_preboot,$(1),utils\,$(2),$(3)),$(call mb_make_call,_mb_autoexec_write_preboot,$(1),,$(2),$(3))) + $(if $(filter on,$(MB_AUTOEXEC_SHOW_HOST)),$(call mb_make_call,mb_autoexec_append_cmd,$(1),omsxctl boot_exec_show_host)) $(if $(MB_AUTOEXEC_STARTUP_NOTE),$(call mb_make_call,mb_autoexec_append_echo,$(1),mb::note $(MB_AUTOEXEC_STARTUP_NOTE))) $(if $(filter on,$(MB_FLIGHT_VIDEO)),$(call mb_make_call,mb_autoexec_append_save_video,$(1))) - $(if $(filter on,$(MB_AUTOEXEC_SHOW_HOST)),$(call mb_make_call,mb_autoexec_append_cmd,$(1),omsxctl boot_exec_show_host)) - echo -n "$(subst $(MB_MAKE_SPACE)set,set,$(foreach var,$(MB_AUTOEXEC_EMBED_VARS),set MSX_$(var)=$($(var))\r\n))" >> $(1)/autoexec.bat - $(call mb_make_call,mb_autoexec_append_cmd,$(1),set TIME=$(MB_AUTOEXEC_TIME_FORMAT)) - $(call mb_make_call,mb_autoexec_append_cmd,$(1),set DATE=$(MB_AUTOEXEC_DATE_FORMAT)) + echo -n "$(subst $(MB_MAKE_SPACE)set,set,$(foreach var,$(MB_AUTOEXEC_EMBED_VARS),set MSX_$(var)$(MB_MAKE_EQUALS)$($(var))\r\n))" >> $(1)/autoexec.bat + echo -n "$(subst $(MB_MAKE_SPACE)set,set,$(foreach var,$(MB_AUTOEXEC_EMBED_VARS),echo MSX_$(var)$(MB_MAKE_EQUALS)%MSX_$(var)%\r\n))" >> $(1)/autoexec.bat + $(call mb_make_call,mb_autoexec_append_cmd,$(1),set TIME$(MB_MAKE_EQUALS)$(MB_AUTOEXEC_TIME_FORMAT)) + $(call mb_make_call,mb_autoexec_append_cmd,$(1),set DATE$(MB_MAKE_EQUALS)$(MB_AUTOEXEC_DATE_FORMAT)) $(if $(filter on,$(MB_AUTOEXEC_SHOW_PATH)),$(call mb_make_call,mb_autoexec_append_cmd,$(1),echo mb::path %PATH%)) - $(call mb_make_call,mb_autoexec_append_cmd,$(1),set MB_SNAIL=$(notdir $@)) - $(call mb_make_call,mb_autoexec_append_cmd,$(1),set MB_USER=$($(MB_AUTOEXEC_EMBED_USER))) + $(call mb_make_call,mb_autoexec_append_cmd,$(1),set MB_SNAIL$(MB_MAKE_EQUALS)$(notdir $@)) + $(call mb_make_call,mb_autoexec_append_cmd,$(1),set MB_USER$(MB_MAKE_EQUALS)$($(MB_AUTOEXEC_EMBED_USER))) $(if $(filter on,$(MB_AUTOEXEC_SHOW_USER)),$(call mb_make_call,mb_autoexec_append_echo,$(1),mb::user %MB_USER%)) - $(call mb_make_call,mb_autoexec_append_cmd,$(1),set MB_SLIME=$(1)) - $(call mb_make_call,mb_autoexec_append_cmd,$(1),set MB_TARGET=$@) + $(call mb_make_call,mb_autoexec_append_cmd,$(1),set MB_SLIME$(MB_MAKE_EQUALS)$(1)) + $(call mb_make_call,mb_autoexec_append_cmd,$(1),set MB_TARGET$(MB_MAKE_EQUALS)$@) $(if $(filter on,$(MB_AUTOEXEC_SHOW_FOLDER)),$(call mb_make_call,mb_autoexec_append_echo,$(1),mb::bath %MB_SLIME%)) $(if $(filter on,$(MB_AUTOEXEC_SHOW_TARGET)),$(call mb_make_call,mb_autoexec_append_echo,$(1),mb::pipe %MB_TARGET%)) $(if $(filter on,$(MB_AUTOEXEC_PROMPT_SPACE)),$(call mb_make_call,mb_autoexec_append_cmd,$(1),set PROMPT \"$(value MB_AUTOEXEC_PROMPT_FORMAT)$(MB_MAKE_SPACE)\")) diff --git a/lib/make/mb_conv.mk b/lib/make/mb_conv.mk index 6f8877f..6c72df1 100644 --- a/lib/make/mb_conv.mk +++ b/lib/make/mb_conv.mk @@ -1,13 +1,13 @@ define mb_conv_unix2dos - $(call mb_make_call,mb_make_echo_command,Convert to dos-EOL $(2)) + $(call mb_make_call,mb_os_echo_command,$(MB_I18N_CONV_UNIX2DOS) $(2)) unix2dos -q -n $(1) $(2) endef $(call mb_make_call,mb_doc_function,mb_conv_unix2dos,"Converts an unix file to dos."," ") define mb_conv_dos2unix - $(call mb_make_call,mb_make_echo_command,Convert to nix-EOL $(2)) + $(call mb_make_call,mb_os_echo_command,$(MB_I18N_CONV_DOS2UNIX) $(2)) dos2unix -q -n $(1) $(2) endef $(call mb_make_call,mb_doc_function,mb_conv_dos2unix,"Converts an dos file to unix."," ") diff --git a/lib/make/mb_doc.mk b/lib/make/mb_doc.mk index 20e75bb..f345b95 100644 --- a/lib/make/mb_doc.mk +++ b/lib/make/mb_doc.mk @@ -11,6 +11,9 @@ MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,_mb_doc_variable4u_flow,MB_DOC_ MB_DOC_FIRE_VARIABLE_ROCK ?= "" MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,_mb_doc_variable4u_flow,MB_DOC_FIRE_VARIABLE_ROCK,"Flow builder of makefire rock variable data.") +MB_DOC_FIRE_VARIABLE_I18N ?= "" +MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,_mb_doc_variable4u_flow,MB_DOC_FIRE_VARIABLE_I18N,"Flow builder of makefire i18n variable data.") + MB_DOC_FIRE_FUNCTION ?= "" MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,_mb_doc_variable4u_flow,MB_DOC_FIRE_FUNCTION,"Flow builder of firemake function data.") @@ -69,22 +72,29 @@ endef define _mb_doc_variable4u_flow $(call mb_make_call,_mb_doc_variable_$(MB_DOC_FORMAT),flow,$(1),$(2),$(words $($(1)))) endef +define _mb_doc_variable4u_i18n + $(call mb_make_call,_mb_doc_variable_$(MB_DOC_FORMAT),i18n,$(1),$(2),$($(1))) +endef define mb_doc_variable $(eval MB_DOC_FIRE_VARIABLE += $$(call mb_make_call,_mb_doc_variable_$$(MB_DOC_FORMAT),build,$(1),$(2),$($(1)))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_variable,"Prints formatted documention of an variable."," [desc]") +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_variable,"Saves formatted documention of an variable."," [desc]") define mb_doc_variable_deep $(eval MB_DOC_FIRE_VARIABLE_DEEP += $$(call mb_make_call,_mb_doc_variable4u_deep,$(1),$(2))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_variable_deep,"Prints formatted documention of an deep variable."," [desc]") +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_variable_deep,"Saves formatted documention of an deep variable."," [desc]") define mb_doc_variable_rock $(eval MB_DOC_FIRE_VARIABLE_ROCK += $$(call mb_make_call,_mb_doc_variable4u_rock,$(1),$(2))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_variable_rock,"Prints formatted documention of an rock variable."," [desc]") +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_variable_rock,"Saves formatted documention of an rock variable."," [desc]") define mb_doc_variable_flow $(eval MB_DOC_FIRE_VARIABLE_FLOW += $$(call mb_make_call,_mb_doc_variable4u_flow,$(1),$(2))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_variable_flow,"Prints formatted documention of an flow variable."," [desc]") +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_variable_flow,"Saves formatted documention of an flow variable."," [desc]") +define mb_doc_variable_i18n + $(eval MB_DOC_FIRE_VARIABLE_I18N += $$(call mb_make_call,_mb_doc_variable4u_i18n,$(1),$(2))) +endef +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_variable_i18n,"Saves formatted documention of an i18n variable."," [desc]") define _mb_doc_function_txt @@ -102,15 +112,15 @@ endef define mb_doc_function $(eval MB_DOC_FIRE_FUNCTION += $$(call mb_make_call,_mb_doc_function_$$(MB_DOC_FORMAT),build,$(1),$(2),$(3))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_function,"Prints formatted documention of an function."," [desc] [args]") +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_function,"Saves formatted documention of an function."," [desc] [args]") define mb_doc_function_deep $(eval MB_DOC_FIRE_FUNCTION_DEEP += $$(call mb_make_call,_mb_doc_function4u_deep,$(1),$(2),$(3))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_function_deep,"Prints formatted documention of an deep function."," [desc] [args]") +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_function_deep,"Saves formatted documention of an deep function."," [desc] [args]") define mb_doc_function_flow $(eval MB_DOC_FIRE_FUNCTION_FLOW += $$(call mb_make_call,_mb_doc_function4u_flow,$(1),$(2),$(3))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_function_flow,"Prints formatted documention of an flow function."," [desc] [args]") +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_function_flow,"Saves formatted documention of an flow function."," [desc] [args]") define _mb_doc_target_txt @@ -122,19 +132,19 @@ endef define mb_doc_target $(eval MB_DOC_FIRE_TARGET += $$(call mb_make_call,_mb_doc_target_$$(MB_DOC_FORMAT),build,$(1),$(2))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_target,"Prints formatted documention of an target."," [desc]") +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_target,"Saves formatted documention of an target."," [desc]") define mb_doc_target_deep $(eval MB_DOC_FIRE_TARGET_DEEP += $$(call mb_make_call,_mb_doc_target_$$(MB_DOC_FORMAT),deep,$(1),$(2))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_target_deep,"Prints formatted documention of an deep target."," [desc]") +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_target_deep,"Saves formatted documention of an deep target."," [desc]") define mb_doc_target_help $(eval MB_DOC_FIRE_TARGET_HELP += $$(call mb_make_call,_mb_doc_target_$(MB_DOC_FORMAT),help,$(1),$(2))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_target_help,"Prints formatted documention of an help target."," [desc]") +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_target_help,"Saves formatted documention of an help target."," [desc]") define mb_doc_target_run $(eval MB_DOC_FIRE_TARGET_RUN += $$(call mb_make_call,_mb_doc_target_$$(MB_DOC_FORMAT),run,$(1),$(2))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_target_run,"Prints formatted documention of an run target."," [desc]") +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_target_run,"Saves formatted documention of an run target."," [desc]") define __mb_doc_flow_help @@ -182,6 +192,15 @@ $$(call mb_make_call,mb_doc_target_help,@help-variable-flow,"Lists flow variable .PHONY: @help-variable-flow +@help-variable-i18n: + $$(if $$(filter txt,$$(MB_DOC_FORMAT)),@echo "Documention of the i18n make variables;\\n") + $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) + @echo $$(MB_DOC_FIRE_VARIABLE_I18N) + $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) +$$(call mb_make_call,mb_doc_target_help,@help-variable-i18n,"Lists i18n variables.") +.PHONY: @help-variable-i18n + + @help-function: $$(if $$(filter txt,$$(MB_DOC_FORMAT)),@echo "Documention of the make fire functions;\\n") $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) @@ -239,30 +258,32 @@ $$(call mb_make_call,mb_doc_target_help,@help-target-run,"Lists runnable targets @help-all: $$(if $$(filter txt,$$(MB_DOC_FORMAT)),@echo "Documention of all fire functions/variables/targets;\\n") $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) - $$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_make_echo_good,"Variables\\n")) + $$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_os_echo_good,"Variables\\n")) @echo $$(MB_DOC_FIRE_VARIABLE) - $$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_make_echo_good,"Variables in the deep\\n")) + $$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_os_echo_good,"Variables in the deep\\n")) @echo $$(MB_DOC_FIRE_VARIABLE_DEEP) - $$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_make_echo_good,"Variables hard as rock\\n")) + $$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_os_echo_good,"Variables hard as rock\\n")) @echo $$(MB_DOC_FIRE_VARIABLE_ROCK) - $$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_make_echo_good,"Variables defining flow\\n")) + $$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_os_echo_good,"Variables defining flow\\n")) @echo $$(MB_DOC_FIRE_VARIABLE_FLOW) - $$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_make_echo_good,"Functions\\n")) + $$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_os_echo_good,"Variables for i18n letters\\n")) + @echo $$(MB_DOC_FIRE_VARIABLE_I18N) + $$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_os_echo_good,"Functions\\n")) @echo $$(MB_DOC_FIRE_FUNCTION) - $$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_make_echo_good,"Functions in the deep\\n")) + $$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_os_echo_good,"Functions in the deep\\n")) @echo $$(MB_DOC_FIRE_FUNCTION_DEEP) - $$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_make_echo_good,"Functions building flow\\n")) + $$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_os_echo_good,"Functions building flow\\n")) @echo $$(MB_DOC_FIRE_FUNCTION_FLOW) - $$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_make_echo_good,"Targets\\n")) + $$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_os_echo_good,"Targets\\n")) @echo $$(MB_DOC_FIRE_TARGET) - $$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_make_echo_good,"Targets in the deep\\n")) + $$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_os_echo_good,"Targets in the deep\\n")) @echo $$(MB_DOC_FIRE_TARGET_DEEP) - $$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_make_echo_good,"Targets that run away\\n")) + $$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_os_echo_good,"Targets that run away\\n")) @echo $$(MB_DOC_FIRE_TARGET_RUN) - $$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_make_echo_good,"Targets main project help\\n")) + $$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_os_echo_good,"Targets main project help\\n")) @echo $$(MB_DOC_FIRE_TARGET_HELP) $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) - $$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_make_echo_good,OK)) + $$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_os_echo_good,OK)) $$(call mb_make_call,mb_doc_target_help,@help-all,"Lists all documented information.") .PHONY: @help-all diff --git a/lib/make/mb_flight.mk b/lib/make/mb_flight.mk index c282fa6..c53ab42 100644 --- a/lib/make/mb_flight.mk +++ b/lib/make/mb_flight.mk @@ -19,11 +19,11 @@ $(call mb_make_call,mb_doc_variable,MB_FLIGHT_VIDEO_NAME,"Video file name of the define mb_flight_video_merge - $(call mb_make_call,mb_make_check_args_1,mb_flight_video_merge,$(1)) - $(call mb_make_call,mb_make_echo_good,Indexing flight videos) + $(call mb_make_call,mb_make_check_arg1,mb_flight_video_merge,$(1)) + $(call mb_make_call,mb_os_echo_good,Indexing flight videos) ls -1tr $(1)/*-omsx/videos/*.avi | awk -F "$(1)/" -v s="file '" -v e="'" '{print s$$2e}' > $(1)/$(MB_FLIGHT_VIDEO_NAME).lst ffmpeg -v quiet -y -f concat -i $(1)/$(MB_FLIGHT_VIDEO_NAME).lst -c copy $(1)/$(MB_FLIGHT_VIDEO_NAME).avi - $(call mb_make_call,mb_make_echo_good,Flight video completed) + $(call mb_make_call,mb_os_echo_good,Flight video completed) endef $(call mb_make_call,mb_doc_function,mb_flight_video_merge,"Merged all flight videos to one.","") @@ -65,7 +65,7 @@ $$(call mb_make_call,mb_doc_target_help,@flight-video-package-qa,"Runs @clean an .PHONY: @flight-video-package-qa endef define mb_flight_proj_flow_video - $(call mb_make_call,mb_make_check_args_1,mb_flight_proj_flow_video,$(1)) + $(call mb_make_call,mb_make_check_arg1,mb_flight_proj_flow_video,$(1)) $(eval $(call mb_make_call,__mb_flight_proj_flow_video,$(1))) endef $(call mb_make_call,mb_doc_function_flow,mb_flight_proj_flow_video,"Prints flow for adding flight video targets in project build cycle.","") diff --git a/lib/make/mb_i18n.mk b/lib/make/mb_i18n.mk new file mode 100644 index 0000000..57feaa3 --- /dev/null +++ b/lib/make/mb_i18n.mk @@ -0,0 +1,67 @@ + +MB_I18N_ASSERT_SUCCESS ?= "Assert successfull" +$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_ASSERT_SUCCESS,"Message for when assertion test is successfull.") + +MB_I18N_AUTOEXEC_WRITE ?= "Write autoexec for" +$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_AUTOEXEC_WRITE,"Message for when writing a default autoexec.") + +MB_I18N_CONV_UNIX2DOS ?= "Convert to dos-EOL" +$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_CONV_UNIX2DOS,"Message for when converting a file to dos.") + +MB_I18N_CONV_DOS2UNIX ?= "Convert to nix-EOL" +$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_CONV_DOS2UNIX,"Message for when converting a file to unix.") + +MB_I18N_MAKE_CHECK_ARG1 ?= "Argument 1 is missing." +$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_MAKE_CHECK_ARG1,"Error message when argument 1 is missing.") + +MB_I18N_MAKE_CHECK_ARG2 ?= "Argument 2 is missing." +$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_MAKE_CHECK_ARG2,"Error message when argument 2 is missing.") + +MB_I18N_MAKE_CHECK_ARG3 ?= "Argument 3 is missing." +$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_MAKE_CHECK_ARG3,"Error message when argument 3 is missing.") + +MB_I18N_MAKE_CHECK_ARG4 ?= "Argument 4 is missing." +$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_MAKE_CHECK_ARG4,"Error message when argument 4 is missing.") + +MB_I18N_MAKE_CHECK_ARG5 ?= "Argument 5 is missing." +$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_MAKE_CHECK_ARG5,"Error message when argument 5 is missing.") + +MB_I18N_MSXHUB_FILE_FETCH ?= "Fetch msxhub file" +$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_MSXHUB_FILE_FETCH,"Message for when fetching a msxhub file.") + +MB_I18N_MSXROM_FILE_FETCH ?= "Fetch msxrom file" +$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_MSXROM_FILE_FETCH,"Message for when fetching a msxrom file.") + +MB_I18N_OPENMSX_STARTUP ?= "Start openMSX! for" +$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_OPENMSX_STARTUP,"Message used on startup of openMSX.") + +MB_I18N_OS_ECHO_CRAYON ?= "===" +$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_OS_ECHO_CRAYON,"Crayon marker for result step output echo's.") + +MB_I18N_PROJ_STEP_BEFORE ?= "Before --" +$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_STEP_BEFORE,"Prefix for begin phase message.") + +MB_I18N_PROJ_STEP_AFTER ?= "After ----" +$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_STEP_AFTER,"Prefix for after phase message.") + +MB_I18N_PROJ_STEP_DONE ?= "Finished executing prime pi target" +$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_STEP_DONE,"Message for requested phase completed.") + +MB_I18N_PROJ_DIR_CREATE ?= "Creating folder" +$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_DIR_CREATE,"Message for creating a folder.") + +MB_I18N_PROJ_DIR_DELETE ?= "Removing folder" +$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_DIR_DELETE,"Message for deleting a folder.") + +MB_I18N_SDCC_COMPILE ?= "SDCC Compile super" +$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_SDCC_COMPILE,"Message for SDCC compiler run.") + +MB_I18N_SDCC_ARLIB ?= "SDCC Builder arlib" +$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_SDCC_ARLIB,"Message for SDCC lib archive tool.") + +MB_I18N_SDCC_LINK ?= "SDCC Linker MSXlib" +$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_SDCC_LINK,"Message for SDCC linker run.") + +MB_I18N_SDCC_OBJCOPY ?= "SDCC sdobjcopy for" +$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_SDCC_OBJCOPY,"Message for SDCC objcopy run.") + diff --git a/lib/make/mb_make.mk b/lib/make/mb_make.mk index 2c3fb4a..9226e9e 100644 --- a/lib/make/mb_make.mk +++ b/lib/make/mb_make.mk @@ -38,9 +38,6 @@ $(call mb_make_call,mb_doc_variable_rock,MB_MAKE_CDATA_START,"Expanded special c MB_MAKE_CDATA_END := "]]>" $(call mb_make_call,mb_doc_variable_rock,MB_MAKE_CDATA_END,"Expanded special char; XML cdata end.") -MB_MAKE_ECHO_CRAYON ?= "===" -$(call mb_make_call,mb_doc_variable_deep,MB_MAKE_ECHO_CRAYON,"Crayon marker for result step output echo's.") - define mb_make_rwildcard $(foreach d,$(wildcard $1*),$(call mb_make_rwildcard,$d/,$2) $(filter $(subst *,%,$2),$d)) @@ -94,80 +91,48 @@ define __mb_make_flow_0module include $$(call mb_make_call,mb_make_rwildcard,$(1),*/0module.mk) endef define mb_make_flow_0module - $(call mb_make_call,mb_make_check_args_1,mb_make_flow_0module,$(1)) + $(call mb_make_call,mb_make_check_arg1,mb_make_flow_0module,$(1)) $(eval $(call mb_make_call,__mb_make_flow_0module,$(1))) endef $(call mb_make_call,mb_doc_function_flow,mb_make_flow_0module,"Prints flow of recursive 0module.mk include.","") -define mb_make_check_args_1 -$(if $(2),,$(error $(1): Argument 1 missing)) +define mb_make_check_arg1 +$(if $(2),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG1))) endef -$(call mb_make_call,mb_doc_function_deep,mb_make_check_args_1,"Checks that a function has a given argument."," ") -define mb_make_check_args_2 -$(if $(2),,$(error $(1): Argument 1 missing)) -$(if $(3),,$(error $(1): Argument 2 missing)) +$(call mb_make_call,mb_doc_function_deep,mb_make_check_arg1,"Checks that a function has a given argument."," ") +define mb_make_check_arg2 +$(if $(2),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG1))) +$(if $(3),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG2))) endef -$(call mb_make_call,mb_doc_function_deep,mb_make_check_args_2,"Checks that a function has the given arguments."," ") -define mb_make_check_args_3 -$(if $(2),,$(error $(1): Argument 1 missing)) -$(if $(3),,$(error $(1): Argument 2 missing)) -$(if $(4),,$(error $(1): Argument 3 missing)) +$(call mb_make_call,mb_doc_function_deep,mb_make_check_arg2,"Checks that a function has the given arguments."," ") +define mb_make_check_arg3 +$(if $(2),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG1))) +$(if $(3),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG2))) +$(if $(4),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG3))) endef -$(call mb_make_call,mb_doc_function_deep,mb_make_check_args_3,"Checks that a function has the given arguments."," ") -define mb_make_check_args_4 -$(if $(2),,$(error $(1): Argument 1 missing)) -$(if $(3),,$(error $(1): Argument 2 missing)) -$(if $(4),,$(error $(1): Argument 3 missing)) -$(if $(5),,$(error $(1): Argument 4 missing)) +$(call mb_make_call,mb_doc_function_deep,mb_make_check_arg3,"Checks that a function has the given arguments."," ") +define mb_make_check_arg4 +$(if $(2),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG1))) +$(if $(3),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG2))) +$(if $(4),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG3))) +$(if $(5),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG4))) endef -$(call mb_make_call,mb_doc_function_deep,mb_make_check_args_4,"Checks that a function has the given arguments."," ") -define mb_make_check_args_5 -$(if $(2),,$(error $(1): Argument 1 missing)) -$(if $(3),,$(error $(1): Argument 2 missing)) -$(if $(4),,$(error $(1): Argument 3 missing)) -$(if $(5),,$(error $(1): Argument 4 missing)) -$(if $(6),,$(error $(1): Argument 5 missing)) +$(call mb_make_call,mb_doc_function_deep,mb_make_check_arg4,"Checks that a function has the given arguments."," ") +define mb_make_check_arg5 +$(if $(2),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG1))) +$(if $(3),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG2))) +$(if $(4),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG3))) +$(if $(5),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG4))) +$(if $(6),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG5))) endef -$(call mb_make_call,mb_doc_function_deep,mb_make_check_args_5,"Checks that a function has the given arguments."," ") - - -define mb_make_echo_good -$(if $(filter -1,$(MB_OS_COLORS)),@echo $(MB_MAKE_ECHO_CRAYON) $(1),$(MB_OS_ECHO) -e "\x1B[32m$(MB_MAKE_ECHO_CRAYON)$$1\x1B[39m $(1)") -endef -$(call mb_make_call,mb_doc_function_deep,mb_make_echo_good,"Echo's an message to stdout with 'green' crayon.","") - - -define mb_make_echo_fail -$(if $(filter -1,$(MB_OS_COLORS)),@echo $(MB_MAKE_ECHO_CRAYON) $(1),$(MB_OS_ECHO) -e "\x1B[31m$(MB_MAKE_ECHO_CRAYON)$$1\x1B[39m $(1)") -endef -$(call mb_make_call,mb_doc_function_deep,mb_make_echo_fail,"Echo's an message to stdout with 'red' crayon.","") - - -define mb_make_echo_command -$(if $(filter -1,$(MB_OS_COLORS)),@echo $(MB_MAKE_ECHO_CRAYON) $(1),$(MB_OS_ECHO) -e "\x1B[34m$(MB_MAKE_ECHO_CRAYON)$$1\x1B[39m $(1)") -endef -$(call mb_make_call,mb_doc_function_deep,mb_make_echo_command,"Echo's an message to stdout with 'blue' crayon.","") - - -define mb_make_echo_phase -$(if $(filter -1,$(MB_OS_COLORS)),@echo $(MB_MAKE_ECHO_CRAYON) $(1),$(MB_OS_ECHO) -e "\x1B[35m$(MB_MAKE_ECHO_CRAYON)$$1\x1B[39m $(1)") -endef -$(call mb_make_call,mb_doc_function_deep,mb_make_echo_phase,"Echo's an message to stdout with 'magenta' crayon.","") - - -define mb_make_echo_assert -$(if $(filter -1,$(MB_OS_COLORS)),@echo $(MB_MAKE_ECHO_CRAYON) $(1),$(MB_OS_ECHO) -e "\x1B[33m$(MB_MAKE_ECHO_CRAYON)$$1\x1B[39m $(1)") -endef -$(call mb_make_call,mb_doc_function_deep,mb_make_echo_assert,"Echo's an message to stdout with 'yellow' crayon.","") - -# Last color: Cyan: \u001b[36m +$(call mb_make_call,mb_doc_function_deep,mb_make_check_arg5,"Checks that a function has the given arguments."," ") diff --git a/lib/make/mb_msxhub.mk b/lib/make/mb_msxhub.mk index 46ecebf..cc5bf8c 100644 --- a/lib/make/mb_msxhub.mk +++ b/lib/make/mb_msxhub.mk @@ -7,7 +7,7 @@ $(call mb_make_call,mb_doc_variable_deep,MB_MSXHUB_CACHE,"Cache storage location define _mb_msxhub_file_fetch - @echo === Fetch msxhub file + $(call mb_make_call,mb_os_echo_command,$(MB_I18N_MSXHUB_FILE_FETCH) $(subst $(MB_MSXHUB_API)/,,$(1))) $(if $(wildcard $(dir $(MB_MSXHUB_CACHE)/$(subst $(MB_MSXHUB_API)/,,$(1)))),,$(call mb_make_call,mb_os_dir_create,$(dir $(MB_MSXHUB_CACHE)/$(subst $(MB_MSXHUB_API)/,,$(1))))) $(if $(wildcard $(MB_MSXHUB_CACHE)/$(subst $(MB_MSXHUB_API)/,,$(1))),,wget -O $(MB_MSXHUB_CACHE)/$(subst $(MB_MSXHUB_API)/,,$(1)) $(1)) endef diff --git a/lib/make/mb_msxrom.mk b/lib/make/mb_msxrom.mk index 2a24165..f04ad3f 100644 --- a/lib/make/mb_msxrom.mk +++ b/lib/make/mb_msxrom.mk @@ -8,7 +8,7 @@ $(call mb_make_call,mb_doc_variable_deep,MB_MSXROM_CACHE,"Cache storage location define _mb_msxrom_file_fetch - @echo === Fetch msxrom file + $(call mb_make_call,mb_os_echo_command,$(MB_I18N_MSXROM_FILE_FETCH) $(subst $(MB_MSXROM_API)/,,$(1))) $(if $(wildcard $(dir $(MB_MSXROM_CACHE)/$(subst $(MB_MSXROM_API)/,,$(1)))),,$(call mb_make_call,mb_os_dir_create,$(dir $(MB_MSXROM_CACHE)/$(subst $(MB_MSXROM_API)/,,$(1))))) $(if $(wildcard $(MB_MSXROM_CACHE)/$(subst $(MB_MSXROM_API)/,,$(1))),,wget -O $(MB_MSXROM_CACHE)/$(subst $(MB_MSXROM_API)/,,$(1)) $(1)) endef diff --git a/lib/make/mb_openmsx.mk b/lib/make/mb_openmsx.mk index 2907f4b..6c68020 100644 --- a/lib/make/mb_openmsx.mk +++ b/lib/make/mb_openmsx.mk @@ -30,14 +30,13 @@ MB_OPENMSX_HEADLESS ?= on $(call mb_make_call,mb_doc_variable,MB_OPENMSX_HEADLESS,"Controls if openMSX starts headless.") MB_OPENMSX_RENDERER ?= SDLGL-PP -$(call mb_make_call,mb_doc_variable,MB_OPENMSX_RENDERER,"The gui renderer used to display the window head with.") +$(call mb_make_call,mb_doc_variable_deep,MB_OPENMSX_RENDERER,"The gui renderer used to display the window head with.") -MB_OPENMSX_LOG_PREFIX ?= "Start openMSX! for " -$(call mb_make_call,mb_doc_variable_deep,MB_OPENMSX_LOG_PREFIX,"Prefix of startup message of openMSX.") - -# Kills valuable info on build server, thus keep off until issues openMSX are solved, rm this variale ASP; MB_OPENMSX_STDOUT_IGNORE ?= off +$(call mb_make_call,mb_doc_variable_deep,MB_OPENMSX_STDOUT_IGNORE,"Kills logging...rm after issues openMSX are solved.") + MB_OPENMSX_STDERR_IGNORE ?= off +$(call mb_make_call,mb_doc_variable_deep,MB_OPENMSX_STDERR_IGNORE,"Kills logging...rm after issues openMSX are solved.") define mb_openmsx_setup @@ -62,7 +61,7 @@ $(call mb_make_call,mb_doc_function_deep,mb_openmsx_setup,"Setup openMSX local b define _mb_openmsx_run - $(call mb_make_call,mb_make_echo_command,$(MB_OPENMSX_LOG_PREFIX)$@ on $(2)) + $(call mb_make_call,mb_os_echo_command,$(MB_I18N_OPENMSX_STARTUP) $@ - $(2)) $(if $(wildcard $(1)-omsx/persistent/fire-hdd/untitled1/sync),,$(call mb_make_call,mb_os_dir_create,$(1)-omsx/persistent/fire-hdd/untitled1/sync)) BOOT_WATCHDOG=$(MB_OPENMSX_BOOT_TIMEOUT) \ FIRE_HDD_PATH="$(1)" \ diff --git a/lib/make/mb_os.mk b/lib/make/mb_os.mk index ace8f35..ceff9f1 100644 --- a/lib/make/mb_os.mk +++ b/lib/make/mb_os.mk @@ -60,3 +60,34 @@ define mb_os_file_copy endef $(call mb_make_call,mb_doc_function,mb_os_file_copy,"Copy an file."," ") + +define mb_os_echo_good +$(if $(filter -1,$(MB_OS_COLORS)),@echo $(MB_I18N_OS_ECHO_CRAYON) $(1),$(MB_OS_ECHO) -e "\x1B[32m$(MB_I18N_OS_ECHO_CRAYON)$$1\x1B[39m $(1)") +endef +$(call mb_make_call,mb_doc_function_deep,mb_os_echo_good,"Echo's an message to stdout with 'green' crayon.","") + + +define mb_os_echo_fail +$(if $(filter -1,$(MB_OS_COLORS)),@echo $(MB_I18N_OS_ECHO_CRAYON) $(1),$(MB_OS_ECHO) -e "\x1B[31m$(MB_I18N_OS_ECHO_CRAYON)$$1\x1B[39m $(1)") +endef +$(call mb_make_call,mb_doc_function_deep,mb_os_echo_fail,"Echo's an message to stdout with 'red' crayon.","") + + +define mb_os_echo_command +$(if $(filter -1,$(MB_OS_COLORS)),@echo $(MB_I18N_OS_ECHO_CRAYON) $(1),$(MB_OS_ECHO) -e "\x1B[34m$(MB_I18N_OS_ECHO_CRAYON)$$1\x1B[39m $(1)") +endef +$(call mb_make_call,mb_doc_function_deep,mb_os_echo_command,"Echo's an message to stdout with 'blue' crayon.","") + + +define mb_os_echo_phase +$(if $(filter -1,$(MB_OS_COLORS)),@echo $(MB_I18N_OS_ECHO_CRAYON) $(1),$(MB_OS_ECHO) -e "\x1B[35m$(MB_I18N_OS_ECHO_CRAYON)$$1\x1B[39m $(1)") +endef +$(call mb_make_call,mb_doc_function_deep,mb_os_echo_phase,"Echo's an message to stdout with 'magenta' crayon.","") + + +define mb_os_echo_assert +$(if $(filter -1,$(MB_OS_COLORS)),@echo $(MB_I18N_OS_ECHO_CRAYON) $(1),$(MB_OS_ECHO) -e "\x1B[33m$(MB_I18N_OS_ECHO_CRAYON)$$1\x1B[39m $(1)") +endef +$(call mb_make_call,mb_doc_function_deep,mb_os_echo_assert,"Echo's an message to stdout with 'yellow' crayon.","") + +# Last color: Cyan: \u001b[36m diff --git a/lib/make/mb_proj.mk b/lib/make/mb_proj.mk index fc710ed..4ac99c6 100644 --- a/lib/make/mb_proj.mk +++ b/lib/make/mb_proj.mk @@ -8,15 +8,6 @@ $(call mb_make_call,mb_doc_variable,MB_PROJ_META_DESCRIPTION,"The project descri MB_PROJ_META_WEBSITE ?= $(call mb_make_call,mb_doc_variable,MB_PROJ_META_WEBSITE,"The project website location.") -MB_PROJ_STEP_BEFORE_PREFIX ?= "Before -- " -$(call mb_make_call,mb_doc_variable_deep,MB_PROJ_STEP_BEFORE_PREFIX,"Prefix for begin phase message.") - -MB_PROJ_STEP_AFTER_PREFIX ?= "After ---- " -$(call mb_make_call,mb_doc_variable_deep,MB_PROJ_STEP_AFTER_PREFIX,"Prefix for af phase message.") - -MB_PROJ_STEP_DONE_PREFIX ?= "Finished executing prime pi target " -$(call mb_make_call,mb_doc_variable_deep,MB_PROJ_STEP_DONE_PREFIX,"Prefix for af phase message.") - MB_PROJ_PHASE_PROCESS_DEPS ?= $(call mb_make_call,mb_doc_variable_flow,MB_PROJ_PHASE_PROCESS_DEPS,"The targets to trigger by project @process target.") @@ -110,18 +101,18 @@ $(call mb_make_call,mb_doc_function_deep,mb_proj_grow_deps_phase_package_deploy, define _mb_proj_flow_step_before -$(call mb_make_call,mb_make_echo_phase,$(MB_PROJ_STEP_BEFORE_PREFIX)$@) +$(call mb_make_call,mb_os_echo_phase,$(MB_I18N_PROJ_STEP_BEFORE) $@) endef define _mb_proj_flow_step_done -$(call mb_make_call,mb_make_echo_phase,$(MB_PROJ_STEP_AFTER_PREFIX)$@) -$(if $(filter $@,$(MAKECMDGOALS)),$(call mb_make_call,mb_make_echo_good,$(MB_PROJ_STEP_DONE_PREFIX)$@)) +$(call mb_make_call,mb_os_echo_phase,$(MB_I18N_PROJ_STEP_AFTER) $@) +$(if $(filter $@,$(MAKECMDGOALS)),$(call mb_make_call,mb_os_echo_good,$(MB_I18N_PROJ_STEP_DONE) $@)) endef define _mb_proj_run_clean -$(foreach folder,$(1),$(if $(wildcard $(folder)),$(call mb_make_call,mb_make_echo_command,Removing folder $(folder));)) +$(foreach folder,$(1),$(if $(wildcard $(folder)),$(call mb_make_call,mb_os_echo_command,$(MB_I18N_PROJ_DIR_DELETE) $(folder));)) $(foreach folder,$(1),$(if $(wildcard $(folder)),$(call mb_make_call,mb_os_dir_delete,$(folder)))) endef define _mb_proj_run_init -$(foreach folder,$(1),$(if $(wildcard $(folder)),,$(call mb_make_call,mb_make_echo_command,Creating folder $(folder));)) +$(foreach folder,$(1),$(if $(wildcard $(folder)),,$(call mb_make_call,mb_os_echo_command,$(MB_I18N_PROJ_DIR_CREATE) $(folder));)) $(foreach folder,$(1),$(if $(wildcard $(folder)),,$(call mb_make_call,mb_os_dir_create,$(folder)))) endef @@ -235,12 +226,12 @@ $$(call mb_make_call,mb_doc_target_help,@package-qa,"Run all packages QA of proj @package-deploy: @@package-deploy $$(MB_PROJ_PHASE_PACKAGE_DEPLOY_DEPS) - $$(call mb_make_call,__mb_proj_flow_step_done) + $$(call mb_make_call,_mb_proj_flow_step_done) $$(call mb_make_call,mb_doc_target_help,@package-deploy,"Deploy all the packages.") .PHONY: @package-deploy endef define mb_proj_flow_setup - $(call mb_make_call,mb_make_check_args_1,mb_proj_flow_setup,$(1)) + $(call mb_make_call,mb_make_check_arg1,mb_proj_flow_setup,$(1)) $(eval $(call mb_make_call,__mb_proj_flow_setup,$(1))) endef $(call mb_make_call,mb_doc_function_flow,mb_proj_flow_setup,"Prints flow of abstract project build cycle.","") diff --git a/lib/make/mb_sdcc.mk b/lib/make/mb_sdcc.mk index b4f4161..fee6756 100644 --- a/lib/make/mb_sdcc.mk +++ b/lib/make/mb_sdcc.mk @@ -1,18 +1,18 @@ MB_SDCC_FLAG_CPU ?= -mz80 -$(call mb_make_call,mb_doc_variable,MB_SDCC_FLAG_CPU,"The SDCC cpu target option.") +$(call mb_make_call,mb_doc_variable_deep,MB_SDCC_FLAG_CPU,"The SDCC cpu target option.") MB_SDCC_FLAG_LD ?= --nostdinc -$(call mb_make_call,mb_doc_variable,MB_SDCC_FLAG_LD,"The SDCC linker option.") +$(call mb_make_call,mb_doc_variable_deep,MB_SDCC_FLAG_LD,"The SDCC linker option.") MB_SDCC_ASZ80_FLAGS ?= -g -l -c -o -$(call mb_make_call,mb_doc_variable,MB_SDCC_ASZ80_FLAGS,"The SDCC z80 asm compiler flags.") +$(call mb_make_call,mb_doc_variable_deep,MB_SDCC_ASZ80_FLAGS,"The SDCC z80 asm compiler flags.") MB_SDCC_CC_FLAGS ?= -$(call mb_make_call,mb_doc_variable,MB_SDCC_CC_FLAGS,"The SDCC C compiler flags.") +$(call mb_make_call,mb_doc_variable_deep,MB_SDCC_CC_FLAGS,"The SDCC C compiler flags.") MB_SDCC_AR_FLAGS ?= -rc -$(call mb_make_call,mb_doc_variable,MB_SDCC_AR_FLAGS,"The SDCC module archive flags.") +$(call mb_make_call,mb_doc_variable_deep,MB_SDCC_AR_FLAGS,"The SDCC module archive flags.") # TODO: Add C + mixed support; @@ -21,28 +21,28 @@ $(call mb_make_call,mb_doc_variable,MB_SDCC_AR_FLAGS,"The SDCC module archive fl define mb_sdcc_compile_asm - $(call mb_make_call,mb_make_echo_command,SDCC Compile super $(2) from ASM) + $(call mb_make_call,mb_os_echo_command,$(MB_I18N_SDCC_COMPILE) $(2) - ASM) $(PATH_SDCC)/sdasz80 $(MB_SDCC_ASZ80_FLAGS) $(2) $(1) endef $(call mb_make_call,mb_doc_function,mb_sdcc_compile_asm,"Compiles asm source code."," ") -define mb_sdcc_link_asm_lib - $(call mb_make_call,mb_make_echo_command,SDCC Link ASM lib $(2)) +define mb_sdcc_arlib_asm + $(call mb_make_call,mb_os_echo_command,$(MB_I18N_SDCC_ARLIB) $(2)) $(PATH_SDCC)/sdar $(MB_SDCC_AR_FLAGS) $(1) $(2) endef -$(call mb_make_call,mb_doc_function,mb_sdcc_link_asm_lib,"Link asm lib module."," ") +$(call mb_make_call,mb_doc_function,mb_sdcc_arlib_asm,"Link asm lib module."," ") define mb_sdcc_link_asm - $(call mb_make_call,mb_make_echo_command,SDCC Link megaocto $(2) at $(3)) + $(call mb_make_call,mb_os_echo_command,$(MB_I18N_SDCC_LINK) $(2) - ASM @ $(3)) $(PATH_SDCC)/sdcc $(MB_SDCC_FLAG_CPU) $(MB_SDCC_CC_FLAGS) --no-std-crt0 --code-loc $(3) -o $(2) $(1) endef $(call mb_make_call,mb_doc_function,mb_sdcc_link_asm,"Links asm to custom code location."," ") define mb_sdcc_link_asm_0000 - $(call mb_make_call,mb_sdcc_link_asm,$(1),$(2),0x0000)rule + $(call mb_make_call,mb_sdcc_link_asm,$(1),$(2),0x0000) endef $(call mb_make_call,mb_doc_function,mb_sdcc_link_asm_0000,"Links asm to 0x0000."," ") @@ -83,9 +83,9 @@ endef $(call mb_make_call,mb_doc_function,mb_sdcc_link_asm_bdos,"Links asm to BDOS."," ") -define mb_sdcc_hex2bin - $(call mb_make_call,mb_make_echo_command,SDCC sdobjcopy for $(2)) - $(PATH_SDCC)/sdobjcopy -I ihex --output-target=binary $(1) $(2) +define mb_sdcc_objcopy + $(call mb_make_call,mb_os_echo_command,$(MB_I18N_SDCC_OBJCOPY) $(2)) + $(PATH_SDCC)/sdobjcopy -I ihex --output-target$(MB_MAKE_EQUALS)binary $(1) $(2) endef -$(call mb_make_call,mb_doc_function,mb_sdcc_hex2bin,"Converts an hex file to binary."," ") +$(call mb_make_call,mb_doc_function,mb_sdcc_objcopy,"Converts an hex file to binary."," ") diff --git a/lib/make/mb_tool.mk b/lib/make/mb_tool.mk index 14ace00..ceb27b0 100644 --- a/lib/make/mb_tool.mk +++ b/lib/make/mb_tool.mk @@ -12,25 +12,24 @@ $$(call mb_make_call,mb_doc_target_deep,$(1)) $(1)/$(3).mac: $(2)/$(3).mac | $(1) $$(call mb_make_call,mb_conv_unix2dos,$(2)/$(3).mac,$(1)/$(3).mac) $$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).mac) -MB_PROJ_PHASE_PROCESS_DEPS += $(1)/$(3).mac - +$$(call mb_make_call,mb_proj_grow_deps_phase_process,$(1)/$(3).mac) $(1)/$(3).rel: $(1)/$(3).mac $$(call mb_make_call,mb_msxpipe_safe_cmd,$(1),m80 $$(MB_MAKE_EQUALS)$(3)/Z) $$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).rel) -MB_PROJ_PHASE_COMPILE_DEPS += $(1)/$(3).rel +$$(call mb_make_call,mb_proj_grow_deps_phase_compile,$(1)/$(3).rel) $(1)/$(3).hex: $(1)/$(3).rel $$(call mb_make_call,mb_msxpipe_safe_cmd,$(1),l80 $(3)$$(MB_MAKE_COMMA)$(3)/N/X/Y/E) $$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).hex) -MB_PROJ_PHASE_LINK_DEPS += $(1)/$(3).hex +$$(call mb_make_call,mb_proj_grow_deps_phase_link,$(1)/$(3).hex) $(1)/$(3).com: $(1)/$(3).hex $$(call mb_make_call,mb_msxpipe_safe_cmd,$(1),hextocom $(3)) $$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).com) -MB_PROJ_PHASE_BUILD_DEPS += $(1)/$(3).com +$$(call mb_make_call,mb_proj_grow_deps_phase_build,$(1)/$(3).com) $(1)/@build: $(1)/$(3).com @@ -44,7 +43,7 @@ $$(call mb_make_call,mb_doc_target_run,$(1)/@run,"Run $(3).com manually with gui .PHONY: $(1)/@run endef define mb_tool_m80_flow_bdos_mono - $(call mb_make_call,mb_make_check_args_3,mb_tool_m80_flow_bdos_mono,$(1),$(2),$(3)) + $(call mb_make_call,mb_make_check_arg3,mb_tool_m80_flow_bdos_mono,$(1),$(2),$(3)) $(eval $(call mb_make_call,__mb_tool_m80_flow_bdos_mono,$(1),$(2),$(3))) endef $(call mb_make_call,mb_doc_function_flow,mb_tool_m80_flow_bdos_mono,"Prints flow of m80 toolchain bdos mono file module."," ") @@ -60,19 +59,19 @@ $$(call mb_make_call,mb_doc_target_deep,$(1)) $(1)/$(3).rel: $(2)/$(3).asm | $(1) $$(call mb_make_call,mb_sdcc_compile_asm,$$<,$$@) $$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).rel) -MB_PROJ_PHASE_COMPILE_DEPS += $(1)/$(3).rel +$$(call mb_make_call,mb_proj_grow_deps_phase_compile,$(1)/$(3).rel) $(1)/$(3).hex: $(1)/$(3).rel $$(call mb_make_call,mb_sdcc_link_asm_bdos,$$<,$$@) $$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).hex) -MB_PROJ_PHASE_LINK_DEPS += $(1)/$(3).hex +$$(call mb_make_call,mb_proj_grow_deps_phase_link,$(1)/$(3).hex) $(1)/$(3).com: $(1)/$(3).hex - $$(call mb_make_call,mb_sdcc_hex2bin,$$<,$$@) + $$(call mb_make_call,mb_sdcc_objcopy,$$<,$$@) $$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).com) -MB_PROJ_PHASE_BUILD_DEPS += $(1)/$(3).com +$$(call mb_make_call,mb_proj_grow_deps_phase_build,$(1)/$(3).com) $(1)/@build: $(1)/$(3).com @@ -86,7 +85,7 @@ $$(call mb_make_call,mb_doc_target_run,$(1)/@run,"Run $(3).com manually with gui .PHONY: $(1)/@run endef define mb_tool_sdcc_flow_bdos_mono - $(call mb_make_call,mb_make_check_args_3,mb_tool_sdcc_flow_bdos_mono,$(1),$(2),$(3)) + $(call mb_make_call,mb_make_check_arg3,mb_tool_sdcc_flow_bdos_mono,$(1),$(2),$(3)) $(eval $(call mb_make_call,__mb_tool_sdcc_flow_bdos_mono,$(1),$(2),$(3))) endef $(call mb_make_call,mb_doc_function_flow,mb_tool_sdcc_flow_bdos_mono,"Prints flow of sdcc toolchain bdos mono file module."," ") diff --git a/lib/make/msxbuild.mk b/lib/make/msxbuild.mk index ef7f139..a7c5cd2 100644 --- a/lib/make/msxbuild.mk +++ b/lib/make/msxbuild.mk @@ -24,6 +24,7 @@ include $(PATH_MSXBUILD)/lib/make/mb_autoexec.mk include $(PATH_MSXBUILD)/lib/make/mb_assert.mk include $(PATH_MSXBUILD)/lib/make/mb_tool.mk include $(PATH_MSXBUILD)/lib/make/mb_proj.mk +include $(PATH_MSXBUILD)/lib/make/mb_i18n.mk include $(PATH_MSXBUILD)/lib/make/mb_os.mk @@ -37,7 +38,7 @@ $(call mb_make_call,mb_doc_variable_rock,PATH_MSXBUILD_REAL,"Resolved real path define mb_create_dist - $(call mb_make_call,mb_make_echo_command,Creating distribution archive $(2)) + $(call mb_make_call,mb_os_echo_command,Creating distribution archive $(2)) tar -czf $(2) -C $(1) `ls $(1)` endef $(call mb_make_call,mb_doc_function,mb_create_dist,"Create an distribution archive."," ") diff --git a/src/dist-qa-dos1/0module.mk b/src/dist-qa-dos1/0module.mk index 4e02f1c..d5547e4 100644 --- a/src/dist-qa-dos1/0module.mk +++ b/src/dist-qa-dos1/0module.mk @@ -25,7 +25,7 @@ $(DIST_QA_DOS1_BIN)/@assert: | $(DIST_QA_DOS1_DEPS) $(call mb_make_call,mb_autoexec_append_safe_test,$(DIST_QA_DOS1_BIN),ahello2) $(call mb_make_call,mb_autoexec_append_exit,$(DIST_QA_DOS1_BIN)) $(call mb_make_call,mb_openmsx_dosctl,$(DIST_QA_DOS1_BIN)) - $(call mb_make_call,mb_make_call,mb_make_echo_assert,Assert succesfully $(DIST_QA_DOS1_BIN)/@assert) + $(call mb_make_call,mb_os_echo_assert,$(MB_I18N_ASSERT_SUCCESS) $(DIST_QA_DOS1_BIN)/@assert) $(call mb_make_call,mb_doc_target,$(DIST_QA_DOS1_BIN)/@assert,"Assert binaries on msxdos1.") $(call mb_make_call,mb_proj_grow_deps_phase_package_qa,$(DIST_QA_DOS1_BIN)/@assert) .PHONY: $(DIST_QA_DOS1_BIN)/@assert diff --git a/src/dist-qa-dos2/0module.mk b/src/dist-qa-dos2/0module.mk index 065f4f2..a469fe8 100644 --- a/src/dist-qa-dos2/0module.mk +++ b/src/dist-qa-dos2/0module.mk @@ -29,7 +29,7 @@ $(DIST_QA_DOS2_BIN)/@assert: | $(DIST_QA_DOS2_DEPS) $(call mb_make_call,mb_openmsx_dosctl,$(DIST_QA_DOS2_BIN)) grep -q "M80: Hello world..." $(DIST_QA_DOS2_BIN)/ahello1.out grep -q "SDCC: Hello world..." $(DIST_QA_DOS2_BIN)/ahello2.out - $(call mb_make_call,mb_make_echo_assert,Assert succesfully $(DIST_QA_DOS2_BIN)/@assert) + $(call mb_make_call,mb_os_echo_assert,$(MB_I18N_ASSERT_SUCCESS) $(DIST_QA_DOS2_BIN)/@assert) $(call mb_make_call,mb_doc_target,$(DIST_QA_DOS2_BIN)/@assert,"Assert binaries on msxdos2.") $(call mb_make_call,mb_proj_grow_deps_phase_package_qa,$(DIST_QA_DOS2_BIN)/@assert) .PHONY: $(DIST_QA_DOS2_BIN)/@assert diff --git a/src/dist-qa-msx1/0module.mk b/src/dist-qa-msx1/0module.mk index ba92123..275e64a 100644 --- a/src/dist-qa-msx1/0module.mk +++ b/src/dist-qa-msx1/0module.mk @@ -31,7 +31,7 @@ $(DIST_QA_MSX1_BIN)/@assert: | $(DIST_QA_MSX1_DEPS) $(call mb_make_call,mb_openmsx_dosctl,$(DIST_QA_MSX1_BIN),$(DIST_QA_MSX1_MACHINE)) grep -q "M80: Hello world..." $(DIST_QA_MSX1_BIN)/ahello1.out grep -q "SDCC: Hello world..." $(DIST_QA_MSX1_BIN)/ahello2.out - $(call mb_make_call,mb_make_echo_assert,Assert succesfully $(DIST_QA_MSX1_BIN)/@assert) + $(call mb_make_call,mb_os_echo_assert,$(MB_I18N_ASSERT_SUCCESS) $(DIST_QA_MSX1_BIN)/@assert) $(call mb_make_call,mb_doc_target,$(DIST_QA_MSX1_BIN)/@assert,"Assert binaries on MSX1 machine.") $(call mb_make_call,mb_proj_grow_deps_phase_package_qa,$(DIST_QA_MSX1_BIN)/@assert) .PHONY: $(DIST_QA_MSX1_BIN)/@assert diff --git a/src/dist-qa-msxhub/0module.mk b/src/dist-qa-msxhub/0module.mk index 4c3dfcd..35f17fe 100644 --- a/src/dist-qa-msxhub/0module.mk +++ b/src/dist-qa-msxhub/0module.mk @@ -57,7 +57,7 @@ $(DIST_QA_MSXHUB_BIN)/@assert: | $(DIST_QA_MSXHUB_DEPS) grep -q "SDCC: Hello world..." $(DIST_QA_MSXHUB_BIN)/ahello2.out grep -q "KONPASS COM" $(DIST_QA_MSXHUB_BIN)/utils.out grep -q "MAKE COM" $(DIST_QA_MSXHUB_BIN)/utils.out - $(call mb_make_call,mb_make_echo_assert,Assert succesfully $(DIST_QA_MSXHUB_BIN)/@assert) + $(call mb_make_call,mb_os_echo_assert,$(MB_I18N_ASSERT_SUCCESS) $(DIST_QA_MSXHUB_BIN)/@assert) $(call mb_make_call,mb_doc_target,$(DIST_QA_MSXHUB_BIN)/@assert,"Asserts that all dist artifacts execute results.") $(call mb_make_call,mb_proj_grow_deps_phase_test,$(DIST_QA_MSXHUB_BIN)/@assert) .PHONY: $(DIST_QA_MSXHUB_BIN)/@assert diff --git a/src/make-on-msx/0module.mk b/src/make-on-msx/0module.mk index 5cfe3a8..b34d5fa 100644 --- a/src/make-on-msx/0module.mk +++ b/src/make-on-msx/0module.mk @@ -48,7 +48,7 @@ $(call mb_make_call,mb_doc_target_run,$(MAKE_ON_MSX_BIN)/@run,"Run the make buil .PHONY: $(MAKE_ON_MSX_BIN)/@run -$(call mb_make_call_eval,mb_assert_flow_grep_binary,$(MAKE_ON_MSX_BIN),check-bin,nfbsshot.bin,screenshot) +$(call mb_make_call,mb_assert_flow_grep_binary,$(MAKE_ON_MSX_BIN),check-bin,nfbsshot.bin,screenshot) #$(MAKE_ON_MSX_BIN)/@assert-check-bin: $(MAKE_ON_MSX_BIN)/@build # grep -U "screenshot" $(MAKE_ON_MSX_BIN)/nfbsshot.bin #MB_DOC_FIRE_TARGET += $(call mb_make_call,mb_doc_target,$(MAKE_ON_MSX_BIN)/@assert-check-bin,"Asserts that binary contains screenshot string.") From a16516d9346825c3ec56023800bbbf3d57ccf211 Mon Sep 17 00:00:00 2001 From: Willem Date: Wed, 10 Jul 2024 14:05:38 +0200 Subject: [PATCH 163/274] WIP Tab removal, new debug mode, clean proj deps + add i18n commands. --- Makefile | 170 +++++----------- lib/make/mb_assert.mk | 42 ++-- lib/make/mb_autoexec.mk | 88 ++++----- lib/make/mb_conv.mk | 10 +- lib/make/mb_doc.mk | 304 ++++++++++++++--------------- lib/make/mb_flight.mk | 54 +++--- lib/make/mb_i18n.mk | 104 +++++++--- lib/make/mb_make.mk | 129 +++++------- lib/make/mb_make_call.mk | 25 +++ lib/make/mb_make_xml.mk | 43 ++++ lib/make/mb_msxhub.mk | 182 ++++++++--------- lib/make/mb_msxpipe.mk | 36 ++-- lib/make/mb_msxrom.mk | 112 +++++------ lib/make/mb_openmsx.mk | 115 +++++------ lib/make/mb_os.mk | 97 ++++++---- lib/make/mb_proj.mk | 355 +++++++++++++++++----------------- lib/make/mb_sdcc.mk | 33 ++-- lib/make/mb_tool.mk | 106 +++++----- lib/make/msxbuild.mk | 67 +++---- lib/make/setup-debug.mk | 49 +++++ lib/make/setup-prolog.mk | 16 ++ lib/make/setup-verbose.mk | 82 ++++++++ src/dist-qa-dos1/0module.mk | 12 +- src/dist-qa-dos2/0module.mk | 8 +- src/dist-qa-msx1/0module.mk | 10 +- src/dist-qa-msxhub/0module.mk | 14 +- src/dist/0module.mk | 4 +- src/make-on-msx/0module.mk | 13 +- src/mbboot80/0module.mk | 2 +- 29 files changed, 1242 insertions(+), 1040 deletions(-) create mode 100644 lib/make/mb_make_call.mk create mode 100644 lib/make/mb_make_xml.mk create mode 100644 lib/make/setup-debug.mk create mode 100644 lib/make/setup-prolog.mk create mode 100644 lib/make/setup-verbose.mk diff --git a/Makefile b/Makefile index d4175cf..6f5a104 100644 --- a/Makefile +++ b/Makefile @@ -2,134 +2,68 @@ # Module based project makefile for msxbuild. # - -# Configure make to normal empty state -MAKEFLAGS += --no-builtin-rules -MAKEFLAGS += --no-builtin-variables -.SUFFIXES: -.PHONY: Makefile - -# Configure make verbose mode -ifdef VERBOSE -ifeq ("$(VERBOSE)", "off") -.SILENT: -endif -endif -ifdef うるさい -ifeq ("$(うるさい)", "ユニット") -.SILENT: -endif -endif -ifdef LUIDRUCHTIG -ifeq ("$(LUIDRUCHTIG)", "uit") -.SILENT: -endif -endif -ifdef VERBOSA -ifeq ("$(VERBOSA)", "traje") -.SILENT: -endif -endif -ifdef VERBOSO -ifeq ("$(VERBOSO)", "traje") -.SILENT: -endif -endif -ifdef VERBEUSE -ifeq ("$(VERBEUSE)", "dehors") -.SILENT: -endif -endif -ifdef VERBEUX -ifeq ("$(VERBEUX)", "dehors") -.SILENT: -endif -endif -ifdef шумный -ifeq ("$(шумный)", "вне") -.SILENT: -endif -endif -ifdef 吵雜 -ifeq ("$(吵雜)", "出去") -.SILENT: -endif -endif -ifdef वाचाल -ifeq ("$(वाचाल)", "बंद") -.SILENT: -endif -endif -ifdef ᕗᕉᑉᕉᔅ -ifeq ("$(ᕗᕉᑉᕉᔅ)", "ᖃᒥᓪᓗᒍ") -.SILENT: -endif -endif -ifndef VERBOSE -ifndef うるさい -ifndef LUIDRUCHTIG -ifndef VERBOSA -ifndef VERBOSO -ifndef VERBEUSE -ifndef VERBEUX -ifndef шумный -ifndef 吵雜 -ifndef वाचाल -ifndef ᕗᕉᑉᕉᔅ -.SILENT: -endif -endif -endif -endif -endif -endif -endif -endif -endif -endif -endif - - -# Configure project --include Makelocal.mk +# Configure make,project,msxbuild PATH_BIN := bin PATH_SRC := src PATH_MSXBUILD ?= . -ifeq ($(.DEFAULT_GOAL),) -.DEFAULT_GOAL := @help -endif +include $(PATH_MSXBUILD)/lib/make/setup-prolog.mk +include $(PATH_MSXBUILD)/lib/make/setup-verbose.mk +include $(PATH_MSXBUILD)/lib/make/setup-debug.mk +-include Makelocal.mk -# Generate project flow +# TODO: Temp redo src mk files +.RECIPEPREFIX := include $(PATH_MSXBUILD)/lib/make/msxbuild.mk $(call mb_make_call,mb_doc_flow_help,MSXBUILD-Example) $(call mb_make_call,mb_make_flow_0module,$(PATH_SRC)) $(call mb_make_call,mb_proj_flow_setup,$(PATH_BIN)) $(call mb_make_call,mb_flight_proj_flow_video,$(PATH_BIN)) -# Add japanese support +# Add japanese support (TODO move) ifdef LANG_JP -MB_I18N_ASSERT_SUCCESS = "アサート成功" -MB_I18N_AUTOEXEC_WRITE = "自動実行の書き込み" -MB_I18N_CONV_UNIX2DOS = "ディスクシステムの行末に変換" -MB_I18N_CONV_DOS2UNIX = "メインフレームラインエンドに変換" -MB_I18N_MAKE_CHECK_ARG1 = "引数 一 がありません。" -MB_I18N_MAKE_CHECK_ARG2 = "引数 二 がありません。" -MB_I18N_MAKE_CHECK_ARG3 = "引数 三 がありません。" -MB_I18N_MAKE_CHECK_ARG4 = "引数 四 がありません。" -MB_I18N_MAKE_CHECK_ARG5 = "引数 五 がありません。" -MB_I18N_MSXHUB_FILE_FETCH = "コンピューターハブファイルを取得する" -MB_I18N_MSXROM_FILE_FETCH = "読み取り専用メモリファイルを取得する" -MB_I18N_OPENMSX_STARTUP = "オープンメッカシステムを開始" -MB_I18N_OS_ECHO_CRAYON = "©Δ∞ 仙上主天" -MB_I18N_PROJ_STEP_BEFORE = "フェーズ前" -MB_I18N_PROJ_STEP_AFTER = "フェーズ後" -MB_I18N_PROJ_STEP_DONE = "プライムパイターゲットの実行を終了しました" -MB_I18N_PROJ_DIR_CREATE = "フォルダーの作成" -MB_I18N_PROJ_DIR_DELETE = "フォルダーの削除" -MB_I18N_SDCC_COMPILE = "標準マイクロコンパイラ" -MB_I18N_SDCC_ARLIB = "標準マイクロビルドライブラリ" -MB_I18N_SDCC_LINK = "標準マイクロリンクメッカ図書館" -MB_I18N_SDCC_OBJCOPY = "標準マイクロオブジェクトコピー" -$(call mb_make_call,mb_proj_flow_setup_jp) +MB_I18N_ASSERT_SUCCESS = アサート成功 +MB_I18N_AUTOEXEC_WRITE = 自動実行の書き込み +MB_I18N_CONV_UNIX2DOS = ディスクシステムの行末に変換 +MB_I18N_CONV_DOS2UNIX = メインフレームラインエンドに変換 +MB_I18N_MAKE_CHECK_ARG1 = 引数 一 がありません +MB_I18N_MAKE_CHECK_ARG2 = 引数 二 がありません +MB_I18N_MAKE_CHECK_ARG3 = 引数 三 がありません +MB_I18N_MAKE_CHECK_ARG4 = 引数 四 がありません +MB_I18N_MAKE_CHECK_ARG5 = 引数 五 がありません +MB_I18N_MSXHUB_FILE_FETCH = コンピューターハブファイルを取得する +MB_I18N_MSXROM_FILE_FETCH = 読み取り専用メモリファイルを取得する +MB_I18N_OPENMSX_STARTUP = オープンメッカシステムを開始 +MB_I18N_OS_ECHO_CRAYON = ©Δ∞ 仙上主天 +MB_I18N_PROJ_STEP_BEFORE = フェーズ前 +MB_I18N_PROJ_STEP_AFTER = フェーズ後 +MB_I18N_PROJ_STEP_DONE = プライムパイターゲットの実行を終了しました +MB_I18N_PROJ_DIR_CREATE = フォルダーの作成 +MB_I18N_PROJ_DIR_DELETE = フォルダーの削除 +MB_I18N_SDCC_COMPILE = 標準マイクロコンパイラ +MB_I18N_SDCC_ARLIB = 標準マイクロビルドライブラリ +MB_I18N_SDCC_LINK = 標準マイクロリンクメッカ図書館 +MB_I18N_SDCC_OBJCOPY = 標準マイクロオブジェクトコピー +MB_I18N_PROJ_PHASEID_CLEAN = クリーン +MB_I18N_PROJ_PHASEDOC_CLEAN = プロジェクトのビルドフォルダーをクリーンアップします。 +MB_I18N_PROJ_PHASEID_INIT = 初期化する +MB_I18N_PROJ_PHASEDOC_INIT = モジュール出力フォルダーをセットアップして検証します。 +MB_I18N_PROJ_PHASEID_PROCESS = プロセス +MB_I18N_PROJ_PHASEDOC_PROCESS = コンパイル前にソースを処理します。 +MB_I18N_PROJ_PHASEID_COMPILE = コンパイル +MB_I18N_PROJ_PHASEDOC_COMPILE = すべてのプロジェクト ソースをコンパイルします。 +MB_I18N_PROJ_PHASEID_LINK = リンク +MB_I18N_PROJ_PHASEDOC_LINK = すべてのプロジェクト中間ファイルをリンクします。 +MB_I18N_PROJ_PHASEID_BUILD = 建てる +MB_I18N_PROJ_PHASEDOC_BUILD = すべてのプロジェクト成果物をビルドします。 +MB_I18N_PROJ_PHASEID_TEST = テスト +MB_I18N_PROJ_PHASEDOC_TEST = すべてのアサーション テストを実行します。 +MB_I18N_PROJ_PHASEID_PACKAGE = パッケージ +MB_I18N_PROJ_PHASEDOC_PACKAGE = プロジェクトのパッケージを作成します。 +MB_I18N_PROJ_PHASEID_PACKAGE_QA = パッケージの品質保証 +MB_I18N_PROJ_PHASEDOC_PACKAGE_QA = すべてのパッケージを実行します。プロジェクトの品質保証。 +MB_I18N_PROJ_PHASEID_PACKAGE_DEPLOY = パッケージのデプロイ +MB_I18N_PROJ_PHASEDOC_PACKAGE_DEPLOY = パッケージをデプロイします。 + +$(call mb_make_call,mb_proj_flow_setup_i18n) endif diff --git a/lib/make/mb_assert.mk b/lib/make/mb_assert.mk index 3b919f4..b948a32 100644 --- a/lib/make/mb_assert.mk +++ b/lib/make/mb_assert.mk @@ -1,33 +1,41 @@ define __mb_assert_flow_grep_binary +.RECIPEPREFIX := $(MB__RECIPE) $(1)/@assert-$(2): $(1)/@build - grep -q -U $(4) $(1)/$(3) - $$(call mb_make_call,mb_os_echo_assert,$$(MB_I18N_ASSERT_SUCCESS) @assert-$(2)) -$$(call mb_make_call,mb_doc_target,$(1)/@assert-$(2),"Asserts that $(3) output matches.") -$$(call mb_make_call,mb_proj_grow_deps_phase_test,$(1)/@assert-$(2)) -.PHONY: $(1)/@assert-$(2) +$(MB__RECIPE)grep -q -U $(4) $(1)/$(3) +$(MB__RECIPE)$$(call mb_make_call,mb_os_echo_assert,$$(MB_I18N_ASSERT_SUCCESS) @assert-$(2)) +$(MB__    )$$(call mb_make_call,mb_doc_target,$(1)/@assert-$(2),"Asserts that $(3) output matches.") +$(MB__    )$$(call mb_make_call,mb_make_flag_phony,$(1)/@assert-$(2)) +$(MB__    )$$(call mb_make_call,mb_proj_grow_deps_phase_test,$(1)/@assert-$(2)) +.RECIPEPREFIX := $(MB__RECIPEPREFIX) endef + define mb_assert_flow_grep_binary - $(call mb_make_call,mb_make_check_arg4,mb_assert_flow_grep_binary,$(1),$(2),$(3),$(4)) - $(eval $(call mb_make_call,__mb_assert_flow_grep_binary,$(1),$(2),$(3),$(4))) +$(MB__    )$(call mb_make_call,mb_make_check_arg4,mb_assert_flow_grep_binary,$(1),$(2),$(3),$(4)) +$(MB__    )$(eval $(call mb_make_call,__mb_assert_flow_grep_binary,$(1),$(2),$(3),$(4))) endef $(call mb_make_call,mb_doc_function_flow,mb_assert_flow_grep_binary,"Prints flow of single binary grep assert."," ") #$$(call mb_delete,$(1)/$(3).out) define __mb_assert_flow_bdos_grep +.RECIPEPREFIX := $(MB__RECIPE) +MB__TARGET := $(1)-test-$(2)/@assert $(1)-test-$(2)/@assert: $(1)/@build - $$(call mb_make_call,mb_os_dir_create,$(1)-test-$(2)) - $$(call mb_make_call,mb_os_file_copy,$(1)/$(3).com,$(1)-test-$(2)) - $$(call mb_make_call,mb_msxpipe_safe_test,$(1)-test-$(2),$(3) > $(3).out) - grep -q $(4) $(1)-test-$(2)/$(3).out - $$(call mb_make_call,mb_os_echo_assert,$$(MB_I18N_ASSERT_SUCCESS) $(1)-test-$(2)/@assert) -$$(call mb_make_call,mb_doc_target,$(1)-test-$(2)/@assert,"Asserts that $(3) output matches.") -$$(call mb_make_call,mb_proj_grow_deps_phase_test,$(1)-test-$(2)/@assert) -.PHONY: $(1)-test-$(2)/@assert +$(MB__RECIPE)$$(call mb_make_call,mb_os_dir_create,$(1)-test-$(2)) +$(MB__RECIPE)$$(call mb_make_call,mb_os_file_copy,$(1)/$(3).com,$(1)-test-$(2)) +$(MB__RECIPE)$$(call mb_make_call,mb_msxpipe_safe_test,$(1)-test-$(2),$(3) > $(3).out) +$(MB__RECIPE)grep -q $(4) $(1)-test-$(2)/$(3).out +$(MB__RECIPE)$$(call mb_make_call,mb_os_echo_assert,$$(MB_I18N_ASSERT_SUCCESS) FOO $(1)-test-$(2)/@assert) +$(MB__    )$$(call mb_make_call,mb_doc_target,$(1)-test-$(2)/@assert,"Asserts that $(3) output matches.") +$(MB__    )$$(call mb_make_call,mb_make_flag_phony,$(1)-test-$(2)/@assert) +$(MB__    )$$(call mb_make_call,mb_proj_grow_deps_phase_test,$(1)-test-$(2)/@assert) +.RECIPEPREFIX := $(MB__RECIPEPREFIX) endef + define mb_assert_flow_bdos_grep - $(call mb_make_call,mb_make_check_arg4,mb_assert_flow_bdos_grep,$(1),$(2),$(3),$(4)) - $(eval $(call mb_make_call,__mb_assert_flow_bdos_grep,$(1),$(2),$(3),$(4))) +$(MB__    )$(call mb_make_call,mb_make_check_arg4,mb_assert_flow_bdos_grep,$(1),$(2),$(3),$(4)) +$(MB__    )$(eval $(call mb_make_call,__mb_assert_flow_bdos_grep,$(1),$(2),$(3),$(4))) endef $(call mb_make_call,mb_doc_function_flow,mb_assert_flow_bdos_grep,"Prints flow of single grep assert."," ") + diff --git a/lib/make/mb_autoexec.mk b/lib/make/mb_autoexec.mk index 34e692b..335a2b2 100644 --- a/lib/make/mb_autoexec.mk +++ b/lib/make/mb_autoexec.mk @@ -67,114 +67,114 @@ $(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_PROMPT_SPACE,"When on adds an wh define mb_autoexec_append_cmd - echo "$(2)\r" >> $(1)/autoexec.bat +$(MB__    )echo -e "$(2)\r" >> $(1)/autoexec.bat endef $(call mb_make_call,mb_doc_function,mb_autoexec_append_cmd,"Appends an command."," ") define mb_autoexec_append_echo - $(call mb_make_call,mb_autoexec_append_cmd,$(1),echo $(subst >,>,$(2))) +$(MB__    )$(call mb_make_call,mb_autoexec_append_cmd,$(1),echo $(subst >,>,$(2))) endef $(call mb_make_call,mb_doc_function,mb_autoexec_append_echo,"Appends an echo message."," ") define mb_autoexec_append_rem - $(call mb_make_call,mb_autoexec_append_cmd,$(1),rem $(2)) +$(MB__    )$(call mb_make_call,mb_autoexec_append_cmd,$(1),rem $(2)) endef $(call mb_make_call,mb_doc_function,mb_autoexec_append_rem,"Appends an script remark."," ") define mb_autoexec_append_show_gui - $(call mb_make_call,mb_autoexec_append_cmd,$(1),omsxctl headless_show_gui) +$(MB__    )$(call mb_make_call,mb_autoexec_append_cmd,$(1),omsxctl headless_show_gui) endef $(call mb_make_call,mb_doc_function,mb_autoexec_append_show_gui,"Appends headless show gui command.","") define mb_autoexec_append_stop_fail - $(call mb_make_call,mb_autoexec_append_cmd,$(1),omsxctl fail_after 0) +$(MB__    )$(call mb_make_call,mb_autoexec_append_cmd,$(1),omsxctl fail_after 0) endef $(call mb_make_call,mb_doc_function,mb_autoexec_append_stop_fail,"Appends stop automatic failure command.","") define mb_autoexec_append_exit - $(if $(filter on,$(MB_FLIGHT_SCREEN)),$(call mb_make_call,mb_autoexec_append_save_screenshot,$(1))) - $(call mb_make_call,mb_autoexec_append_cmd,$(1),omsxctl headless_exit) +$(MB__    )$(if $(filter on,$(MB_FLIGHT_SCREEN)),$(call mb_make_call,mb_autoexec_append_save_screenshot,$(1))) +$(MB__    )$(call mb_make_call,mb_autoexec_append_cmd,$(1),omsxctl headless_exit) endef $(call mb_make_call,mb_doc_function,mb_autoexec_append_exit,"Appends exit emulation command.","") define mb_autoexec_append_plug_porta - $(call mb_make_call,mb_autoexec_append_cmd,$(1),omsxctl boot_exec_plug_porta $(2)) +$(MB__    )$(call mb_make_call,mb_autoexec_append_cmd,$(1),omsxctl boot_exec_plug_porta $(2)) endef $(call mb_make_call,mb_doc_function,mb_autoexec_append_plug_porta,"Appends an plug device into joyporta action."," ") define mb_autoexec_append_plug_portb - $(call mb_make_call,mb_autoexec_append_cmd,$(1),omsxctl boot_exec_plug_portb $(2)) +$(MB__    )$(call mb_make_call,mb_autoexec_append_cmd,$(1),omsxctl boot_exec_plug_portb $(2)) endef $(call mb_make_call,mb_doc_function,mb_autoexec_append_plug_portb,"Appends an plug device into joyportb action."," ") define mb_autoexec_append_save_screenshot - $(call mb_make_call,mb_autoexec_append_cmd,$(1),omsxctl night_flight_save_screenshot $(2)) +$(MB__    )$(call mb_make_call,mb_autoexec_append_cmd,$(1),omsxctl night_flight_save_screenshot $(2)) endef $(call mb_make_call,mb_doc_function,mb_autoexec_append_save_screenshot,"Appends save screenshot command."," [prefix]") define mb_autoexec_append_save_video - $(call mb_make_call,mb_autoexec_append_cmd,$(1),omsxctl night_flight_save_video $(2)) +$(MB__    )$(call mb_make_call,mb_autoexec_append_cmd,$(1),omsxctl night_flight_save_video $(2)) endef $(call mb_make_call,mb_doc_function,mb_autoexec_append_save_video,"Appends save video command."," [prefix]") define mb_autoexec_append_safe_test - $(call mb_make_call,mb_autoexec_append_cmd,$(1),omsxctl fail_after $(if $(3),$(3),$(MB_AUTOEXEC_SAFE_TEST_TIMEOUT)) seconds err_test $(if $(4),$(4),$(MB_AUTOEXEC_SAFE_TEST_EXITCODE))) - $(call mb_make_call,mb_autoexec_append_echo,$(1),mb::safe test $(2)) - $(call mb_make_call,mb_autoexec_append_cmd,$(1),$(2)) +$(MB__    )$(call mb_make_call,mb_autoexec_append_cmd,$(1),omsxctl fail_after $(if $(3),$(3),$(MB_AUTOEXEC_SAFE_TEST_TIMEOUT)) seconds err_test $(if $(4),$(4),$(MB_AUTOEXEC_SAFE_TEST_EXITCODE))) +$(MB__    )$(call mb_make_call,mb_autoexec_append_echo,$(1),mb::safe test $(2)) +$(MB__    )$(call mb_make_call,mb_autoexec_append_cmd,$(1),$(2)) endef $(call mb_make_call,mb_doc_function,mb_autoexec_append_safe_test,"Appends running a safe test."," [timeout] [exit-code]") define mb_autoexec_append_safe_cmd - $(call mb_make_call,mb_autoexec_append_cmd,$(1),omsxctl fail_after $(if $(3),$(3),$(MB_AUTOEXEC_SAFE_CMD_TIMEOUT)) seconds err_cmd $(if $(4),$(4),$(MB_AUTOEXEC_SAFE_CMD_EXITCODE))) - $(call mb_make_call,mb_autoexec_append_echo,$(1),mb::safe command $(2)) - $(call mb_make_call,mb_autoexec_append_cmd,$(1),$(2)) +$(MB__    )$(call mb_make_call,mb_autoexec_append_cmd,$(1),omsxctl fail_after $(if $(3),$(3),$(MB_AUTOEXEC_SAFE_CMD_TIMEOUT)) seconds err_cmd $(if $(4),$(4),$(MB_AUTOEXEC_SAFE_CMD_EXITCODE))) +$(MB__    )$(call mb_make_call,mb_autoexec_append_echo,$(1),mb::safe command $(2)) +$(MB__    )$(call mb_make_call,mb_autoexec_append_cmd,$(1),$(2)) endef $(call mb_make_call,mb_doc_function,mb_autoexec_append_safe_cmd,"Appends running a safe command."," [timeout] [exit-code]") define _mb_autoexec_write_preboot - $(call mb_make_call,mb_autoexec_append_cmd,$(1),$(2)mbboot80) - $(call mb_make_call,mb_autoexec_append_cmd,$(1),$(2)omsxctl boot_exec_setcolor $(if $(3),$(3),$(MB_AUTOEXEC_COLOR_FG)) $(if $(4),$(4),$(MB_AUTOEXEC_COLOR_BG))) - $(callmb_make_call, mb_autoexec_append_cmd,$(1),$(2)omsxctl fail_after $(MB_AUTOEXEC_STARTUP_TIMEOUT) seconds err_exec $(MB_AUTOEXEC_STARTUP_EXITCODE)) - $(if $(filter on,$(MB_AUTOEXEC_SHOW_INIT)),$(call mb_make_call,mb_autoexec_append_cmd,$(1),$(2)omsxctl boot_exec_show_init)) - $(if $(filter on,$(MB_AUTOEXEC_SHOW_VERSION)),$(call mb_make_call,mb_autoexec_append_cmd,$(1),ver)) - $(call mb_make_call,mb_autoexec_append_cmd,$(1),PATH + A:\;) - $(if $(wildcard $(1)/utils),$(call mb_make_call,mb_autoexec_append_cmd,$(1),PATH + A:\UTILS)) +$(MB__    )$(call mb_make_call,mb_autoexec_append_cmd,$(1),$(2)mbboot80) +$(MB__    )$(call mb_make_call,mb_autoexec_append_cmd,$(1),$(2)omsxctl boot_exec_setcolor $(if $(3),$(3),$(MB_AUTOEXEC_COLOR_FG)) $(if $(4),$(4),$(MB_AUTOEXEC_COLOR_BG))) +$(MB__    )$(call mb_make_call,mb_autoexec_append_cmd,$(1),$(2)omsxctl fail_after $(MB_AUTOEXEC_STARTUP_TIMEOUT) seconds err_exec $(MB_AUTOEXEC_STARTUP_EXITCODE)) +$(MB__    )$(if $(filter on,$(MB_AUTOEXEC_SHOW_INIT)),$(call mb_make_call,mb_autoexec_append_cmd,$(1),$(2)omsxctl boot_exec_show_init)) +$(MB__    )$(if $(filter on,$(MB_AUTOEXEC_SHOW_VERSION)),$(call mb_make_call,mb_autoexec_append_cmd,$(1),ver)) +$(MB__    )$(call mb_make_call,mb_autoexec_append_cmd,$(1),PATH + A:\;) +$(MB__    )$(if $(wildcard $(1)/utils),$(call mb_make_call,mb_autoexec_append_cmd,$(1),PATH + A:\UTILS)) endef define mb_autoexec_write_default - $(call mb_make_call,mb_os_echo_command,$(MB_I18N_AUTOEXEC_WRITE) $@) - @echo -n "" > $(1)/autoexec.bat - $(if $(wildcard $(1)/utils),$(call mb_make_call,_mb_autoexec_write_preboot,$(1),utils\,$(2),$(3)),$(call mb_make_call,_mb_autoexec_write_preboot,$(1),,$(2),$(3))) - $(if $(filter on,$(MB_AUTOEXEC_SHOW_HOST)),$(call mb_make_call,mb_autoexec_append_cmd,$(1),omsxctl boot_exec_show_host)) - $(if $(MB_AUTOEXEC_STARTUP_NOTE),$(call mb_make_call,mb_autoexec_append_echo,$(1),mb::note $(MB_AUTOEXEC_STARTUP_NOTE))) - $(if $(filter on,$(MB_FLIGHT_VIDEO)),$(call mb_make_call,mb_autoexec_append_save_video,$(1))) - echo -n "$(subst $(MB_MAKE_SPACE)set,set,$(foreach var,$(MB_AUTOEXEC_EMBED_VARS),set MSX_$(var)$(MB_MAKE_EQUALS)$($(var))\r\n))" >> $(1)/autoexec.bat - echo -n "$(subst $(MB_MAKE_SPACE)set,set,$(foreach var,$(MB_AUTOEXEC_EMBED_VARS),echo MSX_$(var)$(MB_MAKE_EQUALS)%MSX_$(var)%\r\n))" >> $(1)/autoexec.bat - $(call mb_make_call,mb_autoexec_append_cmd,$(1),set TIME$(MB_MAKE_EQUALS)$(MB_AUTOEXEC_TIME_FORMAT)) - $(call mb_make_call,mb_autoexec_append_cmd,$(1),set DATE$(MB_MAKE_EQUALS)$(MB_AUTOEXEC_DATE_FORMAT)) - $(if $(filter on,$(MB_AUTOEXEC_SHOW_PATH)),$(call mb_make_call,mb_autoexec_append_cmd,$(1),echo mb::path %PATH%)) - $(call mb_make_call,mb_autoexec_append_cmd,$(1),set MB_SNAIL$(MB_MAKE_EQUALS)$(notdir $@)) - $(call mb_make_call,mb_autoexec_append_cmd,$(1),set MB_USER$(MB_MAKE_EQUALS)$($(MB_AUTOEXEC_EMBED_USER))) - $(if $(filter on,$(MB_AUTOEXEC_SHOW_USER)),$(call mb_make_call,mb_autoexec_append_echo,$(1),mb::user %MB_USER%)) - $(call mb_make_call,mb_autoexec_append_cmd,$(1),set MB_SLIME$(MB_MAKE_EQUALS)$(1)) - $(call mb_make_call,mb_autoexec_append_cmd,$(1),set MB_TARGET$(MB_MAKE_EQUALS)$@) - $(if $(filter on,$(MB_AUTOEXEC_SHOW_FOLDER)),$(call mb_make_call,mb_autoexec_append_echo,$(1),mb::bath %MB_SLIME%)) - $(if $(filter on,$(MB_AUTOEXEC_SHOW_TARGET)),$(call mb_make_call,mb_autoexec_append_echo,$(1),mb::pipe %MB_TARGET%)) - $(if $(filter on,$(MB_AUTOEXEC_PROMPT_SPACE)),$(call mb_make_call,mb_autoexec_append_cmd,$(1),set PROMPT \"$(value MB_AUTOEXEC_PROMPT_FORMAT)$(MB_MAKE_SPACE)\")) - $(if $(filter on,$(MB_AUTOEXEC_PROMPT_SPACE)),,$(call mb_make_call,mb_autoexec_append_cmd,$(1),,set PROMPT \"$(value MB_AUTOEXEC_PROMPT_FORMAT)\")) +$(MB__    )$(call mb_make_call,mb_os_echo_command,$(MB_I18N_AUTOEXEC_WRITE) $@) +$(MB__    )@echo -n "" > $(1)/autoexec.bat +$(MB__    )$(if $(wildcard $(1)/utils),$(call mb_make_call,_mb_autoexec_write_preboot,$(1),utils\,$(2),$(3)),$(call mb_make_call,_mb_autoexec_write_preboot,$(1),,$(2),$(3))) +$(MB__    )$(if $(filter on,$(MB_AUTOEXEC_SHOW_HOST)),$(call mb_make_call,mb_autoexec_append_cmd,$(1),omsxctl boot_exec_show_host)) +$(MB__    )$(if $(MB_AUTOEXEC_STARTUP_NOTE),$(call mb_make_call,mb_autoexec_append_echo,$(1),mb::note $(MB_AUTOEXEC_STARTUP_NOTE))) +$(MB__    )$(if $(filter on,$(MB_FLIGHT_VIDEO)),$(call mb_make_call,mb_autoexec_append_save_video,$(1))) +$(MB__    )echo -n "$(subst $(MB_MAKE_SPACE)set,set,$(foreach var,$(MB_AUTOEXEC_EMBED_VARS),set MSX_$(var)$(MB_MAKE_EQUALS)$($(var))\r\n))" >> $(1)/autoexec.bat +$(MB__    )echo -n "$(subst $(MB_MAKE_SPACE)set,set,$(foreach var,$(MB_AUTOEXEC_EMBED_VARS),echo MSX_$(var)$(MB_MAKE_EQUALS)%MSX_$(var)%\r\n))" >> $(1)/autoexec.bat +$(MB__    )$(call mb_make_call,mb_autoexec_append_cmd,$(1),set TIME$(MB_MAKE_EQUALS)$(MB_AUTOEXEC_TIME_FORMAT)) +$(MB__    )$(call mb_make_call,mb_autoexec_append_cmd,$(1),set DATE$(MB_MAKE_EQUALS)$(MB_AUTOEXEC_DATE_FORMAT)) +$(MB__    )$(if $(filter on,$(MB_AUTOEXEC_SHOW_PATH)),$(call mb_make_call,mb_autoexec_append_cmd,$(1),echo mb::path %PATH%)) +$(MB__    )$(call mb_make_call,mb_autoexec_append_cmd,$(1),set MB_SNAIL$(MB_MAKE_EQUALS)$(notdir $@)) +$(MB__    )$(call mb_make_call,mb_autoexec_append_cmd,$(1),set MB_USER$(MB_MAKE_EQUALS)$($(MB_AUTOEXEC_EMBED_USER))) +$(MB__    )$(if $(filter on,$(MB_AUTOEXEC_SHOW_USER)),$(call mb_make_call,mb_autoexec_append_echo,$(1),mb::user %MB_USER%)) +$(MB__    )$(call mb_make_call,mb_autoexec_append_cmd,$(1),set MB_SLIME$(MB_MAKE_EQUALS)$(1)) +$(MB__    )$(call mb_make_call,mb_autoexec_append_cmd,$(1),set MB_TARGET$(MB_MAKE_EQUALS)$@) +$(MB__    )$(if $(filter on,$(MB_AUTOEXEC_SHOW_FOLDER)),$(call mb_make_call,mb_autoexec_append_echo,$(1),mb::bath %MB_SLIME%)) +$(MB__    )$(if $(filter on,$(MB_AUTOEXEC_SHOW_TARGET)),$(call mb_make_call,mb_autoexec_append_echo,$(1),mb::pipe %MB_TARGET%)) +$(MB__    )$(if $(filter on,$(MB_AUTOEXEC_PROMPT_SPACE)),$(call mb_make_call,mb_autoexec_append_cmd,$(1),set PROMPT \"$(value MB_AUTOEXEC_PROMPT_FORMAT)$(MB_MAKE_SPACE)\")) +$(MB__    )$(if $(filter on,$(MB_AUTOEXEC_PROMPT_SPACE)),,$(call mb_make_call,mb_autoexec_append_cmd,$(1),,set PROMPT \"$(value MB_AUTOEXEC_PROMPT_FORMAT)\")) endef $(call mb_make_call,mb_doc_function,mb_autoexec_write_default,"Write a default autoexec.bat file."," [fg-color] [bg-color]") diff --git a/lib/make/mb_conv.mk b/lib/make/mb_conv.mk index 6c72df1..2e60078 100644 --- a/lib/make/mb_conv.mk +++ b/lib/make/mb_conv.mk @@ -1,14 +1,16 @@ define mb_conv_unix2dos - $(call mb_make_call,mb_os_echo_command,$(MB_I18N_CONV_UNIX2DOS) $(2)) - unix2dos -q -n $(1) $(2) +$(MB__    )$(call mb_make_call,mb_make_check_arg2,mb_conv_unix2dos,$(1),$(2)) +$(MB__    )$(call mb_make_call,mb_os_echo_command,$(MB_I18N_CONV_UNIX2DOS) $(2)) +$(MB__    )unix2dos -q -n $(1) $(2) endef $(call mb_make_call,mb_doc_function,mb_conv_unix2dos,"Converts an unix file to dos."," ") define mb_conv_dos2unix - $(call mb_make_call,mb_os_echo_command,$(MB_I18N_CONV_DOS2UNIX) $(2)) - dos2unix -q -n $(1) $(2) +$(MB__    )$(call mb_make_call,mb_make_check_arg2,mb_conv_dos2unix,$(1),$(2)) +$(MB__    )$(call mb_make_call,mb_os_echo_command,$(MB_I18N_CONV_DOS2UNIX) $(2)) +$(MB__    )dos2unix -q -n $(1) $(2) endef $(call mb_make_call,mb_doc_function,mb_conv_dos2unix,"Converts an dos file to unix."," ") diff --git a/lib/make/mb_doc.mk b/lib/make/mb_doc.mk index f345b95..8db9c3b 100644 --- a/lib/make/mb_doc.mk +++ b/lib/make/mb_doc.mk @@ -1,38 +1,38 @@ -MB_DOC_FIRE_VARIABLE_FLOW ?= "" +MB_DOC_FIRE_VARIABLE_FLOW ?= \\n #*** Recursive variable: MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,_mb_doc_variable4u_flow,MB_DOC_FIRE_VARIABLE_FLOW,"Flow builder of fire flow variable data.") -MB_DOC_FIRE_VARIABLE ?= "" +MB_DOC_FIRE_VARIABLE ?= \\n MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,_mb_doc_variable4u_flow,MB_DOC_FIRE_VARIABLE,"Flow builder of firemake variable data.") -MB_DOC_FIRE_VARIABLE_DEEP ?= "" +MB_DOC_FIRE_VARIABLE_DEEP ?= \\n MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,_mb_doc_variable4u_flow,MB_DOC_FIRE_VARIABLE_DEEP,"Flow builder of makefire deep variable data.") -MB_DOC_FIRE_VARIABLE_ROCK ?= "" +MB_DOC_FIRE_VARIABLE_ROCK ?= \\n MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,_mb_doc_variable4u_flow,MB_DOC_FIRE_VARIABLE_ROCK,"Flow builder of makefire rock variable data.") -MB_DOC_FIRE_VARIABLE_I18N ?= "" +MB_DOC_FIRE_VARIABLE_I18N ?= \\n MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,_mb_doc_variable4u_flow,MB_DOC_FIRE_VARIABLE_I18N,"Flow builder of makefire i18n variable data.") -MB_DOC_FIRE_FUNCTION ?= "" +MB_DOC_FIRE_FUNCTION ?= \\n MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,_mb_doc_variable4u_flow,MB_DOC_FIRE_FUNCTION,"Flow builder of firemake function data.") -MB_DOC_FIRE_FUNCTION_DEEP ?= "" +MB_DOC_FIRE_FUNCTION_DEEP ?= \\n MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,_mb_doc_variable4u_flow,MB_DOC_FIRE_FUNCTION_DEEP,"Flow builder of firemake deep function data.") -MB_DOC_FIRE_FUNCTION_FLOW ?= "" +MB_DOC_FIRE_FUNCTION_FLOW ?= \\n MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,_mb_doc_variable4u_flow,MB_DOC_FIRE_FUNCTION_FLOW,"Flow builder of firemake flow function data.") -MB_DOC_FIRE_TARGET ?= "" +MB_DOC_FIRE_TARGET ?= \\n MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,_mb_doc_variable4u_flow,MB_DOC_FIRE_TARGET,"Flow builder of firemake target data.") -MB_DOC_FIRE_TARGET_DEEP ?= "" +MB_DOC_FIRE_TARGET_DEEP ?= \\n MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,_mb_doc_variable4u_flow,MB_DOC_FIRE_TARGET_DEEP,"Flow builder of firemake deep target data.") -MB_DOC_FIRE_TARGET_RUN ?= "" +MB_DOC_FIRE_TARGET_RUN ?= \\n MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,_mb_doc_variable4u_flow,MB_DOC_FIRE_TARGET_RUN,"Flow builder of firemake run target data.") -MB_DOC_FIRE_TARGET_HELP ?= "" +MB_DOC_FIRE_TARGET_HELP ?= \\n MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,_mb_doc_variable4u_flow,MB_DOC_FIRE_TARGET_HELP,"Flow builder of firemake help data.") MB_DOC_XML_ROOT := firemake @@ -57,245 +57,241 @@ MB_DOC_FORMAT ?= txt MB_DOC_FIRE_VARIABLE_DEEP += $(call mb_make_call,_mb_doc_variable4u_deep,MB_DOC_FORMAT,"Output format of documention$(MB_MAKE_COMMA) only 'txt' or 'xml' is supported.") +define _escape +$(MB__    )$(subst <,"<",$(subst >,">",$(subst \\n,\\\n,$(subst ','"'"',$(1))))) +endef define _mb_doc_variable_txt - "* "$(2)=$(subst \\n,\\\n,$(subst >,?,$(4)))\\n$(if $(3),\\t$(3)\\n)\\n +$(MB__    )"* "$(2)$(MB_MAKE_EQUALS)$(call mb_make_call,_escape,$(4))\\n$(if $(3),\\t$(3)\\n)\\n endef define _mb_doc_variable_xml - \\n\\t$(call mb_make_call,mb_make_xml_open,variable,$(MB_DOC_XML_ATTR_NAME),$(2),$(MB_DOC_XML_ATTR_LEVEL),$(1))\\n\\t\\t$(call mb_make_call,mb_make_xml_tag_value,$(MB_DOC_XML_TAG_VALUE),$(subst >,?,$(4)))\\n\\t\\t$(call mb_make_call,mb_make_xml_tag_value,$(MB_DOC_XML_TAG_DESC),$(3))\\n\\t$(call mb_make_call,mb_make_xml_close,variable) +$(MB__    )\\n\\t$(call mb_make_call,mb_make_xml_open,variable,$(MB_DOC_XML_ATTR_NAME),$(2),$(MB_DOC_XML_ATTR_LEVEL),$(1))\\n\\t\\t$(call mb_make_call,mb_make_xml_tag_value,$(MB_DOC_XML_TAG_VALUE),$(4))\\n\\t\\t$(call mb_make_call,mb_make_xml_tag_value,$(MB_DOC_XML_TAG_DESC),$(3))\\n\\t$(call mb_make_call,mb_make_xml_close,variable) endef define _mb_doc_variable4u_deep - $(call mb_make_call,_mb_doc_variable_$(MB_DOC_FORMAT),deep,$(1),$(2),$($(1))) +$(MB__    )$(call mb_make_call,_mb_doc_variable_$(MB_DOC_FORMAT),deep,$(1),$(2),$($(1))) endef define _mb_doc_variable4u_rock - $(call mb_make_call,_mb_doc_variable_$(MB_DOC_FORMAT),rock,$(1),$(2),$($(1))) +$(MB__    )$(call mb_make_call,_mb_doc_variable_$(MB_DOC_FORMAT),rock,$(1),$(2),$($(1))) endef define _mb_doc_variable4u_flow - $(call mb_make_call,_mb_doc_variable_$(MB_DOC_FORMAT),flow,$(1),$(2),$(words $($(1)))) +$(MB__    )$(call mb_make_call,_mb_doc_variable_$(MB_DOC_FORMAT),flow,$(1),$(2),$(words $($(1)))) endef define _mb_doc_variable4u_i18n - $(call mb_make_call,_mb_doc_variable_$(MB_DOC_FORMAT),i18n,$(1),$(2),$($(1))) +$(MB__    )$(call mb_make_call,_mb_doc_variable_$(MB_DOC_FORMAT),i18n,$(1),$(2),$($(1))) endef define mb_doc_variable - $(eval MB_DOC_FIRE_VARIABLE += $$(call mb_make_call,_mb_doc_variable_$$(MB_DOC_FORMAT),build,$(1),$(2),$($(1)))) +$(MB__    )$(eval MB_DOC_FIRE_VARIABLE += $$(call mb_make_call,_mb_doc_variable_$$(MB_DOC_FORMAT),build,$(1),$(2),$($(1)))) endef MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_variable,"Saves formatted documention of an variable."," [desc]") define mb_doc_variable_deep - $(eval MB_DOC_FIRE_VARIABLE_DEEP += $$(call mb_make_call,_mb_doc_variable4u_deep,$(1),$(2))) +$(MB__    )$(eval MB_DOC_FIRE_VARIABLE_DEEP += $$(call mb_make_call,_mb_doc_variable4u_deep,$(1),$(2))) endef MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_variable_deep,"Saves formatted documention of an deep variable."," [desc]") define mb_doc_variable_rock - $(eval MB_DOC_FIRE_VARIABLE_ROCK += $$(call mb_make_call,_mb_doc_variable4u_rock,$(1),$(2))) +$(MB__    )$(eval MB_DOC_FIRE_VARIABLE_ROCK += $$(call mb_make_call,_mb_doc_variable4u_rock,$(1),$(2))) endef MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_variable_rock,"Saves formatted documention of an rock variable."," [desc]") define mb_doc_variable_flow - $(eval MB_DOC_FIRE_VARIABLE_FLOW += $$(call mb_make_call,_mb_doc_variable4u_flow,$(1),$(2))) +$(MB__    )$(eval MB_DOC_FIRE_VARIABLE_FLOW += $$(call mb_make_call,_mb_doc_variable4u_flow,$(1),$(2))) endef MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_variable_flow,"Saves formatted documention of an flow variable."," [desc]") define mb_doc_variable_i18n - $(eval MB_DOC_FIRE_VARIABLE_I18N += $$(call mb_make_call,_mb_doc_variable4u_i18n,$(1),$(2))) +$(MB__    )$(eval MB_DOC_FIRE_VARIABLE_I18N += $$(call mb_make_call,_mb_doc_variable4u_i18n,$(1),$(2))) endef MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_variable_i18n,"Saves formatted documention of an i18n variable."," [desc]") define _mb_doc_function_txt - "* "$(2)$(if $(4), $(4))$(if $(3),\\n\\t$(3)\\n)\\n +$(MB__    )"* "$(2)$(if $(4), $(4))$(if $(3),\\n\\t$(3)\\n)\\n endef define _mb_doc_function_xml - \\n\\t$(call mb_make_call,mb_make_xml_open,function,$(MB_DOC_XML_ATTR_NAME),$(2),$(MB_DOC_XML_ATTR_LEVEL),$(1))\\n\\t\\t$(call mb_make_call,mb_make_xml_tag_value,$(MB_DOC_XML_TAG_ARGS),$(4))\\n\\t\\t$(call mb_make_call,mb_make_xml_tag_value,$(MB_DOC_XML_TAG_DESC),$(3))\\n\\t$(call mb_make_call,mb_make_xml_close,function) +$(MB__    )\\n\\t$(call mb_make_call,mb_make_xml_open,function,$(MB_DOC_XML_ATTR_NAME),$(2),$(MB_DOC_XML_ATTR_LEVEL),$(1))\\n\\t\\t$(call mb_make_call,mb_make_xml_tag_value,$(MB_DOC_XML_TAG_ARGS),$(4))\\n\\t\\t$(call mb_make_call,mb_make_xml_tag_value,$(MB_DOC_XML_TAG_DESC),$(3))\\n\\t$(call mb_make_call,mb_make_xml_close,function) endef define _mb_doc_function4u_deep - $(call mb_make_call,_mb_doc_function_$(MB_DOC_FORMAT),deep,$(1),$(2),$(3)) +$(MB__    )$(call mb_make_call,_mb_doc_function_$(MB_DOC_FORMAT),deep,$(1),$(2),$(3)) endef define _mb_doc_function4u_flow - $(call mb_make_call,_mb_doc_function_$(MB_DOC_FORMAT),flow,$(1),$(2),$(3)) +$(MB__    )$(call mb_make_call,_mb_doc_function_$(MB_DOC_FORMAT),flow,$(1),$(2),$(3)) endef define mb_doc_function - $(eval MB_DOC_FIRE_FUNCTION += $$(call mb_make_call,_mb_doc_function_$$(MB_DOC_FORMAT),build,$(1),$(2),$(3))) +$(MB__    )$(eval MB_DOC_FIRE_FUNCTION += $$(call mb_make_call,_mb_doc_function_$$(MB_DOC_FORMAT),build,$(1),$(2),$(3))) endef MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_function,"Saves formatted documention of an function."," [desc] [args]") define mb_doc_function_deep - $(eval MB_DOC_FIRE_FUNCTION_DEEP += $$(call mb_make_call,_mb_doc_function4u_deep,$(1),$(2),$(3))) +$(MB__    )$(eval MB_DOC_FIRE_FUNCTION_DEEP += $$(call mb_make_call,_mb_doc_function4u_deep,$(1),$(2),$(3))) endef MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_function_deep,"Saves formatted documention of an deep function."," [desc] [args]") define mb_doc_function_flow - $(eval MB_DOC_FIRE_FUNCTION_FLOW += $$(call mb_make_call,_mb_doc_function4u_flow,$(1),$(2),$(3))) +$(MB__    )$(eval MB_DOC_FIRE_FUNCTION_FLOW += $$(call mb_make_call,_mb_doc_function4u_flow,$(1),$(2),$(3))) endef MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_function_flow,"Saves formatted documention of an flow function."," [desc] [args]") define _mb_doc_target_txt - "* "$(2)$(if $(3),\\n\\t$(3))\\n\\n +$(MB__    )"* "$(2)$(if $(3),\\n\\t$(3))\\n\\n endef define _mb_doc_target_xml - \\n\\t$(call mb_make_call,mb_make_xml_open,target,$(MB_DOC_XML_ATTR_NAME),$(2),$(MB_DOC_XML_ATTR_LEVEL),$(1))$(if $3,\\n\\t\\t$(call mb_make_call,mb_make_xml_tag_value,$(MB_DOC_XML_TAG_DESC),$(3))\\n\\t$(call mb_make_call,mb_make_xml_close,target),\\n\\t$(call mb_make_call,mb_make_xml_close,target)) +$(MB__    )\\n\\t$(call mb_make_call,mb_make_xml_open,target,$(MB_DOC_XML_ATTR_NAME),$(2),$(MB_DOC_XML_ATTR_LEVEL),$(1))$(if $3,\\n\\t\\t$(call mb_make_call,mb_make_xml_tag_value,$(MB_DOC_XML_TAG_DESC),$(3))\\n\\t$(call mb_make_call,mb_make_xml_close,target),\\n\\t$(call mb_make_call,mb_make_xml_close,target)) endef define mb_doc_target - $(eval MB_DOC_FIRE_TARGET += $$(call mb_make_call,_mb_doc_target_$$(MB_DOC_FORMAT),build,$(1),$(2))) +$(MB__    )$(eval MB_DOC_FIRE_TARGET += $$(call mb_make_call,_mb_doc_target_$$(MB_DOC_FORMAT),build,$(1),$(2))) endef MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_target,"Saves formatted documention of an target."," [desc]") define mb_doc_target_deep - $(eval MB_DOC_FIRE_TARGET_DEEP += $$(call mb_make_call,_mb_doc_target_$$(MB_DOC_FORMAT),deep,$(1),$(2))) +$(MB__    )$(eval MB_DOC_FIRE_TARGET_DEEP += $$(call mb_make_call,_mb_doc_target_$$(MB_DOC_FORMAT),deep,$(1),$(2))) endef MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_target_deep,"Saves formatted documention of an deep target."," [desc]") define mb_doc_target_help - $(eval MB_DOC_FIRE_TARGET_HELP += $$(call mb_make_call,_mb_doc_target_$(MB_DOC_FORMAT),help,$(1),$(2))) +$(MB__    )$(eval MB_DOC_FIRE_TARGET_HELP += $$(call mb_make_call,_mb_doc_target_$(MB_DOC_FORMAT),help,$(1),$(2))) endef MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_target_help,"Saves formatted documention of an help target."," [desc]") define mb_doc_target_run - $(eval MB_DOC_FIRE_TARGET_RUN += $$(call mb_make_call,_mb_doc_target_$$(MB_DOC_FORMAT),run,$(1),$(2))) +$(MB__    )$(eval MB_DOC_FIRE_TARGET_RUN += $$(call mb_make_call,_mb_doc_target_$$(MB_DOC_FORMAT),run,$(1),$(2))) endef MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_target_run,"Saves formatted documention of an run target."," [desc]") define __mb_doc_flow_help -@help: - $$(if $$(filter txt,$$(MB_DOC_FORMAT)),@echo "Welcome to the $$(if $(1),$(1),MSXBUILD) help system.\\n\\nFor detailed fire help use one of the following targets;\\n") - $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_xml_open,$$(MB_DOC_XML_ROOT))) - @echo $$(MB_DOC_FIRE_TARGET_HELP) - $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_xml_close,$$(MB_DOC_XML_ROOT))) -.PHONY: @help +.RECIPEPREFIX := $(MB__RECIPE) +@help: +$(MB__RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),@echo "Welcome to the $$(if $(1),$(1),MSXBUILD) help system.\\n\\nFor detailed fire help use one of the following targets;") +$(MB__RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_xml_open,$$(MB_DOC_XML_ROOT))) +$(MB__RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_HELP) +$(MB__RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_xml_close,$$(MB_DOC_XML_ROOT))) +$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@help) @help-variable: - $$(if $$(filter txt,$$(MB_DOC_FORMAT)),@echo "Documention of the make fire variables;\\n") - $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) - @echo $$(MB_DOC_FIRE_VARIABLE) - $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) -$$(call mb_make_call,mb_doc_target_help,@help-variable,"Lists build variables.") -.PHONY: @help-variable - +$(MB__RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),@echo "Documention of the make fire variables;") +$(MB__RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) +$(MB__RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE) +$(MB__RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) +$(MB__    )$$(call mb_make_call,mb_doc_target_help,@help-variable,"Lists build variables.") +$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@help-variable) @help-variable-deep: - $$(if $$(filter txt,$$(MB_DOC_FORMAT)),@echo "Documention of the deep make variables;\\n") - $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) - @echo $$(MB_DOC_FIRE_VARIABLE_DEEP) - $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) -$$(call mb_make_call,mb_doc_target_help,@help-variable-deep,"Lists deep variables.") -.PHONY: @help-variable-deep - +$(MB__RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),@echo "Documention of the deep make variables;") +$(MB__RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) +$(MB__RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE_DEEP) +$(MB__RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) +$(MB__    )$$(call mb_make_call,mb_doc_target_help,@help-variable-deep,"Lists deep variables.") +$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@help-variable-deep) @help-variable-rock: - $$(if $$(filter txt,$$(MB_DOC_FORMAT)),@echo "Documention of the rock make variables;\\n") - $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) - @echo $$(MB_DOC_FIRE_VARIABLE_ROCK) - $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) -$$(call mb_make_call,mb_doc_target_help,@help-variable-rock,"Lists rock variables.") -.PHONY: @help-variable-rock - +$(MB__RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),@echo "Documention of the rock make variables;") +$(MB__RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) +$(MB__RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE_ROCK) +$(MB__RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) +$(MB__    )$$(call mb_make_call,mb_doc_target_help,@help-variable-rock,"Lists rock variables.") +$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@help-variable-rock) @help-variable-flow: - $$(if $$(filter txt,$$(MB_DOC_FORMAT)),@echo "Documention of the flow make variables;\\n") - $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) - @echo $$(MB_DOC_FIRE_VARIABLE_FLOW) - $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) -$$(call mb_make_call,mb_doc_target_help,@help-variable-flow,"Lists flow variables.") -.PHONY: @help-variable-flow - +$(MB__RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),@echo "Documention of the flow make variables;") +$(MB__RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) +$(MB__RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE_FLOW) +$(MB__RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) +$(MB__    )$$(call mb_make_call,mb_doc_target_help,@help-variable-flow,"Lists flow variables.") +$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@help-variable-flow) @help-variable-i18n: - $$(if $$(filter txt,$$(MB_DOC_FORMAT)),@echo "Documention of the i18n make variables;\\n") - $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) - @echo $$(MB_DOC_FIRE_VARIABLE_I18N) - $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) -$$(call mb_make_call,mb_doc_target_help,@help-variable-i18n,"Lists i18n variables.") -.PHONY: @help-variable-i18n - +$(MB__RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),@echo "Documention of the i18n make variables;") +$(MB__RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) +$(MB__RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE_I18N) +$(MB__RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) +$(MB__    )$$(call mb_make_call,mb_doc_target_help,@help-variable-i18n,"Lists i18n variables.") +$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@help-variable-i18n) @help-function: - $$(if $$(filter txt,$$(MB_DOC_FORMAT)),@echo "Documention of the make fire functions;\\n") - $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) - @echo $$(MB_DOC_FIRE_FUNCTION) - $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) -$$(call mb_make_call,mb_doc_target_help,@help-function,"Lists build functions.") -.PHONY: @help-function - +$(MB__RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),@echo "Documention of the make fire functions;") +$(MB__RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) +$(MB__RECIPE)@echo -e $$(MB_DOC_FIRE_FUNCTION) +$(MB__RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) +$(MB__    )$$(call mb_make_call,mb_doc_target_help,@help-function,"Lists build functions.") +$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@help-function) @help-function-deep: - $$(if $$(filter txt,$$(MB_DOC_FORMAT)),@echo "Documention of the deep make functions;\\n") - $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) - @echo $$(MB_DOC_FIRE_FUNCTION_DEEP) - $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) -$$(call mb_make_call,mb_doc_target_help,@help-function-deep,"Lists deep functions.") -.PHONY: @help-function-deep +$(MB__RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),@echo "Documention of the deep make functions;") +$(MB__RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) +$(MB__RECIPE)@echo -e $$(MB_DOC_FIRE_FUNCTION_DEEP) +$(MB__RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) +$(MB__    )$$(call mb_make_call,mb_doc_target_help,@help-function-deep,"Lists deep functions.") +$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@help-function-deep) @help-function-flow: - $$(if $$(filter txt,$$(MB_DOC_FORMAT)),@echo "Documention of the flow make functions;\\n") - $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) - @echo $$(MB_DOC_FIRE_FUNCTION_FLOW) - $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) -$$(call mb_make_call,mb_doc_target_help,@help-function-flow,"Lists flow functions.") -.PHONY: @help-function-flow - +$(MB__RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),@echo "Documention of the flow make functions;") +$(MB__RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) +$(MB__RECIPE)@echo -e $$(MB_DOC_FIRE_FUNCTION_FLOW) +$(MB__RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) +$(MB__    )$$(call mb_make_call,mb_doc_target_help,@help-function-flow,"Lists flow functions.") +$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@help-function-flow) @help-target: - $$(if $$(filter txt,$$(MB_DOC_FORMAT)),@echo "Build one of the following make fire targets;\\n") - $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) - @echo $$(MB_DOC_FIRE_TARGET) - $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) -$$(call mb_make_call,mb_doc_target_help,@help-target,"Lists build targets.") -.PHONY: @help-target - +$(MB__RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),@echo "Build one of the following make fire targets;") +$(MB__RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) +$(MB__RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET) +$(MB__RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) +$(MB__    )$$(call mb_make_call,mb_doc_target_help,@help-target,"Lists build targets.") +$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@help-target) @help-target-deep: - $$(if $$(filter txt,$$(MB_DOC_FORMAT)),@echo "Build one of the following deep make targets;\\n") - $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) - @echo $$(MB_DOC_FIRE_TARGET_DEEP) - $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) -$$(call mb_make_call,mb_doc_target_help,@help-target-deep,"Lists deep targets.") -.PHONY: @help-target-deep - +$(MB__RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),@echo "Build one of the following deep make targets;") +$(MB__RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) +$(MB__RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_DEEP) +$(MB__RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) +$(MB__    )$$(call mb_make_call,mb_doc_target_help,@help-target-deep,"Lists deep targets.") +$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@help-target-deep) @help-target-run: - $$(if $$(filter txt,$$(MB_DOC_FORMAT)),@echo "Build one of the following run make targets;\\n") - $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) - @echo $$(MB_DOC_FIRE_TARGET_RUN) - $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) -$$(call mb_make_call,mb_doc_target_help,@help-target-run,"Lists runnable targets.") -.PHONY: @help-target-run - +$(MB__RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),@echo "Build one of the following run make targets;") +$(MB__RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) +$(MB__RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_RUN) +$(MB__RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) +$(MB__    )$$(call mb_make_call,mb_doc_target_help,@help-target-run,"Lists runnable targets.") +$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@help-target-run) @help-all: - $$(if $$(filter txt,$$(MB_DOC_FORMAT)),@echo "Documention of all fire functions/variables/targets;\\n") - $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) - $$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_os_echo_good,"Variables\\n")) - @echo $$(MB_DOC_FIRE_VARIABLE) - $$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_os_echo_good,"Variables in the deep\\n")) - @echo $$(MB_DOC_FIRE_VARIABLE_DEEP) - $$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_os_echo_good,"Variables hard as rock\\n")) - @echo $$(MB_DOC_FIRE_VARIABLE_ROCK) - $$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_os_echo_good,"Variables defining flow\\n")) - @echo $$(MB_DOC_FIRE_VARIABLE_FLOW) - $$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_os_echo_good,"Variables for i18n letters\\n")) - @echo $$(MB_DOC_FIRE_VARIABLE_I18N) - $$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_os_echo_good,"Functions\\n")) - @echo $$(MB_DOC_FIRE_FUNCTION) - $$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_os_echo_good,"Functions in the deep\\n")) - @echo $$(MB_DOC_FIRE_FUNCTION_DEEP) - $$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_os_echo_good,"Functions building flow\\n")) - @echo $$(MB_DOC_FIRE_FUNCTION_FLOW) - $$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_os_echo_good,"Targets\\n")) - @echo $$(MB_DOC_FIRE_TARGET) - $$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_os_echo_good,"Targets in the deep\\n")) - @echo $$(MB_DOC_FIRE_TARGET_DEEP) - $$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_os_echo_good,"Targets that run away\\n")) - @echo $$(MB_DOC_FIRE_TARGET_RUN) - $$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_os_echo_good,"Targets main project help\\n")) - @echo $$(MB_DOC_FIRE_TARGET_HELP) - $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) - $$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_os_echo_good,OK)) -$$(call mb_make_call,mb_doc_target_help,@help-all,"Lists all documented information.") -.PHONY: @help-all - +$(MB__RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),@echo -e "Documention of all fire functions/variables/targets;\\n") +$(MB__RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) +$(MB__RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_os_echo_good,"Variables")) +$(MB__RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE) +$(MB__RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_os_echo_good,"Variables in the deep")) +$(MB__RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE_DEEP) +$(MB__RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_os_echo_good,"Variables hard as rock")) +$(MB__RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE_ROCK) +$(MB__RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_os_echo_good,"Variables defining flow")) +$(MB__RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE_FLOW) +$(MB__RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_os_echo_good,"Variables for i18n letters")) +$(MB__RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE_I18N) +$(MB__RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_os_echo_good,"Functions")) +$(MB__RECIPE)@echo -e $$(MB_DOC_FIRE_FUNCTION) +$(MB__RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_os_echo_good,"Functions in the deep")) +$(MB__RECIPE)@echo -e $$(MB_DOC_FIRE_FUNCTION_DEEP) +$(MB__RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_os_echo_good,"Functions building flow")) +$(MB__RECIPE)@echo -e $$(MB_DOC_FIRE_FUNCTION_FLOW) +$(MB__RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_os_echo_good,"Targets")) +$(MB__RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET) +$(MB__RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_os_echo_good,"Targets in the deep")) +$(MB__RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_DEEP) +$(MB__RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_os_echo_good,"Targets that run away")) +$(MB__RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_RUN) +$(MB__RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_os_echo_good,"Targets main project help")) +$(MB__RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_HELP) +$(MB__RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) +$(MB__RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_os_echo_good,OK)) +$(MB__    )$$(call mb_make_call,mb_doc_target_help,@help-all,"Lists all documented information.") +$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@help-all) @help-firemake: - MB_DOC_FORMAT=xml $$(MAKE) -s @help-all -$$(call mb_make_call,mb_doc_target_help,@help-firemake,"Output all documention in XML for IDE integration.") -.PHONY: @help-firemake +$(MB__RECIPE)MB_DOC_FORMAT$(MB_MAKE_EQUALS)xml $$(MAKE) -s @help-all +$(MB__    )$$(call mb_make_call,mb_doc_target_help,@help-firemake,"Output all documention in XML for IDE integration.") +$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@help-firemake) + +.RECIPEPREFIX := $(MB__RECIPEPREFIX) endef define mb_doc_flow_help - $(if $(1),,$(error $(1) missing)) - $(eval $(call mb_make_call,__mb_doc_flow_help,$(1))) +$(MB__    )$(if $(1),,$(error $(1) missing)) +$(MB__    )$(eval $(call mb_make_call,__mb_doc_flow_help,$(1))) +$(MB__    )$(if $(.DEFAULT_GOAL),,$(eval .DEFAULT_GOAL :$(MB_MAKE_EQUALS) @help)) endef MB_DOC_FIRE_FUNCTION_FLOW += $(call mb_make_call,_mb_doc_function4u_flow,mb_doc_flow_help,"Prints flow of fire help target.","[project-name]") diff --git a/lib/make/mb_flight.mk b/lib/make/mb_flight.mk index c53ab42..c627dac 100644 --- a/lib/make/mb_flight.mk +++ b/lib/make/mb_flight.mk @@ -19,54 +19,58 @@ $(call mb_make_call,mb_doc_variable,MB_FLIGHT_VIDEO_NAME,"Video file name of the define mb_flight_video_merge - $(call mb_make_call,mb_make_check_arg1,mb_flight_video_merge,$(1)) - $(call mb_make_call,mb_os_echo_good,Indexing flight videos) - ls -1tr $(1)/*-omsx/videos/*.avi | awk -F "$(1)/" -v s="file '" -v e="'" '{print s$$2e}' > $(1)/$(MB_FLIGHT_VIDEO_NAME).lst - ffmpeg -v quiet -y -f concat -i $(1)/$(MB_FLIGHT_VIDEO_NAME).lst -c copy $(1)/$(MB_FLIGHT_VIDEO_NAME).avi - $(call mb_make_call,mb_os_echo_good,Flight video completed) +$(MB__    )$(call mb_make_call,mb_make_check_arg1,mb_flight_video_merge,$(1)) +$(MB__    )$(call mb_make_call,mb_os_echo_good,Indexing flight videos) +$(MB__    )ls -1tr $(1)/*-omsx/videos/*.avi | awk -F "$(1)/" -v s="file '" -v e="'" '{print s$$2e}' > $(1)/$(MB_FLIGHT_VIDEO_NAME).lst +$(MB__    )ffmpeg -v quiet -y -f concat -i $(1)/$(MB_FLIGHT_VIDEO_NAME).lst -c copy $(1)/$(MB_FLIGHT_VIDEO_NAME).avi +$(MB__    )$(call mb_make_call,mb_os_echo_good,Flight video completed) endef $(call mb_make_call,mb_doc_function,mb_flight_video_merge,"Merged all flight videos to one.","") define __mb_flight_proj_flow_video +.RECIPEPREFIX := $(MB__RECIPE) + @@flight-video-build: @build - $$(if $$(filter on,$$(MB_FLIGHT_VIDEO)),$$(call mb_make_call,mb_flight_video_merge,$(1))) +$(MB__RECIPE)$$(if $$(filter on,$$(MB_FLIGHT_VIDEO)),$$(call mb_make_call,mb_flight_video_merge,$(1))) @flight-video-build: @clean - $$(call mb_make_call,_mb_proj_flow_step_before) - MB_OPENMSX_HEADLESS=off MB_FLIGHT_VIDEO=on $$(MAKE) -s @@flight-video-build - $$(call mb_make_call,_mb_proj_flow_step_done) -$$(call mb_make_call,mb_doc_target_help,@flight-video-build,"Runs @clean and @build with recorder and merges flight videos to one.") -.PHONY: @flight-video-build +$(MB__RECIPE)$$(call mb_make_call,_mb_proj_flow_step_before) +$(MB__RECIPE)MB_OPENMSX_HEADLESS$(MB_MAKE_EQUALS)off MB_FLIGHT_VIDEO$(MB_MAKE_EQUALS)on $$(MAKE) -s @@flight-video-build +$(MB__RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) +$(MB__    )$$(call mb_make_call,mb_doc_target_help,@flight-video-build,"Runs @clean and @build with recorder and merges flight videos to one.") +$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@flight-video-build) @@flight-video-test: @test - $$(if $$(filter on,$$(MB_FLIGHT_VIDEO)),$$(call mb_make_call,mb_flight_video_merge,$(1))) +$(MB__RECIPE)$$(if $$(filter on,$$(MB_FLIGHT_VIDEO)),$$(call mb_make_call,mb_flight_video_merge,$(1))) @flight-video-test: @clean - $$(call mb_make_call,_mb_proj_flow_step_before) - MB_OPENMSX_HEADLESS=off MB_FLIGHT_VIDEO=on $$(MAKE) -s @@flight-video-test - $$(call mb_make_call,_mb_proj_flow_step_done) -$$(call mb_make_call,mb_doc_target_help,@flight-video-test,"Runs @clean and @test with recorder and merges flight videos to one.") -.PHONY: @flight-video-test +$(MB__RECIPE)$$(call mb_make_call,_mb_proj_flow_step_before) +$(MB__RECIPE)MB_OPENMSX_HEADLESS$(MB_MAKE_EQUALS)off MB_FLIGHT_VIDEO$(MB_MAKE_EQUALS)on $$(MAKE) -s @@flight-video-test +$(MB__RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) +$(MB__    )$$(call mb_make_call,mb_doc_target_help,@flight-video-test,"Runs @clean and @test with recorder and merges flight videos to one.") +$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@flight-video-test) @@flight-video-package-qa: @package-qa - $$(if $$(filter on,$$(MB_FLIGHT_VIDEO)),$$(call mb_make_call,mb_flight_video_merge,$(1))) +$(MB__RECIPE)$$(if $$(filter on,$$(MB_FLIGHT_VIDEO)),$$(call mb_make_call,mb_flight_video_merge,$(1))) @flight-video-package-qa: @clean - $$(call mb_make_call,_mb_proj_flow_step_before) - MB_OPENMSX_HEADLESS=off MB_FLIGHT_VIDEO=on $$(MAKE) -s @@flight-video-package-qa - $$(call mb_make_call,_mb_proj_flow_step_done) -$$(call mb_make_call,mb_doc_target_help,@flight-video-package-qa,"Runs @clean and @package-qa with recorder and merges flight videos to one.") -.PHONY: @flight-video-package-qa +$(MB__RECIPE)$$(call mb_make_call,_mb_proj_flow_step_before) +$(MB__RECIPE)MB_OPENMSX_HEADLESS$(MB_MAKE_EQUALS)ff MB_FLIGHT_VIDEO$(MB_MAKE_EQUALS)on $$(MAKE) -s @@flight-video-package-qa +$(MB__RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) +$(MB__    )$$(call mb_make_call,mb_doc_target_help,@flight-video-package-qa,"Runs @clean and @package-qa with recorder and merges flight videos to one.") +$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@flight-video-package-qa) + +.RECIPEPREFIX := $(MB__RECIPEPREFIX) endef define mb_flight_proj_flow_video - $(call mb_make_call,mb_make_check_arg1,mb_flight_proj_flow_video,$(1)) - $(eval $(call mb_make_call,__mb_flight_proj_flow_video,$(1))) +$(MB__    )$(call mb_make_call,mb_make_check_arg1,mb_flight_proj_flow_video,$(1)) +$(MB__    )$(eval $(call mb_make_call,__mb_flight_proj_flow_video,$(1))) endef $(call mb_make_call,mb_doc_function_flow,mb_flight_proj_flow_video,"Prints flow for adding flight video targets in project build cycle.","") diff --git a/lib/make/mb_i18n.mk b/lib/make/mb_i18n.mk index 57feaa3..fc283f5 100644 --- a/lib/make/mb_i18n.mk +++ b/lib/make/mb_i18n.mk @@ -1,67 +1,127 @@ -MB_I18N_ASSERT_SUCCESS ?= "Assert successfull" +MB_I18N_ASSERT_SUCCESS ?= Assert successfull $(call mb_make_call,mb_doc_variable_i18n,MB_I18N_ASSERT_SUCCESS,"Message for when assertion test is successfull.") -MB_I18N_AUTOEXEC_WRITE ?= "Write autoexec for" +MB_I18N_AUTOEXEC_WRITE ?= Write autoexec for $(call mb_make_call,mb_doc_variable_i18n,MB_I18N_AUTOEXEC_WRITE,"Message for when writing a default autoexec.") -MB_I18N_CONV_UNIX2DOS ?= "Convert to dos-EOL" +MB_I18N_CONV_UNIX2DOS ?= Convert to dos-EOL $(call mb_make_call,mb_doc_variable_i18n,MB_I18N_CONV_UNIX2DOS,"Message for when converting a file to dos.") -MB_I18N_CONV_DOS2UNIX ?= "Convert to nix-EOL" +MB_I18N_CONV_DOS2UNIX ?= Convert to nix-EOL $(call mb_make_call,mb_doc_variable_i18n,MB_I18N_CONV_DOS2UNIX,"Message for when converting a file to unix.") -MB_I18N_MAKE_CHECK_ARG1 ?= "Argument 1 is missing." +MB_I18N_MAKE_CHECK_ARG1 ?= Argument 1 is missing $(call mb_make_call,mb_doc_variable_i18n,MB_I18N_MAKE_CHECK_ARG1,"Error message when argument 1 is missing.") -MB_I18N_MAKE_CHECK_ARG2 ?= "Argument 2 is missing." +MB_I18N_MAKE_CHECK_ARG2 ?= Argument 2 is missing $(call mb_make_call,mb_doc_variable_i18n,MB_I18N_MAKE_CHECK_ARG2,"Error message when argument 2 is missing.") -MB_I18N_MAKE_CHECK_ARG3 ?= "Argument 3 is missing." +MB_I18N_MAKE_CHECK_ARG3 ?= Argument 3 is missing $(call mb_make_call,mb_doc_variable_i18n,MB_I18N_MAKE_CHECK_ARG3,"Error message when argument 3 is missing.") -MB_I18N_MAKE_CHECK_ARG4 ?= "Argument 4 is missing." +MB_I18N_MAKE_CHECK_ARG4 ?= Argument 4 is missing $(call mb_make_call,mb_doc_variable_i18n,MB_I18N_MAKE_CHECK_ARG4,"Error message when argument 4 is missing.") -MB_I18N_MAKE_CHECK_ARG5 ?= "Argument 5 is missing." +MB_I18N_MAKE_CHECK_ARG5 ?= Argument 5 is missing $(call mb_make_call,mb_doc_variable_i18n,MB_I18N_MAKE_CHECK_ARG5,"Error message when argument 5 is missing.") -MB_I18N_MSXHUB_FILE_FETCH ?= "Fetch msxhub file" +MB_I18N_MSXHUB_FILE_FETCH ?= Fetch msxhub file $(call mb_make_call,mb_doc_variable_i18n,MB_I18N_MSXHUB_FILE_FETCH,"Message for when fetching a msxhub file.") -MB_I18N_MSXROM_FILE_FETCH ?= "Fetch msxrom file" +MB_I18N_MSXROM_FILE_FETCH ?= Fetch msxrom file $(call mb_make_call,mb_doc_variable_i18n,MB_I18N_MSXROM_FILE_FETCH,"Message for when fetching a msxrom file.") -MB_I18N_OPENMSX_STARTUP ?= "Start openMSX! for" +MB_I18N_OPENMSX_STARTUP ?= Start openMSX! for $(call mb_make_call,mb_doc_variable_i18n,MB_I18N_OPENMSX_STARTUP,"Message used on startup of openMSX.") -MB_I18N_OS_ECHO_CRAYON ?= "===" +MB_I18N_OS_ECHO_CRAYON ?= === $(call mb_make_call,mb_doc_variable_i18n,MB_I18N_OS_ECHO_CRAYON,"Crayon marker for result step output echo's.") -MB_I18N_PROJ_STEP_BEFORE ?= "Before --" +MB_I18N_PROJ_STEP_BEFORE ?= Before -- $(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_STEP_BEFORE,"Prefix for begin phase message.") -MB_I18N_PROJ_STEP_AFTER ?= "After ----" +MB_I18N_PROJ_STEP_AFTER ?= After ---- $(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_STEP_AFTER,"Prefix for after phase message.") -MB_I18N_PROJ_STEP_DONE ?= "Finished executing prime pi target" +MB_I18N_PROJ_STEP_DONE ?= Finished executing prime pi target $(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_STEP_DONE,"Message for requested phase completed.") -MB_I18N_PROJ_DIR_CREATE ?= "Creating folder" +MB_I18N_PROJ_DIR_CREATE ?= Creating folder $(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_DIR_CREATE,"Message for creating a folder.") -MB_I18N_PROJ_DIR_DELETE ?= "Removing folder" +MB_I18N_PROJ_DIR_DELETE ?= Removing folder $(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_DIR_DELETE,"Message for deleting a folder.") -MB_I18N_SDCC_COMPILE ?= "SDCC Compile super" +MB_I18N_SDCC_COMPILE ?= SDCC Compile super $(call mb_make_call,mb_doc_variable_i18n,MB_I18N_SDCC_COMPILE,"Message for SDCC compiler run.") -MB_I18N_SDCC_ARLIB ?= "SDCC Builder arlib" +MB_I18N_SDCC_ARLIB ?= SDCC Builder arlib $(call mb_make_call,mb_doc_variable_i18n,MB_I18N_SDCC_ARLIB,"Message for SDCC lib archive tool.") -MB_I18N_SDCC_LINK ?= "SDCC Linker MSXlib" +MB_I18N_SDCC_LINK ?= SDCC Linker MSXlib $(call mb_make_call,mb_doc_variable_i18n,MB_I18N_SDCC_LINK,"Message for SDCC linker run.") -MB_I18N_SDCC_OBJCOPY ?= "SDCC sdobjcopy for" +MB_I18N_SDCC_OBJCOPY ?= SDCC sdobjcopy for $(call mb_make_call,mb_doc_variable_i18n,MB_I18N_SDCC_OBJCOPY,"Message for SDCC objcopy run.") +MB_I18N_PROJ_PHASEID_CLEAN ?= +$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_PHASEID_CLEAN,"Local command of clean target.") + +MB_I18N_PROJ_PHASEDOC_CLEAN ?= +$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_PHASEDOC_CLEAN,"Local documentation of clean target.") + +MB_I18N_PROJ_PHASEID_INIT ?= +$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_PHASEID_INIT,"Local command of init target.") + +MB_I18N_PROJ_PHASEDOC_INIT ?= +$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_PHASEDOC_INIT,"Local documentation of init target.") + +MB_I18N_PROJ_PHASEID_PROCESS ?= +$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_PHASEID_PROCESS,"Local command of proces target.") + +MB_I18N_PROJ_PHASEDOC_PROCESS ?= +$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_PHASEDOC_PROCESS,"Local documentation of process target.") + +MB_I18N_PROJ_PHASEID_COMPILE ?= +$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_PHASEID_COMPILE,"Local command of compile target.") + +MB_I18N_PROJ_PHASEDOC_COMPILE ?= +$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_PHASEDOC_COMPILE,"Local documentation of compile target.") + +MB_I18N_PROJ_PHASEID_LINK ?= +$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_PHASEID_LINK,"Local command of link target.") + +MB_I18N_PROJ_PHASEDOC_LINK ?= +$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_PHASEDOC_LINK,"Local documentation of link target.") + +MB_I18N_PROJ_PHASEID_BUILD ?= +$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_PHASEID_BUILD,"Local command of build target.") + +MB_I18N_PROJ_PHASEDOC_BUILD ?= +$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_PHASEDOC_BUILD,"Local documentation of build target.") + +MB_I18N_PROJ_PHASEID_TEST ?= +$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_PHASEID_TEST,"Local command of test target.") + +MB_I18N_PROJ_PHASEDOC_TEST ?= +$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_PHASEDOC_TEST,"Local documentation of test target.") + +MB_I18N_PROJ_PHASEID_PACKAGE ?= +$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_PHASEID_PACKAGE,"Local command of package target.") + +MB_I18N_PROJ_PHASEDOC_PACKAGE ?= +$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_PHASEDOC_PACKAGE,"Local documentation of package target.") + +MB_I18N_PROJ_PHASEID_PACKAGE_QA ?= +$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_PHASEID_PACKAGE_QA,"Local command of package-qa target.") + +MB_I18N_PROJ_PHASEDOC_PACKAGE_QA ?= +$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_PHASEDOC_PACKAGE_QA,"Local documentation of package-qa target.") + +MB_I18N_PROJ_PHASEID_PACKAGE_DEPLOY ?= +$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_PHASEID_PACKAGE_DEPLOY,"Local command of package-deploy target.") + +MB_I18N_PROJ_PHASEDOC_PACKAGE_DEPLOY ?= +$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_PHASEDOC_PACKAGE_DEPLOY,"Local documentation of package-deploy target.") + diff --git a/lib/make/mb_make.mk b/lib/make/mb_make.mk index 9226e9e..0bad3af 100644 --- a/lib/make/mb_make.mk +++ b/lib/make/mb_make.mk @@ -8,131 +8,104 @@ $(call mb_make_call,mb_doc_variable_rock,MB_MAKE_SPACE,"Expanded special char; s MB_MAKE_EQUALS := = $(call mb_make_call,mb_doc_variable_rock,MB_MAKE_EQUALS,"Expanded special char; equals.") -MB_MAKE_BRACKET_RL := "(" -$(call mb_make_call,mb_doc_variable_rock,MB_MAKE_BRACKET_RL,"Expanded special char; bracket round left.") +MB_MAKE_HASH := \# +$(call mb_make_call,mb_doc_variable_rock,MB_MAKE_HASH,"Expanded special char; hash.") -MB_MAKE_BRACKET_RR := ")" -$(call mb_make_call,mb_doc_variable_rock,MB_MAKE_BRACKET_RR,"Expanded special char; bracket round right.") +MB_MAKE_COLON := : +$(call mb_make_call,mb_doc_variable_rock,MB_MAKE_COLON,"Expanded special char; colon.") -MB_MAKE_BRACKET_SL := "[" -$(call mb_make_call,mb_doc_variable_rock,MB_MAKE_BRACKET_SL,"Expanded special char; bracket square left.") +MB_MAKE_DOLLAR := $$ +$(call mb_make_call,mb_doc_variable_rock,MB_MAKE_DOLLAR,"Expanded special char; dollar.") -MB_MAKE_BRACKET_SR := "]" -$(call mb_make_call,mb_doc_variable_rock,MB_MAKE_BRACKET_SR,"Expanded special char; bracket square right.") -MB_MAKE_BRACKET_CL := "{" -$(call mb_make_call,mb_doc_variable_rock,MB_MAKE_BRACKET_CL,"Expanded special char; bracket curly left.") +define mb_make_flag_phony +.PHONY: $(1) +endef +$(call mb_make_call,mb_doc_function_deep,mb_make_flag_phony,"Marks an target as phony.","") -MB_MAKE_BRACKET_CR := "}" -$(call mb_make_call,mb_doc_variable_rock,MB_MAKE_BRACKET_CR,"Expanded special char; bracket curly right.") -MB_MAKE_GT := ">" -$(call mb_make_call,mb_doc_variable_rock,MB_MAKE_GT,"Expanded special char; greater than.") +define mb_make_newline -MB_MAKE_LT := "<" -$(call mb_make_call,mb_doc_variable_rock,MB_MAKE_LT,"Expanded special char; lesser than.") +endef +$(call mb_make_call,mb_doc_function_deep,mb_make_newline,"Prints a newline character.") -MB_MAKE_CDATA_START := "" -$(call mb_make_call,mb_doc_variable_rock,MB_MAKE_CDATA_END,"Expanded special char; XML cdata end.") +define mb_make_space2comma +$(MB__    )$(subst $(MB_MAKE_SPACE),$(MB_MAKE_COMMA),$1) +endef +$(call mb_make_call,mb_doc_function_deep,mb_make_space2comma,"Replaces all spaces witth comma's.") define mb_make_rwildcard -$(foreach d,$(wildcard $1*),$(call mb_make_rwildcard,$d/,$2) $(filter $(subst *,%,$2),$d)) +$(MB__    )$(foreach d,$(wildcard $1*),$(call mb_make_rwildcard,$d/,$2) $(filter $(subst *,%,$2),$d)) endef $(call mb_make_call,mb_doc_function_deep,mb_make_rwildcard,"Recursive wildcard search."," ") -define mb_make_call -$(if $(filter file,$(origin $(1))),$(call $(1),$(2),$(3),$(4),$(5),$(6),$(7),$(8),$(9)),$(error $(1) is not defined)) -endef -$(call mb_make_call,mb_doc_function_deep,mb_make_call,"Checked origin call function wrapper."," [args...]") - - define mb_make_lowercase -$(subst A,a,$(subst B,b,$(subst C,c,$(subst D,d,$(subst E,e,$(subst F,f,$(subst G,g,$(subst H,h,$(subst I,i,$(subst J,j,$(subst K,k,$(subst L,l,$(subst M,m,$(subst N,n,$(subst O,o,$(subst P,p,$(subst Q,q,$(subst R,r,$(subst S,s,$(subst T,t,$(subst U,u,$(subst V,v,$(subst W,w,$(subst X,x,$(subst Y,y,$(subst Z,z,$(1))))))))))))))))))))))))))) +$(MB__    )$(subst A,a,$(subst B,b,$(subst C,c,$(subst D,d,$(subst E,e,$(subst F,f,$(subst G,g,$(subst H,h,$(subst I,i,$(subst J,j,$(subst K,k,$(subst L,l,$(subst M,m,$(subst N,n,$(subst O,o,$(subst P,p,$(subst Q,q,$(subst R,r,$(subst S,s,$(subst T,t,$(subst U,u,$(subst V,v,$(subst W,w,$(subst X,x,$(subst Y,y,$(subst Z,z,$(1))))))))))))))))))))))))))) endef $(call mb_make_call,mb_doc_function_deep,mb_make_lowercase,"Converts ascii string to lowercase.","") define mb_make_uppercase -$(subst a,A,$(subst b,B,$(subst c,C,$(subst d,D,$(subst e,E,$(subst f,F,$(subst g,G,$(subst h,H,$(subst i,I,$(subst j,J,$(subst k,K,$(subst l,L,$(subst m,M,$(subst n,N,$(subst o,O,$(subst p,P,$(subst q,Q,$(subst r,R,$(subst s,S,$(subst t,T,$(subst u,U,$(subst v,V,$(subst w,W,$(subst x,X,$(subst y,Y,$(subst z,Z,$(1))))))))))))))))))))))))))) +$(MB__    )$(subst a,A,$(subst b,B,$(subst c,C,$(subst d,D,$(subst e,E,$(subst f,F,$(subst g,G,$(subst h,H,$(subst i,I,$(subst j,J,$(subst k,K,$(subst l,L,$(subst m,M,$(subst n,N,$(subst o,O,$(subst p,P,$(subst q,Q,$(subst r,R,$(subst s,S,$(subst t,T,$(subst u,U,$(subst v,V,$(subst w,W,$(subst x,X,$(subst y,Y,$(subst z,Z,$(1))))))))))))))))))))))))))) endef $(call mb_make_call,mb_doc_function_deep,mb_make_uppercase,"Converts ascii string to uppercase.","") -define mb_make_xml_open -$(MB_MAKE_LT)$(1)$(if $(2), $(2)=\"$(3)\")$(if $(4), $(4)=\"$(5)\")$(MB_MAKE_GT) -endef -$(call mb_make_call,mb_doc_function_deep,mb_make_xml_open,"Print xml open tag."," [attr1-name] [attr1-value] [attr2-name] [attr2-value]") - - -define mb_make_xml_close -$(MB_MAKE_LT)/$(1)$(MB_MAKE_GT) -endef -$(call mb_make_call,mb_doc_function_deep,mb_make_xml_close,"Print xml close tag.","") - - -define mb_make_xml_value -$(MB_MAKE_CDATA_START)$(subst $(MB_MAKE_CDATA_START),,$(subst $(MB_MAKE_CDATA_END),,$(1)))$(MB_MAKE_CDATA_END) -endef -$(call mb_make_call,mb_doc_function_deep,mb_make_xml_value,"Print xml value in cdata wrapper.","") - - -define mb_make_xml_tag_value -$(if $(2),$(call mb_make_call,mb_make_xml_open,$(1))$(call mb_make_call,mb_make_xml_value,$(2))$(call mb_make_call,mb_make_xml_close,$(1))) -endef -$(call mb_make_call,mb_doc_function_deep,mb_make_xml_tag_value,"Print xml tag with value inside."," [value]") - - define __mb_make_flow_0module include $$(call mb_make_call,mb_make_rwildcard,$(1),*/0module.mk) endef define mb_make_flow_0module - $(call mb_make_call,mb_make_check_arg1,mb_make_flow_0module,$(1)) - $(eval $(call mb_make_call,__mb_make_flow_0module,$(1))) +$(MB__    )$(call mb_make_call,mb_make_check_arg1,mb_make_flow_0module,$(1)) +$(MB__    )$(eval $(call mb_make_call,__mb_make_flow_0module,$(1))) endef $(call mb_make_call,mb_doc_function_flow,mb_make_flow_0module,"Prints flow of recursive 0module.mk include.","") +define mb_make_check_variable +$(MB__    )$(if $($(1)),,$(error $(1): Is empty)) +endef +$(call mb_make_call,mb_doc_function_deep,mb_make_check_variable,"Checks that a variable is not empty.","") + + define mb_make_check_arg1 -$(if $(2),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG1))) +$(MB__    )$(if $(2),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG1))) endef - - $(call mb_make_call,mb_doc_function_deep,mb_make_check_arg1,"Checks that a function has a given argument."," ") + + define mb_make_check_arg2 -$(if $(2),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG1))) -$(if $(3),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG2))) +$(MB__    )$(if $(2),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG1))) \ +$(MB__    )$(if $(3),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG2))) endef - - $(call mb_make_call,mb_doc_function_deep,mb_make_check_arg2,"Checks that a function has the given arguments."," ") + + define mb_make_check_arg3 -$(if $(2),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG1))) -$(if $(3),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG2))) -$(if $(4),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG3))) +$(MB__    )$(if $(2),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG1))) \ +$(MB__    )$(if $(3),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG2))) \ +$(MB__    )$(if $(4),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG3))) endef - - $(call mb_make_call,mb_doc_function_deep,mb_make_check_arg3,"Checks that a function has the given arguments."," ") + + define mb_make_check_arg4 -$(if $(2),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG1))) -$(if $(3),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG2))) -$(if $(4),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG3))) -$(if $(5),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG4))) +$(MB__    )$(if $(2),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG1))) \ +$(MB__    )$(if $(3),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG2))) \ +$(MB__    )$(if $(4),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG3))) \ +$(MB__    )$(if $(5),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG4))) endef - - $(call mb_make_call,mb_doc_function_deep,mb_make_check_arg4,"Checks that a function has the given arguments."," ") + + define mb_make_check_arg5 -$(if $(2),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG1))) -$(if $(3),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG2))) -$(if $(4),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG3))) -$(if $(5),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG4))) -$(if $(6),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG5))) +$(MB__    )$(if $(2),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG1))) \ +$(MB__    )$(if $(3),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG2))) \ +$(MB__    )$(if $(4),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG3))) \ +$(MB__    )$(if $(5),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG4))) \ +$(MB__    )$(if $(6),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG5))) endef $(call mb_make_call,mb_doc_function_deep,mb_make_check_arg5,"Checks that a function has the given arguments."," ") diff --git a/lib/make/mb_make_call.mk b/lib/make/mb_make_call.mk new file mode 100644 index 0000000..780cd31 --- /dev/null +++ b/lib/make/mb_make_call.mk @@ -0,0 +1,25 @@ + +MB_MAKE_CALL_DEBUG ?= off + +# Workaround for --warn-undefined-variables +1 := +2 := +3 := +4 := +5 := +6 := +7 := +8 := +9 := + +define _mb_make_call_debug +$(MB__    )$(if $(filter off,$(MB_MAKE_CALL_DEBUG)),,$(if $(findstring mb_doc_,$(1)),,$(warning DEBUG $(1) $(2) $(3) $(4) $(5) $(6) $(7) $(8) $(9)))) +endef +define mb_make_call +$(MB__    )$(call _mb_make_call_debug,$(1),$(2),$(3),$(4),$(5),$(6),$(7),$(8),$(9))$(if $(filter file,$(origin $(1))),$(call $(1),$(2),$(3),$(4),$(5),$(6),$(7),$(8),$(9)),$(error $(1) is not defined)) +endef +$(call mb_make_call,mb_doc_function_deep,mb_make_call,"Checked origin call function wrapper."," [args...]") + +# Wait until function is parsed... +$(call mb_make_call,mb_doc_variable_deep,MB_MAKE_CALL_DEBUG,"If on prints debug trace of all make calls.") + diff --git a/lib/make/mb_make_xml.mk b/lib/make/mb_make_xml.mk new file mode 100644 index 0000000..8a666a0 --- /dev/null +++ b/lib/make/mb_make_xml.mk @@ -0,0 +1,43 @@ + +MB_MAKE_XML_LT := < +$(call mb_make_call,mb_doc_variable_rock,MB_MAKE_XML_LT,"Expanded special char; lesser than.") + +MB_MAKE_XML_GT := > +$(call mb_make_call,mb_doc_variable_rock,MB_MAKE_XML_GT,"Expanded special char; greater than.") + +MB_MAKE_XML_CDATA_START := +$(call mb_make_call,mb_doc_variable_rock,MB_MAKE_XML_CDATA_END,"Expanded special char; XML cdata end.") + + +define _escape_xml_attr +$(MB__    )$(subst <,\u0026lt\u003B,$(subst >,\u003Cgt\u003B,$(subst $(MB_MAKE_XML_CDATA_START),,$(subst $(MB_MAKE_XML_CDATA_END),,$(1))))) +endef +define mb_make_xml_open +$(MB__    )"$(MB_MAKE_XML_LT)"$(1)$(if $(2), $(2)$(MB_MAKE_EQUALS)\"$(call mb_make_call,_escape_xml_value,$(3))\")$(if $(4), $(4)$(MB_MAKE_EQUALS)\"$(call mb_make_call,_escape_xml_attr,$(5))\")"$(MB_MAKE_XML_GT)" +endef +$(call mb_make_call,mb_doc_function_deep,mb_make_xml_open,"Print xml open tag."," [attr1-name] [attr1-value] [attr2-name] [attr2-value]") + + +define mb_make_xml_close +$(MB__    )"$(MB_MAKE_XML_LT)"/$(1)"$(MB_MAKE_XML_GT)" +endef +$(call mb_make_call,mb_doc_function_deep,mb_make_xml_close,"Print xml close tag.","") + + +define _escape_xml_value +$(MB__    )$(subst <,\u003C,$(subst >,\u003E,$(subst $(MB_MAKE_XML_CDATA_START),,$(subst $(MB_MAKE_XML_CDATA_END),,$(1))))) +endef +define mb_make_xml_value +$(MB__    )"$(MB_MAKE_XML_CDATA_START)"$(call mb_make_call,_escape_xml_value,$(1))"$(MB_MAKE_XML_CDATA_END)" +endef +$(call mb_make_call,mb_doc_function_deep,mb_make_xml_value,"Print xml value in cdata wrapper.","") + + +define mb_make_xml_tag_value +$(MB__    )$(if $(2),$(call mb_make_call,mb_make_xml_open,$(1))$(call mb_make_call,mb_make_xml_value,$(2))$(call mb_make_call,mb_make_xml_close,$(1))) +endef +$(call mb_make_call,mb_doc_function_deep,mb_make_xml_tag_value,"Print xml tag with value inside."," [value]") + diff --git a/lib/make/mb_msxhub.mk b/lib/make/mb_msxhub.mk index cc5bf8c..449dc31 100644 --- a/lib/make/mb_msxhub.mk +++ b/lib/make/mb_msxhub.mk @@ -7,16 +7,16 @@ $(call mb_make_call,mb_doc_variable_deep,MB_MSXHUB_CACHE,"Cache storage location define _mb_msxhub_file_fetch - $(call mb_make_call,mb_os_echo_command,$(MB_I18N_MSXHUB_FILE_FETCH) $(subst $(MB_MSXHUB_API)/,,$(1))) - $(if $(wildcard $(dir $(MB_MSXHUB_CACHE)/$(subst $(MB_MSXHUB_API)/,,$(1)))),,$(call mb_make_call,mb_os_dir_create,$(dir $(MB_MSXHUB_CACHE)/$(subst $(MB_MSXHUB_API)/,,$(1))))) - $(if $(wildcard $(MB_MSXHUB_CACHE)/$(subst $(MB_MSXHUB_API)/,,$(1))),,wget -O $(MB_MSXHUB_CACHE)/$(subst $(MB_MSXHUB_API)/,,$(1)) $(1)) +$(MB__    )$(call mb_make_call,mb_os_echo_command,$(MB_I18N_MSXHUB_FILE_FETCH) $(subst $(MB_MSXHUB_API)/,,$(1))) +$(MB__    )$(if $(wildcard $(dir $(MB_MSXHUB_CACHE)/$(subst $(MB_MSXHUB_API)/,,$(1)))),,$(call mb_make_call,mb_os_dir_create,$(dir $(MB_MSXHUB_CACHE)/$(subst $(MB_MSXHUB_API)/,,$(1))))) +$(MB__    )$(if $(wildcard $(MB_MSXHUB_CACHE)/$(subst $(MB_MSXHUB_API)/,,$(1))),,wget -O $(MB_MSXHUB_CACHE)/$(subst $(MB_MSXHUB_API)/,,$(1)) $(1)) endef # NOTE: with HDD import/export we get duplicate files after openMSX run, so force to lowercase. define mb_msxhub_file - $(if $(wildcard $(MB_MSXHUB_CACHE)/$(2)),,$(call mb_make_call,_mb_msxhub_file_fetch,$(MB_MSXHUB_API)/$(2))) - $(if $(wildcard $(1)/$(call mb_make_call,mb_make_lowercase,$(notdir $(2)))),,$(call mb_make_call,mb_os_file_copy,$(MB_MSXHUB_CACHE)/$(2),$(1)/$(call mb_make_call,mb_make_lowercase,$(notdir $(2))))) +$(MB__    )$(if $(wildcard $(MB_MSXHUB_CACHE)/$(2)),,$(call mb_make_call,_mb_msxhub_file_fetch,$(MB_MSXHUB_API)/$(2))) +$(MB__    )$(if $(wildcard $(1)/$(call mb_make_call,mb_make_lowercase,$(notdir $(2)))),,$(call mb_make_call,mb_os_file_copy,$(MB_MSXHUB_CACHE)/$(2),$(1)/$(call mb_make_call,mb_make_lowercase,$(notdir $(2))))) endef $(call mb_make_call,mb_doc_function_deep,mb_msxhub_file,"Installs msxsub packages from slug into dir."," ") @@ -25,22 +25,22 @@ $(call mb_make_call,mb_doc_function_deep,mb_msxhub_file,"Installs msxsub package # Operating System (minimal) # define mb_msxhub_get_msxdos1_boot - $(call mb_make_call,mb_msxhub_file,$(1),MSXDOS1/1.03-2/get/MSXDOS1/MSXDOS.SYS) - $(call mb_make_call,mb_msxhub_file,$(1),MSXDOS1/1.03-2/get/MSXDOS1/COMMAND.COM) +$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS1/1.03-2/get/MSXDOS1/MSXDOS.SYS) +$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS1/1.03-2/get/MSXDOS1/COMMAND.COM) endef $(call mb_make_call,mb_doc_function_deep,mb_msxhub_get_msxdos1_boot,"Installs msxdos1 boot files into dir.","") define mb_msxhub_get_msxdos2_boot - $(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/MSXDOS2.SYS) - $(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/COMMAND2.COM) +$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/MSXDOS2.SYS) +$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/COMMAND2.COM) endef $(call mb_make_call,mb_doc_function_deep,mb_msxhub_get_msxdos2_boot,"Installs msxdos2 boot files into dir.","") define mb_msxhub_get_nextor_boot - $(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/NEXTOR.SYS) - $(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/COMMAND2.COM) +$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/NEXTOR.SYS) +$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/COMMAND2.COM) endef $(call mb_make_call,mb_doc_function_deep,mb_msxhub_get_nextor_boot,"Installs nextor boot files into dir.","") @@ -49,31 +49,31 @@ $(call mb_make_call,mb_doc_function_deep,mb_msxhub_get_nextor_boot,"Installs nex # Native OS utils # define mb_msxhub_get_msxdos2_utils - $(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/CHKDSK.COM) - $(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/DISKCOPY.COM) - $(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/FIXDISK.COM) - $(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/UNDEL.COM) - $(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/XCOPY.COM) - $(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/XDIR.COM) - $(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/KMODE.COM) +$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/CHKDSK.COM) +$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/DISKCOPY.COM) +$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/FIXDISK.COM) +$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/UNDEL.COM) +$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/XCOPY.COM) +$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/XDIR.COM) +$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/KMODE.COM) endef $(call mb_make_call,mb_doc_function,mb_msxhub_get_msxdos2_utils,"Installs msxdos2 util files into dir.","") define mb_msxhub_get_nextor_utils - $(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/DELALL.COM) - $(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/DEVINFO.COM) - $(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/DRIVERS.COM) - $(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/DRVINFO.COM) - $(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/FASTOUT.COM) - $(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/LOCK.COM) - $(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/MAPDRV.COM) - $(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/EMUFILE.COM) - $(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/RALLOC.COM) - $(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/Z80MODE.COM) - $(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/NSYSVER.COM) - $(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/NEXBOOT.COM) - $(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/CONCLUS.COM) +$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/DELALL.COM) +$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/DEVINFO.COM) +$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/DRIVERS.COM) +$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/DRVINFO.COM) +$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/FASTOUT.COM) +$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/LOCK.COM) +$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/MAPDRV.COM) +$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/EMUFILE.COM) +$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/RALLOC.COM) +$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/Z80MODE.COM) +$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/NSYSVER.COM) +$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/NEXBOOT.COM) +$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/CONCLUS.COM) endef $(call mb_make_call,mb_doc_function,mb_msxhub_get_nextor_utils,"Installs nextor util files into dir.","") @@ -82,39 +82,39 @@ $(call mb_make_call,mb_doc_function,mb_msxhub_get_nextor_utils,"Installs nextor # Compilers # define mb_msxhub_get_macro80 - $(call mb_make_call,mb_msxhub_file,$(1),MACRO80/2.0-1/get/MACRO80/CREF80.COM) - $(call mb_make_call,mb_msxhub_file,$(1),MACRO80/2.0-1/get/MACRO80/L80.COM) - $(call mb_make_call,mb_msxhub_file,$(1),MACRO80/2.0-1/get/MACRO80/LIB80.COM) - $(call mb_make_call,mb_msxhub_file,$(1),MACRO80/2.0-1/get/MACRO80/M80.COM) +$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),MACRO80/2.0-1/get/MACRO80/CREF80.COM) +$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),MACRO80/2.0-1/get/MACRO80/L80.COM) +$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),MACRO80/2.0-1/get/MACRO80/LIB80.COM) +$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),MACRO80/2.0-1/get/MACRO80/M80.COM) endef $(call mb_make_call,mb_doc_function,mb_msxhub_get_macro80,"Installs macro80 package into dir.","") define mb_msxhub_get_z80asmuk - $(call mb_make_call,mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/BINTOASM.COM) - $(call mb_make_call,mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/DUMP.COM) - $(call mb_make_call,mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/HEXTOCOM.COM) - $(call mb_make_call,mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/PRL.COM) - $(call mb_make_call,mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/PRNTOSYM.COM) - $(call mb_make_call,mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/RSX.COM) - $(call mb_make_call,mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/ZSM.COM) - $(call mb_make_call,mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/ZSMPP.COM) - $(call mb_make_call,mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/HEXTOBIN.COM) +$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/BINTOASM.COM) +$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/DUMP.COM) +$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/HEXTOCOM.COM) +$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/PRL.COM) +$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/PRNTOSYM.COM) +$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/RSX.COM) +$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/ZSM.COM) +$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/ZSMPP.COM) +$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/HEXTOBIN.COM) endef $(call mb_make_call,mb_doc_function,mb_msxhub_get_z80asmuk,"Installs z80asmuk package into dir.","") define mb_msxhub_get_wbass2 - $(call mb_make_call,mb_msxhub_file,$(1),WBASS2/2.0-1/get/WBASS2/zoekram.bin) - $(call mb_make_call,mb_msxhub_file,$(1),WBASS2/2.0-1/get/WBASS2/wbass2.bin) - $(call mb_make_call,mb_msxhub_file,$(1),WBASS2/2.0-1/get/WBASS2/wbass2.bas) +$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),WBASS2/2.0-1/get/WBASS2/zoekram.bin) +$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),WBASS2/2.0-1/get/WBASS2/wbass2.bin) +$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),WBASS2/2.0-1/get/WBASS2/wbass2.bas) endef $(call mb_make_call,mb_doc_function,mb_msxhub_get_wbass2,"Installs wbass2 package into dir.","") define mb_msxhub_get_konpass - $(call mb_make_call,mb_msxhub_file,$(1),KONPASS/1.3.0-1/get/KONPASS/KONPASS.COM) - $(call mb_make_call,mb_msxhub_file,$(1),KONPASS/1.3.0-1/get/KONPASS/KONPASS.DAT) +$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),KONPASS/1.3.0-1/get/KONPASS/KONPASS.COM) +$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),KONPASS/1.3.0-1/get/KONPASS/KONPASS.DAT) endef $(call mb_make_call,mb_doc_function,mb_msxhub_get_konpass,"Installs konpass package into dir.","") @@ -123,42 +123,42 @@ $(call mb_make_call,mb_doc_function,mb_msxhub_get_konpass,"Installs konpass pack # Compressors # define mb_msxhub_get_pmarc - $(call mb_make_call,mb_msxhub_file,$(1),PMARC/2.0-1/get/PMARC/PMARC.COM) - $(call mb_make_call,mb_msxhub_file,$(1),PMARC/2.0-1/get/PMARC/PMCAT.COM) - $(call mb_make_call,mb_msxhub_file,$(1),PMARC/2.0-1/get/PMARC/PMEXE2.COM) - $(call mb_make_call,mb_msxhub_file,$(1),PMARC/2.0-1/get/PMARC/PMEXT.COM) - $(call mb_make_call,mb_msxhub_file,$(1),PMARC/2.0-1/get/PMARC/PMSET.COM) - $(call mb_make_call,mb_msxhub_file,$(1),PMARC/2.0-1/get/PMARC/PMSFX2.COM) +$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),PMARC/2.0-1/get/PMARC/PMARC.COM) +$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),PMARC/2.0-1/get/PMARC/PMCAT.COM) +$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),PMARC/2.0-1/get/PMARC/PMEXE2.COM) +$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),PMARC/2.0-1/get/PMARC/PMEXT.COM) +$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),PMARC/2.0-1/get/PMARC/PMSET.COM) +$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),PMARC/2.0-1/get/PMARC/PMSFX2.COM) endef $(call mb_make_call,mb_doc_function,mb_msxhub_get_pmarc,"Installs pmarc package into dir.","") define mb_msxhub_get_lhpack - $(call mb_make_call,mb_msxhub_file,$(1),LHPACK/1.00-1/get/LHPACK/lhpack.com) +$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),LHPACK/1.00-1/get/LHPACK/lhpack.com) endef $(call mb_make_call,mb_doc_function,mb_msxhub_get_lhpack,"Installs lhpack package into dir.","") define mb_msxhub_get_lhext - $(call mb_make_call,mb_msxhub_file,$(1),LHEXT/1.34-2/get/LHEXT/lhext.com) +$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),LHEXT/1.34-2/get/LHEXT/lhext.com) endef $(call mb_make_call,mb_doc_function,mb_msxhub_get_lhext,"Installs lhext package into dir.","") define mb_msxhub_get_gunzip - $(call mb_make_call,mb_msxhub_file,$(1),GUNZIP/1.1-1/get/GUNZIP/gunzip.com) +$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),GUNZIP/1.1-1/get/GUNZIP/gunzip.com) endef $(call mb_make_call,mb_doc_function,mb_msxhub_get_gunzip,"Installs gunzip package into dir.","") define mb_msxhub_get_tunzip - $(call mb_make_call,mb_msxhub_file,$(1),TUNZIP/0.91-1/get/TUNZIP/tunzip.com) +$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),TUNZIP/0.91-1/get/TUNZIP/tunzip.com) endef $(call mb_make_call,mb_doc_function,mb_msxhub_get_tunzip,"Installs tunzip package into dir.","") define mb_msxhub_get_popcom - $(call mb_make_call,mb_msxhub_file,$(1),POPCOM/1.00-2/get/POPCOM/popcom.com) +$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),POPCOM/1.00-2/get/POPCOM/popcom.com) endef $(call mb_make_call,mb_doc_function,mb_msxhub_get_popcom,"Installs popcom package into dir.","") @@ -167,71 +167,71 @@ $(call mb_make_call,mb_doc_function,mb_msxhub_get_popcom,"Installs popcom packag # Tools # define mb_msxhub_get_make - $(call mb_make_call,mb_msxhub_file,$(1),MAKE/2.00-1/get/MAKE/MAKE.COM) +$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),MAKE/2.00-1/get/MAKE/MAKE.COM) endef $(call mb_make_call,mb_doc_function,mb_msxhub_get_make,"Installs make package into dir.","") define mb_msxhub_get_adir - $(call mb_make_call,mb_msxhub_file,$(1),ADIR/1.3-1/get/ADIR/adir.com) +$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),ADIR/1.3-1/get/ADIR/adir.com) endef $(call mb_make_call,mb_doc_function,mb_msxhub_get_adir,"Installs adir package into dir.","") define mb_msxhub_get_turbo - $(call mb_make_call,mb_msxhub_file,$(1),TURBO/2.1-1/get/TURBO/turbo.com) +$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),TURBO/2.1-1/get/TURBO/turbo.com) endef $(call mb_make_call,mb_doc_function,mb_msxhub_get_turbo,"Installs turbo package into dir.","") define mb_msxhub_get_baskom - $(call mb_make_call,mb_msxhub_file,$(1),BASKOM/1.0-1/get/BASKOM/baskom.com) +$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),BASKOM/1.0-1/get/BASKOM/baskom.com) endef $(call mb_make_call,mb_doc_function,mb_msxhub_get_baskom,"Installs baskom package into dir.","") define mb_msxhub_get_binldr - $(call mb_make_call,mb_msxhub_file,$(1),BINLDR/1.0.2-1/get/BINLDR/BINLDR.COM) +$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),BINLDR/1.0.2-1/get/BINLDR/BINLDR.COM) endef $(call mb_make_call,mb_doc_function,mb_msxhub_get_binldr,"Installs binldr package into dir.","") define mb_msxhub_get_dmphex - $(call mb_make_call,mb_msxhub_file,$(1),DMPHEX/1.00-1/get/DMPHEX/dmphex.com) +$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),DMPHEX/1.00-1/get/DMPHEX/dmphex.com) endef $(call mb_make_call,mb_doc_function,mb_msxhub_get_dmphex,"Installs dmphex package into dir.","") define mb_msxhub_get_zd - $(call mb_make_call,mb_msxhub_file,$(1),ZD/3.30-1/get/ZD/zd.com) +$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),ZD/3.30-1/get/ZD/zd.com) endef $(call mb_make_call,mb_doc_function,mb_msxhub_get_zd,"Installs zd package into dir.","") define mb_msxhub_get_msxdos2t - $(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/ADDAUX.COM) - $(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/BEEP.COM) - $(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/BIO.COM) - $(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/BODY.COM) - $(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/BSAVE.COM) - $(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/CAL.COM) - $(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/CALC.COM) - $(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/DUMP.COM) - $(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/EXPAND.COM) - $(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/GREP.COM) - $(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/HEAD.COM) - $(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/KEY.COM) - $(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/LIST.COM) - $(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/LS.COM) - $(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/MENU.COM) - $(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/MORE.COM) - $(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/PATCH.COM) - $(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/SLEEP.COM) - $(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/TAIL.COM) - $(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/TR.COM) - $(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/UNIQ.COM) - $(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/VIEW.COM) - $(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/WC.COM) +$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/ADDAUX.COM) +$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/BEEP.COM) +$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/BIO.COM) +$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/BODY.COM) +$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/BSAVE.COM) +$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/CAL.COM) +$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/CALC.COM) +$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/DUMP.COM) +$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/EXPAND.COM) +$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/GREP.COM) +$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/HEAD.COM) +$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/KEY.COM) +$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/LIST.COM) +$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/LS.COM) +$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/MENU.COM) +$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/MORE.COM) +$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/PATCH.COM) +$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/SLEEP.COM) +$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/TAIL.COM) +$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/TR.COM) +$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/UNIQ.COM) +$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/VIEW.COM) +$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/WC.COM) endef $(call mb_make_call,mb_doc_function,mb_msxhub_get_msxdos2t,"Installs msxdos2t package into dir.","") @@ -240,7 +240,7 @@ $(call mb_make_call,mb_doc_function,mb_msxhub_get_msxdos2t,"Installs msxdos2t pa # Graphics editors # define mb_msxhub_get_gfxage - $(call mb_make_call,mb_msxhub_file,$(1),GFXAGE/0.99-1/get/GFXAGE/gfxage.com) +$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),GFXAGE/0.99-1/get/GFXAGE/gfxage.com) endef $(call mb_make_call,mb_doc_function,mb_msxhub_get_gfxage,"Installs gfxage package into dir.","") diff --git a/lib/make/mb_msxpipe.mk b/lib/make/mb_msxpipe.mk index eb6abaf..9d4a476 100644 --- a/lib/make/mb_msxpipe.mk +++ b/lib/make/mb_msxpipe.mk @@ -19,43 +19,43 @@ $(call mb_make_call,mb_doc_variable,MB_MSXPIPE_COLOR_BG_RUN_GUI,"Background colo define mb_msxpipe_safe_cmd - $(call mb_make_call,mb_autoexec_write_default,$(1),$(MB_MSXPIPE_COLOR_FG_SAFE_CMD),$(MB_MSXPIPE_COLOR_BG_SAFE_CMD)) - $(call mb_make_call,mb_autoexec_append_safe_cmd,$(1),$(2)) - $(call mb_make_call,mb_autoexec_append_exit,$(1)) - $(call mb_make_call,mb_openmsx_dosctl,$(1),$(3)) +$(MB__    )$(call mb_make_call,mb_autoexec_write_default,$(1),$(MB_MSXPIPE_COLOR_FG_SAFE_CMD),$(MB_MSXPIPE_COLOR_BG_SAFE_CMD)) +$(MB__    )$(call mb_make_call,mb_autoexec_append_safe_cmd,$(1),$(2)) +$(MB__    )$(call mb_make_call,mb_autoexec_append_exit,$(1)) +$(MB__    )$(call mb_make_call,mb_openmsx_dosctl,$(1),$(3)) endef $(call mb_make_call,mb_doc_function,mb_msxpipe_safe_cmd,"Runs openMSX and safely executes one command."," [machine]") define mb_msxpipe_safe_test - $(call mb_make_call,mb_autoexec_write_default,$(1),$(MB_MSXPIPE_COLOR_FG_SAFE_TEST),$(MB_MSXPIPE_COLOR_BG_SAFE_TEST)) - $(call mb_make_call,mb_autoexec_append_safe_test,$(1),$(2)) - $(call mb_make_call,mb_autoexec_append_exit,$(1)) - $(call mb_make_call,mb_openmsx_dosctl,$(1),$(3)) +$(MB__    )$(call mb_make_call,mb_autoexec_write_default,$(1),$(MB_MSXPIPE_COLOR_FG_SAFE_TEST),$(MB_MSXPIPE_COLOR_BG_SAFE_TEST)) +$(MB__    )$(call mb_make_call,mb_autoexec_append_safe_test,$(1),$(2)) +$(MB__    )$(call mb_make_call,mb_autoexec_append_exit,$(1)) +$(MB__    )$(call mb_make_call,mb_openmsx_dosctl,$(1),$(3)) endef $(call mb_make_call,mb_doc_function,mb_msxpipe_safe_test,"Runs openMSX and safely executes one test."," [machine]") define _mb_msxpipe_run_gui - $(call mb_make_call,mb_autoexec_write_default,$(1),$(MB_MSXPIPE_COLOR_FG_RUN_GUI),$(MB_MSXPIPE_COLOR_BG_RUN_GUI)) - $(if $(filter mouse,$(4)),$(call mb_make_call,mb_autoexec_append_plug_porta,$(1),$(4))) - $(call mb_make_call,mb_autoexec_append_stop_fail,$(1)) - $(call mb_make_call,mb_autoexec_append_show_gui,$(1)) - $(call mb_make_call,mb_autoexec_append_echo,$(1),mb::help Run shutdown to exit) - $(if $(2),$(call mb_make_call,mb_autoexec_append_echo,$(1),mb::auto command $(2))) - $(if $(2),$(call mb_make_call,mb_autoexec_append_cmd,$(1),$(2))) - $(call mb_make_call,mb_openmsx_dosctl,$(1),$(3)) +$(MB__    )$(call mb_make_call,mb_autoexec_write_default,$(1),$(MB_MSXPIPE_COLOR_FG_RUN_GUI),$(MB_MSXPIPE_COLOR_BG_RUN_GUI)) +$(MB__    )$(if $(filter mouse,$(4)),$(call mb_make_call,mb_autoexec_append_plug_porta,$(1),$(4))) +$(MB__    )$(call mb_make_call,mb_autoexec_append_stop_fail,$(1)) +$(MB__    )$(call mb_make_call,mb_autoexec_append_show_gui,$(1)) +$(MB__    )$(call mb_make_call,mb_autoexec_append_echo,$(1),mb::help Run shutdown to exit) +$(MB__    )$(if $(2),$(call mb_make_call,mb_autoexec_append_echo,$(1),mb::auto command $(2))) +$(MB__    )$(if $(2),$(call mb_make_call,mb_autoexec_append_cmd,$(1),$(2))) +$(MB__    )$(call mb_make_call,mb_openmsx_dosctl,$(1),$(3)) endef define mb_msxpipe_run_gui - $(call mb_make_call,_mb_msxpipe_run_gui,$(1),$(2),$(3)) +$(MB__    )$(call mb_make_call,_mb_msxpipe_run_gui,$(1),$(2),$(3)) endef $(call mb_make_call,mb_doc_function,mb_msxpipe_run_gui,"Runs openMSX forever with renderer and optionals."," [cmd] [machine]") define mb_msxpipe_run_gui_mouse - $(call mb_make_call,_mb_msxpipe_run_gui,$(1),$(2),$(3),mouse) +$(MB__    )$(call mb_make_call,_mb_msxpipe_run_gui,$(1),$(2),$(3),mouse) endef $(call mb_make_call,mb_doc_function,mb_msxpipe_run_gui_mouse,"Runs openMSX forever with renderer and mouse and optionals."," [cmd] [machine]") diff --git a/lib/make/mb_msxrom.mk b/lib/make/mb_msxrom.mk index f04ad3f..425ab44 100644 --- a/lib/make/mb_msxrom.mk +++ b/lib/make/mb_msxrom.mk @@ -8,181 +8,181 @@ $(call mb_make_call,mb_doc_variable_deep,MB_MSXROM_CACHE,"Cache storage location define _mb_msxrom_file_fetch - $(call mb_make_call,mb_os_echo_command,$(MB_I18N_MSXROM_FILE_FETCH) $(subst $(MB_MSXROM_API)/,,$(1))) - $(if $(wildcard $(dir $(MB_MSXROM_CACHE)/$(subst $(MB_MSXROM_API)/,,$(1)))),,$(call mb_make_call,mb_os_dir_create,$(dir $(MB_MSXROM_CACHE)/$(subst $(MB_MSXROM_API)/,,$(1))))) - $(if $(wildcard $(MB_MSXROM_CACHE)/$(subst $(MB_MSXROM_API)/,,$(1))),,wget -O $(MB_MSXROM_CACHE)/$(subst $(MB_MSXROM_API)/,,$(1)) $(1)) +$(MB__    )$(call mb_make_call,mb_os_echo_command,$(MB_I18N_MSXROM_FILE_FETCH) $(subst $(MB_MSXROM_API)/,,$(1))) +$(MB__    )$(if $(wildcard $(dir $(MB_MSXROM_CACHE)/$(subst $(MB_MSXROM_API)/,,$(1)))),,$(call mb_make_call,mb_os_dir_create,$(dir $(MB_MSXROM_CACHE)/$(subst $(MB_MSXROM_API)/,,$(1))))) +$(MB__    )$(if $(wildcard $(MB_MSXROM_CACHE)/$(subst $(MB_MSXROM_API)/,,$(1))),,wget -O $(MB_MSXROM_CACHE)/$(subst $(MB_MSXROM_API)/,,$(1)) $(1)) endef define mb_msxrom_file - $(if $(wildcard $(MB_MSXROM_CACHE)/$(2)),,$(call mb_make_call,_mb_msxrom_file_fetch,$(MB_MSXROM_API)/$(2))) - $(if $(wildcard $(1)/$(notdir $(2))),,$(call mb_make_call,mb_os_file_copy,$(MB_MSXROM_CACHE)/$(2),$(1)/$(notdir $(2)))) +$(MB__    )$(if $(wildcard $(MB_MSXROM_CACHE)/$(2)),,$(call mb_make_call,_mb_msxrom_file_fetch,$(MB_MSXROM_API)/$(2))) +$(MB__    )$(if $(wildcard $(1)/$(notdir $(2))),,$(call mb_make_call,mb_os_file_copy,$(MB_MSXROM_CACHE)/$(2),$(1)/$(notdir $(2)))) endef $(call mb_make_call,mb_doc_function_deep,mb_msxrom_file,"Installs msxroms from slug into an subdir."," ") define mb_msxrom_setup - $(if $(wildcard $(1)-omsx),,$(call mb_make_call,mb_os_dir_create,$(1)-omsx)) - $(if $(wildcard $(1)-omsx/share),,$(call mb_make_call,mb_os_dir_create,$(1)-omsx/share)) - $(if $(wildcard $(1)-omsx/share/systemroms),,$(call mb_make_call,mb_os_dir_create,$(1)-omsx/share/systemroms)) - $(if $(wildcard $(1)-omsx/share/systemroms/machines),,$(call mb_make_call,mb_os_dir_create,$(1)-omsx/share/systemroms/machines)) - $(if $(wildcard $(1)-omsx/share/systemroms/extensions),,$(call mb_make_call,mb_os_dir_create,$(1)-omsx/share/systemroms/extensions)) +$(MB__    )$(if $(wildcard $(1)-omsx),,$(call mb_make_call,mb_os_dir_create,$(1)-omsx)) +$(MB__    )$(if $(wildcard $(1)-omsx/share),,$(call mb_make_call,mb_os_dir_create,$(1)-omsx/share)) +$(MB__    )$(if $(wildcard $(1)-omsx/share/systemroms),,$(call mb_make_call,mb_os_dir_create,$(1)-omsx/share/systemroms)) +$(MB__    )$(if $(wildcard $(1)-omsx/share/systemroms/machines),,$(call mb_make_call,mb_os_dir_create,$(1)-omsx/share/systemroms/machines)) +$(MB__    )$(if $(wildcard $(1)-omsx/share/systemroms/extensions),,$(call mb_make_call,mb_os_dir_create,$(1)-omsx/share/systemroms/extensions)) endef $(call mb_make_call,mb_doc_function_deep,mb_msxrom_setup,"Creates needed systemrom folders.","") define mb_msxrom_extension_ide - $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/ide240.dat) +$(MB__    )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/ide240.dat) endef $(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_ide,"Installs sunrise IDE classic rom.","") define mb_msxrom_extension_ide_nextor - $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/Nextor-2.1.1.SunriseIDE.ROM) +$(MB__    )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/Nextor-2.1.1.SunriseIDE.ROM) endef $(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_ide_nextor,"Installs sunrise IDE nextor rom.","") define mb_msxrom_extension_scsi_novaxis - $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/novaxis.rom) +$(MB__    )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/novaxis.rom) endef $(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_scsi_novaxis,"Installs Gouda SCSI novaxis rom.","") define mb_msxrom_extension_msxdos22 - $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/msxdos22.rom) +$(MB__    )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/msxdos22.rom) endef $(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_msxdos22,"Installs msxdos 2.20 rom.","") define mb_msxrom_extension_rs232 - $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/rs232.rom) +$(MB__    )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/rs232.rom) endef $(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_rs232,"Installs rs232 rom.","") define mb_msxrom_extension_fmpac - $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/fmpac.rom) +$(MB__    )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/fmpac.rom) endef $(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_fmpac,"Installs fmpac rom.","") define mb_msxrom_extension_fmpac_en - $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/fmpac_en.rom) +$(MB__    )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/fmpac_en.rom) endef $(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_fmpac_en,"Installs fmpac english rom.","") define mb_msxrom_extension_moonsound - $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/yrw801.rom) +$(MB__    )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/yrw801.rom) endef $(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_moonsound,"Installs moonsound rom.","") define mb_msxrom_extension_basickun - $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,machines/sanyo/phc-70fd2_basickun.rom) +$(MB__    )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,machines/sanyo/phc-70fd2_basickun.rom) endef $(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_basickun,"Installs basickun compiler rom.","") define mb_msxrom_machine_Canon_V-20 - $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/canon/v-20_basic-bios1.rom) +$(MB__    )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/canon/v-20_basic-bios1.rom) endef $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Canon_V-20,"Installs machine roms.","") define mb_msxrom_machine_Mitsubishi_ML-F80 - $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/mitsubishi/ml-f80_basic-bios1.rom) +$(MB__    )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/mitsubishi/ml-f80_basic-bios1.rom) endef $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Mitsubishi_ML-F80,"Installs machine roms.","") define mb_msxrom_machine_Mitsubishi_ML-FX1 - $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/mitsubishi/ml-fx1_basic-bios1.rom) +$(MB__    )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/mitsubishi/ml-fx1_basic-bios1.rom) endef $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Mitsubishi_ML-FX1,"Installs machine roms.","") define mb_msxrom_machine_Mitsubishi_ML-G3_ES - $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/mitsubishi/ml-g3_es_basic-bios2.rom) - $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/mitsubishi/ml-g3_es_disk.rom) - $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/mitsubishi/ml-g3_es_msx2sub.rom) - $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/mitsubishi/ml-g3_es_rs232.rom) +$(MB__    )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/mitsubishi/ml-g3_es_basic-bios2.rom) +$(MB__    )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/mitsubishi/ml-g3_es_disk.rom) +$(MB__    )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/mitsubishi/ml-g3_es_msx2sub.rom) +$(MB__    )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/mitsubishi/ml-g3_es_rs232.rom) endef $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Mitsubishi_ML-G3_ES,"Installs machine roms.","") define mb_msxrom_machine_Philips_VG_8000 - $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/philips/vg8000_basic-bios1.rom) +$(MB__    )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/philips/vg8000_basic-bios1.rom) endef $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Philips_VG_8000,"Installs machine roms.","") define mb_msxrom_machine_Philips_VG_8230 - $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/philips/vg8230_basic-bios2.rom) - $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/philips/vg8230_disk.rom) - $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/philips/vg8230_msx2sub.rom) +$(MB__    )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/philips/vg8230_basic-bios2.rom) +$(MB__    )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/philips/vg8230_disk.rom) +$(MB__    )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/philips/vg8230_msx2sub.rom) endef $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Philips_VG_8230,"Installs machine roms.","") define mb_msxrom_machine_Philips_NMS_8250 - $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/philips/nms8250_basic-bios2.rom) - $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/philips/nms8250_msx2sub.rom) - $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/philips/nms8250_disk.rom) +$(MB__    )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/philips/nms8250_basic-bios2.rom) +$(MB__    )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/philips/nms8250_msx2sub.rom) +$(MB__    )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/philips/nms8250_disk.rom) endef $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Philips_NMS_8250,"Installs machine roms.","") define mb_msxrom_machine_Toshiba_HX-21 - $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/toshiba/HX21-IC2.BIN) - $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/toshiba/HX21-IC3.BIN) +$(MB__    )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/toshiba/HX21-IC2.BIN) +$(MB__    )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/toshiba/HX21-IC3.BIN) endef $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Toshiba_HX-21,"Installs machine roms.","") define mb_msxrom_machine_Yamaha_AX200 - $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/yamaha/ax200_basic-bios1.rom) - $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/yamaha/ax200_arabic.rom) - $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/yamaha/ax200_music.rom) +$(MB__    )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/yamaha/ax200_basic-bios1.rom) +$(MB__    )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/yamaha/ax200_arabic.rom) +$(MB__    )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/yamaha/ax200_music.rom) endef $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Yamaha_AX200,"Installs machine roms.","") define mb_msxrom_machine_Yamaha_YIS-503IIIR - $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/yamaha/yis503iii_basic-bios2.rom) - $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/yamaha/yis503iii_msx2sub.rom) - $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/yamaha/yis503iii_cpm.rom) - $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/yamaha/yis503iii_net_2.rom) +$(MB__    )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/yamaha/yis503iii_basic-bios2.rom) +$(MB__    )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/yamaha/yis503iii_msx2sub.rom) +$(MB__    )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/yamaha/yis503iii_cpm.rom) +$(MB__    )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/yamaha/yis503iii_net_2.rom) endef $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Yamaha_YIS-503IIIR,"Installs machine roms.","") define mb_msxrom_machine_Panasonic_FS-A1WSX - $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/panasonic/fs-a1wsx_basic-bios2p.rom) - $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/panasonic/fs-a1wsx_disk.rom) - $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/panasonic/fs-a1wsx_firmware.rom) - $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/panasonic/fs-a1wsx_fmbasic.rom) - $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/panasonic/fs-a1wsx_kanjibasic.rom) - $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/panasonic/fs-a1wsx_kanjifont.rom) - $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/panasonic/fs-a1wsx_msx2psub.rom) +$(MB__    )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/panasonic/fs-a1wsx_basic-bios2p.rom) +$(MB__    )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/panasonic/fs-a1wsx_disk.rom) +$(MB__    )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/panasonic/fs-a1wsx_firmware.rom) +$(MB__    )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/panasonic/fs-a1wsx_fmbasic.rom) +$(MB__    )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/panasonic/fs-a1wsx_kanjibasic.rom) +$(MB__    )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/panasonic/fs-a1wsx_kanjifont.rom) +$(MB__    )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/panasonic/fs-a1wsx_msx2psub.rom) endef $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Panasonic_FS-A1WSX,"Installs machine roms.","") define mb_msxrom_machine_Boosted_MSX2_EN - $(call mb_make_call,mb_msxrom_machine_Philips_NMS_8250,$(1)) - $(call mb_make_call,mb_msxrom_extension_fmpac,$(1)) - $(call mb_make_call,mb_msxrom_extension_moonsound,$(1)) - $(call mb_make_call,mb_msxrom_extension_basickun,$(1)) +$(MB__    )$(call mb_make_call,mb_msxrom_machine_Philips_NMS_8250,$(1)) +$(MB__    )$(call mb_make_call,mb_msxrom_extension_fmpac,$(1)) +$(MB__    )$(call mb_make_call,mb_msxrom_extension_moonsound,$(1)) +$(MB__    )$(call mb_make_call,mb_msxrom_extension_basickun,$(1)) endef $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Boosted_MSX2_EN,"Installs machine and extensions roms.","") define mb_msxrom_machine_Boosted_MSX2+_JP - $(call mb_make_call,mb_msxrom_machine_Panasonic_FS-A1WSX,$(1)) - $(call mb_make_call,mb_msxrom_extension_moonsound,$(1)) - $(call mb_make_call,mb_msxrom_extension_basickun,$(1)) +$(MB__    )$(call mb_make_call,mb_msxrom_machine_Panasonic_FS-A1WSX,$(1)) +$(MB__    )$(call mb_make_call,mb_msxrom_extension_moonsound,$(1)) +$(MB__    )$(call mb_make_call,mb_msxrom_extension_basickun,$(1)) endef $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Boosted_MSX2+_JP,"Installs machine and extensions roms.","") diff --git a/lib/make/mb_openmsx.mk b/lib/make/mb_openmsx.mk index 6c68020..02fc85b 100644 --- a/lib/make/mb_openmsx.mk +++ b/lib/make/mb_openmsx.mk @@ -1,4 +1,7 @@ +MB_OPENMSX_PATH ?= /usr/bin +$(call mb_make_call,mb_doc_variable,MB_OPENMSX_PATH,"Path where openMSX binary is located.") + MB_OPENMSX_BOOT_TIMEOUT ?= 25 $(call mb_make_call,mb_doc_variable,MB_OPENMSX_BOOT_TIMEOUT,"Timeout in seconds to guard for boot failures.") @@ -40,75 +43,75 @@ $(call mb_make_call,mb_doc_variable_deep,MB_OPENMSX_STDERR_IGNORE,"Kills logging define mb_openmsx_setup - $(if $(wildcard $(1)-omsx),,$(call mb_make_call,mb_os_dir_create,$(1)-omsx)) - $(if $(wildcard $(1)-omsx/stdio.xml),,$(call mb_make_call,mb_os_file_copy,$(PATH_MSXBUILD_REAL)/lib/openmsx/stdio.xml,$(1)-omsx)) - $(if $(wildcard $(1)-omsx/share),,$(call mb_make_call,mb_os_dir_create,$(1)-omsx/share)) - $(if $(wildcard $(1)-omsx/share/settings.xml),,$(call mb_make_call,mb_os_file_copy,$(PATH_MSXBUILD_REAL)/lib/openmsx/share/settings.xml,$(1)-omsx/share)) - $(if $(wildcard $(1)-omsx/share/scripts),,$(call mb_make_call,mb_os_dir_create,$(1)-omsx/share/scripts)) - $(if $(wildcard $(1)-omsx/share/scripts/boot_exec.tcl),,$(call mb_make_call,mb_os_file_copy,$(PATH_MSXBUILD_REAL)/lib/openmsx/share/scripts/boot_exec.tcl,$(1)-omsx/share/scripts)) - $(if $(wildcard $(1)-omsx/share/scripts/fail_after.tcl),,$(call mb_make_call,mb_os_file_copy,$(PATH_MSXBUILD_REAL)/lib/openmsx/share/scripts/fail_after.tcl,$(1)-omsx/share/scripts)) - $(if $(wildcard $(1)-omsx/share/scripts/fire_hdd.tcl),,$(call mb_make_call,mb_os_file_copy,$(PATH_MSXBUILD_REAL)/lib/openmsx/share/scripts/fire_hdd.tcl,$(1)-omsx/share/scripts)) - $(if $(wildcard $(1)-omsx/share/scripts/headless.tcl),,$(call mb_make_call,mb_os_file_copy,$(PATH_MSXBUILD_REAL)/lib/openmsx/share/scripts/headless.tcl,$(1)-omsx/share/scripts)) - $(if $(wildcard $(1)-omsx/share/scripts/night_flight.tcl),,$(call mb_make_call,mb_os_file_copy,$(PATH_MSXBUILD_REAL)/lib/openmsx/share/scripts/night_flight.tcl,$(1)-omsx/share/scripts)) - $(if $(wildcard $(1)-omsx/share/scripts/omsxctl.tcl),,$(call mb_msxhub_file,$(1)-omsx/share/scripts,OMSXCTL/1.0-1/get/OMSXCTL/omsxctl.tcl)) - $(if $(wildcard $(1)-omsx/share/extensions),,$(call mb_make_call,mb_os_dir_create,$(1)-omsx/share/extensions)) - $(if $(wildcard $(1)-omsx/share/extensions/fire-hdd.xml),,$(call mb_make_call,mb_os_file_copy,$(PATH_MSXBUILD_REAL)/lib/openmsx/share/extensions/fire-hdd.xml,$(1)-omsx/share/extensions)) - $(call mb_make_call,mb_msxrom_setup,$(1)) - $(call mb_make_call,mb_msxrom_extension_ide_nextor,$(1)) - $(call mb_make_call,mb_msxrom_machine_$(2),$(1)) +$(MB__    )$(if $(wildcard $(1)-omsx),,$(call mb_make_call,mb_os_dir_create,$(1)-omsx)) +$(MB__    )$(if $(wildcard $(1)-omsx/stdio.xml),,$(call mb_make_call,mb_os_file_copy,$(MB__BASEPATH_REAL)/lib/openmsx/stdio.xml,$(1)-omsx)) +$(MB__    )$(if $(wildcard $(1)-omsx/share),,$(call mb_make_call,mb_os_dir_create,$(1)-omsx/share)) +$(MB__    )$(if $(wildcard $(1)-omsx/share/settings.xml),,$(call mb_make_call,mb_os_file_copy,$(MB__BASEPATH_REAL)/lib/openmsx/share/settings.xml,$(1)-omsx/share)) +$(MB__    )$(if $(wildcard $(1)-omsx/share/scripts),,$(call mb_make_call,mb_os_dir_create,$(1)-omsx/share/scripts)) +$(MB__    )$(if $(wildcard $(1)-omsx/share/scripts/boot_exec.tcl),,$(call mb_make_call,mb_os_file_copy,$(MB__BASEPATH_REAL)/lib/openmsx/share/scripts/boot_exec.tcl,$(1)-omsx/share/scripts)) +$(MB__    )$(if $(wildcard $(1)-omsx/share/scripts/fail_after.tcl),,$(call mb_make_call,mb_os_file_copy,$(MB__BASEPATH_REAL)/lib/openmsx/share/scripts/fail_after.tcl,$(1)-omsx/share/scripts)) +$(MB__    )$(if $(wildcard $(1)-omsx/share/scripts/fire_hdd.tcl),,$(call mb_make_call,mb_os_file_copy,$(MB__BASEPATH_REAL)/lib/openmsx/share/scripts/fire_hdd.tcl,$(1)-omsx/share/scripts)) +$(MB__    )$(if $(wildcard $(1)-omsx/share/scripts/headless.tcl),,$(call mb_make_call,mb_os_file_copy,$(MB__BASEPATH_REAL)/lib/openmsx/share/scripts/headless.tcl,$(1)-omsx/share/scripts)) +$(MB__    )$(if $(wildcard $(1)-omsx/share/scripts/night_flight.tcl),,$(call mb_make_call,mb_os_file_copy,$(MB__BASEPATH_REAL)/lib/openmsx/share/scripts/night_flight.tcl,$(1)-omsx/share/scripts)) +$(MB__    )$(if $(wildcard $(1)-omsx/share/scripts/omsxctl.tcl),,$(call mb_msxhub_file,$(1)-omsx/share/scripts,OMSXCTL/1.0-1/get/OMSXCTL/omsxctl.tcl)) +$(MB__    )$(if $(wildcard $(1)-omsx/share/extensions),,$(call mb_make_call,mb_os_dir_create,$(1)-omsx/share/extensions)) +$(MB__    )$(if $(wildcard $(1)-omsx/share/extensions/fire-hdd.xml),,$(call mb_make_call,mb_os_file_copy,$(MB__BASEPATH_REAL)/lib/openmsx/share/extensions/fire-hdd.xml,$(1)-omsx/share/extensions)) +$(MB__    )$(call mb_make_call,mb_msxrom_setup,$(1)) +$(MB__    )$(call mb_make_call,mb_msxrom_extension_ide_nextor,$(1)) +$(MB__    )$(call mb_make_call,mb_msxrom_machine_$(2),$(1)) endef $(call mb_make_call,mb_doc_function_deep,mb_openmsx_setup,"Setup openMSX local build home folder for machine."," ") define _mb_openmsx_run - $(call mb_make_call,mb_os_echo_command,$(MB_I18N_OPENMSX_STARTUP) $@ - $(2)) - $(if $(wildcard $(1)-omsx/persistent/fire-hdd/untitled1/sync),,$(call mb_make_call,mb_os_dir_create,$(1)-omsx/persistent/fire-hdd/untitled1/sync)) - BOOT_WATCHDOG=$(MB_OPENMSX_BOOT_TIMEOUT) \ - FIRE_HDD_PATH="$(1)" \ - FIRE_HDD_SIZE=$(MB_OPENMSX_HDD_SIZE) \ - FIRE_HDD_IMAGE="$(1)-omsx/persistent/fire-hdd/untitled1/image-hda.dsk" \ - FIRE_HDD_PATH_EXPORT="$(1)-omsx/persistent/fire-hdd/untitled1/sync" \ - SCALE_FACTOR=$(MB_OPENMSX_SCALE_FACTOR) \ - SPEED=$(MB_OPENMSX_SPEED) \ - HEADLESS=$(MB_OPENMSX_HEADLESS) \ - RENDERER=$(MB_OPENMSX_RENDERER) \ - THROTTLE=$(MB_OPENMSX_THROTTLE) \ - NF_PREFIX=$(MB_FLIGHT_PREFIX) \ - NF_SEPERATOR=$(MB_FLIGHT_SEPERATOR) \ - NF_RECORD_FLAG=$(MB_FLIGHT_RECORD_FLAG) \ - OPENMSX_HOME="$(1)-omsx" \ - $(PATH_OPENMSX)/openmsx \ - -machine $(2) \ - -ext slotexpander \ - -ext fire-hdd \ - $(if $(filter ram16k,$(3)),-ext ram16k) \ - $(if $(filter ram64k,$(3)),-ext ram64k) \ - $(if $(filter ram512k,$(3)),-ext ram512k) \ - $(if $(filter ram1mb,$(3)),-ext ram1mb) \ - $(if $(filter ram4mb,$(3)),-ext ram2mb) \ - $(if $(filter ram4mb,$(3)),-ext ram4mb) \ - $(MB_OPENMSX_ARGS) \ - -control stdio < $(1)-omsx/stdio.xml \ - $(if $(filter on,$(MB_OPENMSX_STDOUT_IGNORE)),$(MB_OS_STDOUT_IGNORE)) \ - $(if $(filter on,$(MB_OPENMSX_STDERR_IGNORE)),$(MB_OS_STDERR_IGNORE)) - rsync --checksum --recursive "$(1)-omsx/persistent/fire-hdd/untitled1/sync/" "$(1)/" +$(MB__    )$(call mb_make_call,mb_os_echo_command,$(MB_I18N_OPENMSX_STARTUP) $@ - $(2)) +$(MB__    )$(if $(wildcard $(1)-omsx/persistent/fire-hdd/untitled1/sync),,$(call mb_make_call,mb_os_dir_create,$(1)-omsx/persistent/fire-hdd/untitled1/sync)) +$(MB__    )BOOT_WATCHDOG=$(MB_OPENMSX_BOOT_TIMEOUT) \ +$(MB__    )FIRE_HDD_PATH="$(1)" \ +$(MB__    )FIRE_HDD_SIZE=$(MB_OPENMSX_HDD_SIZE) \ +$(MB__    )FIRE_HDD_IMAGE="$(1)-omsx/persistent/fire-hdd/untitled1/image-hda.dsk" \ +$(MB__    )FIRE_HDD_PATH_EXPORT="$(1)-omsx/persistent/fire-hdd/untitled1/sync" \ +$(MB__    )SCALE_FACTOR=$(MB_OPENMSX_SCALE_FACTOR) \ +$(MB__    )SPEED=$(MB_OPENMSX_SPEED) \ +$(MB__    )HEADLESS=$(MB_OPENMSX_HEADLESS) \ +$(MB__    )RENDERER=$(MB_OPENMSX_RENDERER) \ +$(MB__    )THROTTLE=$(MB_OPENMSX_THROTTLE) \ +$(MB__    )NF_PREFIX=$(MB_FLIGHT_PREFIX) \ +$(MB__    )NF_SEPERATOR=$(MB_FLIGHT_SEPERATOR) \ +$(MB__    )NF_RECORD_FLAG=$(MB_FLIGHT_RECORD_FLAG) \ +$(MB__    )OPENMSX_HOME="$(1)-omsx" \ +$(MB__    )$(MB_OPENMSX_PATH)/openmsx \ +$(MB__        )-machine $(2) \ +$(MB__        )-ext slotexpander \ +$(MB__        )-ext fire-hdd \ +$(MB__        )$(if $(filter ram16k,$(3)),-ext ram16k) \ +$(MB__        )$(if $(filter ram64k,$(3)),-ext ram64k) \ +$(MB__        )$(if $(filter ram512k,$(3)),-ext ram512k) \ +$(MB__        )$(if $(filter ram1mb,$(3)),-ext ram1mb) \ +$(MB__        )$(if $(filter ram4mb,$(3)),-ext ram2mb) \ +$(MB__        )$(if $(filter ram4mb,$(3)),-ext ram4mb) \ +$(MB__        )$(MB_OPENMSX_ARGS) \ +$(MB__        )-control stdio < $(1)-omsx/stdio.xml \ +$(MB__        )$(if $(filter on,$(MB_OPENMSX_STDOUT_IGNORE)),$(MB_OS_STDOUT_IGNORE)) \ +$(MB__        )$(if $(filter on,$(MB_OPENMSX_STDERR_IGNORE)),$(MB_OS_STDERR_IGNORE)) +$(MB__    )rsync --checksum --recursive "$(1)-omsx/persistent/fire-hdd/untitled1/sync/" "$(1)/" endef define _mb_openmsx_dosctl_bat - $(if $(wildcard $(1)/z80.bat),,$(call mb_make_call,mb_os_file_copy,$(PATH_MSXBUILD_REAL)/lib/emuctl/z80.bat,$(1))) - $(if $(wildcard $(1)/reboot.bat),,$(call mb_make_call,mb_os_file_copy,$(PATH_MSXBUILD_REAL)/lib/emuctl/reboot.bat,$(1))) - $(if $(wildcard $(1)/shutdown.bat),,$(call mb_make_call,mb_os_file_copy,$(PATH_MSXBUILD_REAL)/lib/emuctl/shutdown.bat,$(1))) - $(if $(wildcard $(1)/mbboot80.com),,$(call mb_make_call,mb_os_file_copy,$(PATH_MSXBUILD_REAL)/lib/emuctl/mbboot80.com,$(1))) - $(if $(wildcard $(1)/omsxctl.com),,$(call mb_make_call,mb_msxhub_file,$(1),OMSXCTL/1.0-1/get/OMSXCTL/omsxctl.com)) +$(MB__    )$(if $(wildcard $(1)/z80.bat),,$(call mb_make_call,mb_os_file_copy,$(MB__BASEPATH_REAL)/lib/emuctl/z80.bat,$(1))) +$(MB__    )$(if $(wildcard $(1)/reboot.bat),,$(call mb_make_call,mb_os_file_copy,$(MB__BASEPATH_REAL)/lib/emuctl/reboot.bat,$(1))) +$(MB__    )$(if $(wildcard $(1)/shutdown.bat),,$(call mb_make_call,mb_os_file_copy,$(MB__BASEPATH_REAL)/lib/emuctl/shutdown.bat,$(1))) +$(MB__    )$(if $(wildcard $(1)/mbboot80.com),,$(call mb_make_call,mb_os_file_copy,$(MB__BASEPATH_REAL)/lib/emuctl/mbboot80.com,$(1))) +$(MB__    )$(if $(wildcard $(1)/omsxctl.com),,$(call mb_make_call,mb_msxhub_file,$(1),OMSXCTL/1.0-1/get/OMSXCTL/omsxctl.com)) endef define mb_openmsx_dosctl - $(call mb_make_call,mb_openmsx_setup,$(1),$(if $(2),$(2),$(MB_OPENMSX_MACHINE))) - $(if $(wildcard $(1)/utils),$(call mb_make_call,_mb_openmsx_dosctl_bat,$(1)/utils),$(call mb_make_call,_mb_openmsx_dosctl_bat,$(1))) - $(if $(wildcard $(1)/command.com),,$(if $(wildcard $(1)/command2.com),,$(call mb_make_call,mb_msxhub_get_$(MB_OPENMSX_BOOT_OS)_boot,$(1)))) - $(call mb_make_call,_mb_openmsx_run,$(1),$(if $(2),$(2),$(MB_OPENMSX_MACHINE)),$(if $(3),$(3),$(MB_OPENMSX_MACHINE_RAM))) +$(MB__    )$(call mb_make_call,mb_openmsx_setup,$(1),$(if $(2),$(2),$(MB_OPENMSX_MACHINE))) +$(MB__    )$(if $(wildcard $(1)/utils),$(call mb_make_call,_mb_openmsx_dosctl_bat,$(1)/utils),$(call mb_make_call,_mb_openmsx_dosctl_bat,$(1))) +$(MB__    )$(if $(wildcard $(1)/command.com),,$(if $(wildcard $(1)/command2.com),,$(call mb_make_call,mb_msxhub_get_$(MB_OPENMSX_BOOT_OS)_boot,$(1)))) +$(MB__    )$(call mb_make_call,_mb_openmsx_run,$(1),$(if $(2),$(2),$(MB_OPENMSX_MACHINE)),$(if $(3),$(3),$(MB_OPENMSX_MACHINE_RAM))) endef $(call mb_make_call,mb_doc_function,mb_openmsx_dosctl,"Starts openMSX with dos controller."," [machine] [mem-ext]") diff --git a/lib/make/mb_os.mk b/lib/make/mb_os.mk index ceff9f1..47b9530 100644 --- a/lib/make/mb_os.mk +++ b/lib/make/mb_os.mk @@ -1,93 +1,110 @@ # OS cmds -ifeq ($(OS),Windows_NT) - MB_OS_RM ?= del /F /Q - MB_OS_RMDIR ?= RMDIR /S /Q - MB_OS_MKDIR ?= mkdir - MB_OS_COPY ?= copy - MB_OS_ECHO ?= echo - MB_OS_STDOUT_IGNORE ?= >NUL - MB_OS_STDERR_IGNORE ?= 2>NUL || true - MB_OS_SEP ?= "\" - MB_OS_CACHE ?= %LOCALAPPDATA% - MB_OS_COLORS ?= -1 -else - MB_OS_RM ?= rm -f - MB_OS_RMDIR ?= rm -rf - MB_OS_MKDIR ?= mkdir -p - MB_OS_COPY ?= cp - MB_OS_ECHO ?= /usr/bin/echo - MB_OS_STDOUT_IGNORE ?= >/dev/null - MB_OS_STDERR_IGNORE ?= 2>/dev/null - MB_OS_SEP ?= "/" - MB_OS_CACHE ?= ~/.cache - MB_OS_COLORS ?= $(shell tput colors 2> /dev/null;test $$? -eq 0 || echo "-1") -endif - - +MB_OS_RM ?= rm -f $(call mb_make_call,mb_doc_variable_rock,MB_OS_RM,"Native OS delete command.") + +MB_OS_RMDIR ?= rm -rf $(call mb_make_call,mb_doc_variable_rock,MB_OS_RMDIR,"Native OS remove folder command.") + +MB_OS_MKDIR ?= mkdir -p $(call mb_make_call,mb_doc_variable_rock,MB_OS_MKDIR,"Native OS create folder command.") + +MB_OS_COPY ?= cp $(call mb_make_call,mb_doc_variable_rock,MB_OS_COPY,"Native OS copy command.") -$(call mb_make_call,mb_doc_variable_rock,MB_OS_ECHO,"Native OS echo command.") + +MB_OS_STDOUT_IGNORE ?= >/dev/null $(call mb_make_call,mb_doc_variable_rock,MB_OS_STDOUT_IGNORE,"Native OS ignore stdout.") + +MB_OS_STDERR_IGNORE ?= 2>/dev/null $(call mb_make_call,mb_doc_variable_rock,MB_OS_STDERR_IGNORE,"Native OS ignore stderr.") + +MB_OS_SEP ?=/ $(call mb_make_call,mb_doc_variable_rock,MB_OS_SEP,"Native OS path seperator.") + +MB_OS_CACHE ?= ~/.cache $(call mb_make_call,mb_doc_variable_rock,MB_OS_CACHE,"Native OS application cache folder.") + +MB_OS_COLORS ?= $(shell tput colors 2> /dev/null;test $$? -eq 0 || echo "-1") $(call mb_make_call,mb_doc_variable_rock,MB_OS_COLORS,"Native OS terminal color count support.") +ifdef OS +ifeq ($(OS),Windows_NT) +$(MB__    )MB_OS_RM ?= del /F /Q +$(MB__    )MB_OS_RMDIR ?= RMDIR /S /Q +$(MB__    )MB_OS_MKDIR ?= mkdir +$(MB__    )MB_OS_COPY ?= copy +$(MB__    )MB_OS_STDOUT_IGNORE ?= >NUL +$(MB__    )MB_OS_STDERR_IGNORE ?= 2>NUL || true +$(MB__    )MB_OS_SEP ?=\ +$(MB__    )MB_OS_CACHE ?= %LOCALAPPDATA% +$(MB__    )MB_OS_COLORS ?= -1 +endif +endif + + define mb_os_dir_delete - $(MB_OS_RMDIR) $(1) +$(MB__    )$(MB_OS_RMDIR) $(1) endef $(call mb_make_call,mb_doc_function,mb_os_dir_delete,"Delete the full folder.","") define mb_os_dir_create - $(MB_OS_MKDIR) $(1) +$(MB__    )$(MB_OS_MKDIR) $(1) endef $(call mb_make_call,mb_doc_function,mb_os_dir_create,"Creates an folder.","") define mb_os_file_delete - $(MB_OS_RM) $(1) +$(MB__    )$(MB_OS_RM) $(1) endef $(call mb_make_call,mb_doc_function,mb_os_file_delete,"Deletes an file.","") define mb_os_file_copy - $(MB_OS_COPY) $(1) $(2) +$(MB__    )$(MB_OS_COPY) $(1) $(2) endef $(call mb_make_call,mb_doc_function,mb_os_file_copy,"Copy an file."," ") -define mb_os_echo_good -$(if $(filter -1,$(MB_OS_COLORS)),@echo $(MB_I18N_OS_ECHO_CRAYON) $(1),$(MB_OS_ECHO) -e "\x1B[32m$(MB_I18N_OS_ECHO_CRAYON)$$1\x1B[39m $(1)") +define mb_os_echo_color +$(MB__    )$(if $(filter -1,$(MB_OS_COLORS)),@echo $(MB_I18N_OS_ECHO_CRAYON) $(2),@echo -e "\x1B[$(1)m$(MB_I18N_OS_ECHO_CRAYON)\x1B[39m $(2)") endef -$(call mb_make_call,mb_doc_function_deep,mb_os_echo_good,"Echo's an message to stdout with 'green' crayon.","") +$(call mb_make_call,mb_doc_function_deep,mb_os_echo_color,"Echo's an message to stdout with ansi color code."," ") define mb_os_echo_fail -$(if $(filter -1,$(MB_OS_COLORS)),@echo $(MB_I18N_OS_ECHO_CRAYON) $(1),$(MB_OS_ECHO) -e "\x1B[31m$(MB_I18N_OS_ECHO_CRAYON)$$1\x1B[39m $(1)") +$(MB__    )$(call mb_make_call,mb_os_echo_color,31,$(1)) endef $(call mb_make_call,mb_doc_function_deep,mb_os_echo_fail,"Echo's an message to stdout with 'red' crayon.","") +define mb_os_echo_good +$(MB__    )$(call mb_make_call,mb_os_echo_color,32,$(1)) +endef +$(call mb_make_call,mb_doc_function_deep,mb_os_echo_good,"Echo's an message to stdout with 'green' crayon.","") + + +define mb_os_echo_assert +$(MB__    )$(call mb_make_call,mb_os_echo_color,33,$(1)) +endef +$(call mb_make_call,mb_doc_function_deep,mb_os_echo_assert,"Echo's an message to stdout with 'yellow' crayon.","") + + define mb_os_echo_command -$(if $(filter -1,$(MB_OS_COLORS)),@echo $(MB_I18N_OS_ECHO_CRAYON) $(1),$(MB_OS_ECHO) -e "\x1B[34m$(MB_I18N_OS_ECHO_CRAYON)$$1\x1B[39m $(1)") +$(MB__    )$(call mb_make_call,mb_os_echo_color,34,$(1)) endef $(call mb_make_call,mb_doc_function_deep,mb_os_echo_command,"Echo's an message to stdout with 'blue' crayon.","") define mb_os_echo_phase -$(if $(filter -1,$(MB_OS_COLORS)),@echo $(MB_I18N_OS_ECHO_CRAYON) $(1),$(MB_OS_ECHO) -e "\x1B[35m$(MB_I18N_OS_ECHO_CRAYON)$$1\x1B[39m $(1)") +$(MB__    )$(call mb_make_call,mb_os_echo_color,35,$(1)) endef $(call mb_make_call,mb_doc_function_deep,mb_os_echo_phase,"Echo's an message to stdout with 'magenta' crayon.","") -define mb_os_echo_assert -$(if $(filter -1,$(MB_OS_COLORS)),@echo $(MB_I18N_OS_ECHO_CRAYON) $(1),$(MB_OS_ECHO) -e "\x1B[33m$(MB_I18N_OS_ECHO_CRAYON)$$1\x1B[39m $(1)") +define mb_os_echo_alert +$(MB__    )$(call mb_make_call,mb_os_echo_color,36,$(1)) endef -$(call mb_make_call,mb_doc_function_deep,mb_os_echo_assert,"Echo's an message to stdout with 'yellow' crayon.","") +$(call mb_make_call,mb_doc_function_deep,mb_os_echo_phase,"Echo's an message to stdout with 'cyan' crayon.","") -# Last color: Cyan: \u001b[36m diff --git a/lib/make/mb_proj.mk b/lib/make/mb_proj.mk index 4ac99c6..49c3a1a 100644 --- a/lib/make/mb_proj.mk +++ b/lib/make/mb_proj.mk @@ -8,288 +8,283 @@ $(call mb_make_call,mb_doc_variable,MB_PROJ_META_DESCRIPTION,"The project descri MB_PROJ_META_WEBSITE ?= $(call mb_make_call,mb_doc_variable,MB_PROJ_META_WEBSITE,"The project website location.") -MB_PROJ_PHASE_PROCESS_DEPS ?= -$(call mb_make_call,mb_doc_variable_flow,MB_PROJ_PHASE_PROCESS_DEPS,"The targets to trigger by project @process target.") - -MB_PROJ_PHASE_COMPILE_DEPS ?= -$(call mb_make_call,mb_doc_variable_flow,MB_PROJ_PHASE_COMPILE_DEPS,"The targets to trigger by project @compile target.") - -MB_PROJ_PHASE_LINK_DEPS ?= -$(call mb_make_call,mb_doc_variable_flow,MB_PROJ_PHASE_LINK_DEPS,"The targets to trigger by project @link target.") - -MB_PROJ_PHASE_BUILD_DEPS ?= -$(call mb_make_call,mb_doc_variable_flow,MB_PROJ_PHASE_BUILD_DEPS,"The targets to trigger by project @build target.") - -MB_PROJ_PHASE_TEST_DEPS ?= -$(call mb_make_call,mb_doc_variable_flow,MB_PROJ_PHASE_TEST_DEPS,"The targets to trigger by project @test target.") - -MB_PROJ_PHASE_PACKAGE_DEPS ?= -$(call mb_make_call,mb_doc_variable_flow,MB_PROJ_PHASE_PACKAGE_DEPS,"The targets to trigger by project @package target.") - -MB_PROJ_PHASE_PACKAGE_QA_DEPS ?= -$(call mb_make_call,mb_doc_variable_flow,MB_PROJ_PHASE_PACKAGE_QA_DEPS,"The targets to trigger by project @package-qa target.") - -MB_PROJ_PHASE_PACKAGE_DEPLOY_DEPS ?= -$(call mb_make_call,mb_doc_variable_flow,MB_PROJ_PHASE_PACKAGE_QA_DEPLOY,"The targets to trigger by project @package-deploy target.") - - define mb_proj_module_path_src -$(1)/$(subst /,,$(subst $(1),,$(dir $(lastword $(MAKEFILE_LIST))))) +$(MB__    )$(1)/$(subst /,,$(subst $(1),,$(dir $(lastword $(MAKEFILE_LIST))))) endef $(call mb_make_call,mb_doc_function_deep,mb_proj_module_path_src,"Convert include location folder as src folder.","") define mb_proj_module_path_bin -$(2)/$(subst /,,$(subst $(1),,$(dir $(lastword $(MAKEFILE_LIST))))) +$(MB__    )$(2)/$(subst /,,$(subst $(1),,$(dir $(lastword $(MAKEFILE_LIST))))) endef $(call mb_make_call,mb_doc_function_deep,mb_proj_module_path_src,"Convert include location folder as bin folder."," ") define mb_proj_module_local_deps -$(foreach dep,$(2),$(1)/$(notdir $(dep))) +$(MB__    )$(foreach dep,$(2),$(1)/$(notdir $(dep))) endef $(call mb_make_call,mb_doc_function_deep,mb_proj_module_deps,"Convert other files to local deps."," ") +define mb_proj_grow_deps_phase_clean +$(MB__    )$(eval @@clean-deps:: $(1)) +endef +$(call mb_make_call,mb_doc_function_deep,mb_proj_grow_deps_phase_process,"Grow the deps of the '@process' phase..","") + + +define mb_proj_grow_deps_phase_init +$(MB__    )$(eval @@init-deps:: $(1)) +endef +$(call mb_make_call,mb_doc_function_deep,mb_proj_grow_deps_phase_process,"Grow the deps of the '@process' phase..","") + + define mb_proj_grow_deps_phase_process - $(eval MB_PROJ_PHASE_PROCESS_DEPS += $(1)) +$(MB__    )$(eval @@process-deps:: $(1)) endef $(call mb_make_call,mb_doc_function_deep,mb_proj_grow_deps_phase_process,"Grow the deps of the '@process' phase..","") define mb_proj_grow_deps_phase_compile - $(eval MB_PROJ_PHASE_COMPILE_DEPS += $(1)) +$(MB__    )$(eval @@compile-deps:: $(1)) endef $(call mb_make_call,mb_doc_function_deep,mb_proj_grow_deps_phase_compile,"Grow the deps of the '@compile' phase..","") define mb_proj_grow_deps_phase_link - $(eval MB_PROJ_PHASE_LINK_DEPS += $(1)) +$(MB__    )$(eval @@link-deps:: $(1)) endef $(call mb_make_call,mb_doc_function_deep,mb_proj_grow_deps_phase_link,"Grow the deps of the '@link' phase..","") define mb_proj_grow_deps_phase_build - $(eval MB_PROJ_PHASE_BUILD_DEPS += $(1)) +$(MB__    )$(eval @@build-deps:: $(1)) endef $(call mb_make_call,mb_doc_function_deep,mb_proj_grow_deps_phase_build,"Grow the deps of the '@build' phase..","") define mb_proj_grow_deps_phase_test - $(eval MB_PROJ_PHASE_TEST_DEPS += $(1)) +$(MB__    )$(eval @@test-deps:: $(1)) endef $(call mb_make_call,mb_doc_function_deep,mb_proj_grow_deps_phase_test,"Grow the deps of the '@test' phase..","") define mb_proj_grow_deps_phase_package - $(eval MB_PROJ_PHASE_PACKAGE_DEPS += $(1)) +$(MB__    )$(eval @@package-deps:: $(1)) endef $(call mb_make_call,mb_doc_function_deep,mb_proj_grow_deps_phase_package,"Grow the deps of the '@package' phase..","") define mb_proj_grow_deps_phase_package_qa - $(eval MB_PROJ_PHASE_PACKAGE_QA_DEPS += $(1)) +$(MB__    )$(eval @@package-qa-deps:: $(1)) endef $(call mb_make_call,mb_doc_function_deep,mb_proj_grow_deps_phase_package_qa,"Grow the deps of the '@package-qa' phase..","") define mb_proj_grow_deps_phase_package_deploy - $(eval MB_PROJ_PHASE_PACKAGE_DEPLOY_DEPS += $(1)) + $(eval @@package-deploy-deps:: $(1)) endef $(call mb_make_call,mb_doc_function_deep,mb_proj_grow_deps_phase_package_deploy,"Grow the deps of the '@package-deploy' phase..","") define _mb_proj_flow_step_before -$(call mb_make_call,mb_os_echo_phase,$(MB_I18N_PROJ_STEP_BEFORE) $@) +$(MB__    )$(call mb_make_call,mb_os_echo_phase,$(MB_I18N_PROJ_STEP_BEFORE) $@) endef define _mb_proj_flow_step_done -$(call mb_make_call,mb_os_echo_phase,$(MB_I18N_PROJ_STEP_AFTER) $@) -$(if $(filter $@,$(MAKECMDGOALS)),$(call mb_make_call,mb_os_echo_good,$(MB_I18N_PROJ_STEP_DONE) $@)) +$(MB__    )$(call mb_make_call,mb_os_echo_phase,$(MB_I18N_PROJ_STEP_AFTER) $@) +$(MB__    )$(if $(value MAKECMDGOALS),$(if $(filter $@,$(MAKECMDGOALS)),$(call mb_make_call,mb_os_echo_good,$(MB_I18N_PROJ_STEP_DONE) $@))) endef define _mb_proj_run_clean -$(foreach folder,$(1),$(if $(wildcard $(folder)),$(call mb_make_call,mb_os_echo_command,$(MB_I18N_PROJ_DIR_DELETE) $(folder));)) -$(foreach folder,$(1),$(if $(wildcard $(folder)),$(call mb_make_call,mb_os_dir_delete,$(folder)))) +$(MB__    )$(foreach folder,$(1),$(if $(wildcard $(folder)),$(call mb_make_call,mb_os_echo_command,$(MB_I18N_PROJ_DIR_DELETE) $(folder));)) +$(MB__    )$(foreach folder,$(1),$(if $(wildcard $(folder)),$(call mb_make_call,mb_os_dir_delete,$(folder)))) endef define _mb_proj_run_init -$(foreach folder,$(1),$(if $(wildcard $(folder)),,$(call mb_make_call,mb_os_echo_command,$(MB_I18N_PROJ_DIR_CREATE) $(folder));)) -$(foreach folder,$(1),$(if $(wildcard $(folder)),,$(call mb_make_call,mb_os_dir_create,$(folder)))) +$(MB__    )$(foreach folder,$(1),$(if $(wildcard $(folder)),,$(call mb_make_call,mb_os_echo_command,$(MB_I18N_PROJ_DIR_CREATE) $(folder));)) +$(MB__    )$(foreach folder,$(1),$(if $(wildcard $(folder)),,$(call mb_make_call,mb_os_dir_create,$(folder)))) endef - define __mb_proj_flow_setup +.RECIPEPREFIX := $(MB__RECIPE) + @@clean: - $$(call mb_make_call,_mb_proj_flow_step_before) -.PHONY: @@clean - - -@clean: @@clean - $$(call mb_make_call,_mb_proj_run_clean,$(1)) - $$(call mb_make_call,_mb_proj_flow_step_done) -$$(call mb_make_call,mb_doc_target_help,@clean,"Clean's the project build folders.") -.PHONY: @clean - +$(MB__RECIPE)$$(call mb_make_call,_mb_proj_flow_step_before) +$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@@clean) +@@clean-deps:: +$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@@clean-deps) +@clean: @@clean @@clean-deps +$(MB__RECIPE)$$(call mb_make_call,_mb_proj_run_clean,$(1)) +$(MB__RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) +$(MB__    )$$(call mb_make_call,mb_doc_target_help,@clean,"Clean's the project build folders.") +$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@clean) @@init: - $$(call mb_make_call,_mb_proj_flow_step_before) -.PHONY: @@init - - -@init: @@init - $$(call mb_make_call,_mb_proj_run_init,$(1)) - $$(call mb_make_call,_mb_proj_flow_step_done) -$$(call mb_make_call,mb_doc_target_help,@init,"Create the project output folders.") -.PHONY: @init - +$(MB__RECIPE)$$(call mb_make_call,_mb_proj_flow_step_before) +$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@@init) +@@init-deps:: +$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@@init-deps) +@init: @@init @@init-deps +$(MB__RECIPE)$$(call mb_make_call,_mb_proj_run_init,$(1)) +$(MB__RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) +$(MB__    )$$(call mb_make_call,mb_doc_target_help,@init,"Create the project output folders.") +$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@init) @@process: @init - $$(call mb_make_call,_mb_proj_flow_step_before) -.PHONY: @@process - - -@process: @@process $$(MB_PROJ_PHASE_PROCESS_DEPS) - $$(call mb_make_call,_mb_proj_flow_step_done) -$$(call mb_make_call,mb_doc_target_help,@process,"Process sources before compiling.") -.PHONY: @process - +$(MB__RECIPE)$$(call mb_make_call,_mb_proj_flow_step_before) +$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@@process) +@@process-deps:: +$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@@process-deps) +@process: @@process @@process-deps +$(MB__RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) +$(MB__    )$$(call mb_make_call,mb_doc_target_help,@process,"Process sources before compiling.") +$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@process) @@compile: @process - $$(call mb_make_call,_mb_proj_flow_step_before) -.PHONY: @@compile - - -@compile: @@compile $$(MB_PROJ_PHASE_COMPILE_DEPS) - $$(call mb_make_call,_mb_proj_flow_step_done) -$$(call mb_make_call,mb_doc_target_help,@compile,"Compiles all project sources.") -.PHONY: @compile - +$(MB__RECIPE)$$(call mb_make_call,_mb_proj_flow_step_before) +$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@@compile) +@@compile-deps:: +$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@@compile-deps) +@compile: @@compile @@compile-deps +$(MB__RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) +$(MB__    )$$(call mb_make_call,mb_doc_target_help,@compile,"Compiles all project sources.") +$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@compile) @@link: @compile - $$(call mb_make_call,_mb_proj_flow_step_before) -.PHONY: @@link - - -@link: @@link $$(MB_PROJ_PHASE_LINK_DEPS) - $$(call mb_make_call,_mb_proj_flow_step_done) -$$(call mb_make_call,mb_doc_target_help,@link,"Link all project intermediate files.") -.PHONY: @link - +$(MB__RECIPE)$$(call mb_make_call,_mb_proj_flow_step_before) +$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@@link) +@@link-deps:: +$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@@link-deps) +@link: @@link @@link-deps +$(MB__RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) +$(MB__    )$$(call mb_make_call,mb_doc_target_help,@link,"Link all project intermediate files.") +$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@link) @@build: @link - $$(call mb_make_call,_mb_proj_flow_step_before) -.PHONY: @@build - - -@build: @@build $$(MB_PROJ_PHASE_BUILD_DEPS) - $$(call mb_make_call,_mb_proj_flow_step_done) -$$(call mb_make_call,mb_doc_target_help,@build,"Build all project artifacts.") -.PHONY: @build - +$(MB__RECIPE)$$(call mb_make_call,_mb_proj_flow_step_before) +$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@@build) +@@build-deps:: +$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@@build-deps) +@build: @@build @@build-deps +$(MB__RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) +$(MB__    )$$(call mb_make_call,mb_doc_target_help,@build,"Build all project artifacts.") +$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@build) @@test: @build - $$(call mb_make_call,_mb_proj_flow_step_before) -.PHONY: @@test - - -@test: @@test $$(MB_PROJ_PHASE_TEST_DEPS) - $$(call mb_make_call,_mb_proj_flow_step_done) -$$(call mb_make_call,mb_doc_target_help,@test,"Run all assertion tests.") -.PHONY: @test - +$(MB__RECIPE)$$(call mb_make_call,_mb_proj_flow_step_before) +$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@@test) +@@test-deps:: +$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@@test-deps) +@test: @@test @@test-deps +$(MB__RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) +$(MB__    )$$(call mb_make_call,mb_doc_target_help,@test,"Run all assertion tests.") +$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@test) @@package: @test - $$(call mb_make_call,_mb_proj_flow_step_before) -.PHONY: @@package - - -@package: @@package $$(MB_PROJ_PHASE_PACKAGE_DEPS) - $$(call mb_make_call,_mb_proj_flow_step_done) -$$(call mb_make_call,mb_doc_target_help,@package,"Create all packages of project.") -.PHONY: @package - +$(MB__RECIPE)$$(call mb_make_call,_mb_proj_flow_step_before) +$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@@package) +@@package-deps:: +$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@@package-deps) +@package: @@package @@package-deps +$(MB__RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) +$(MB__    )$$(call mb_make_call,mb_doc_target_help,@package,"Create all packages of project.") +$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@package) @@package-qa: @package - $$(call mb_make_call,_mb_proj_flow_step_before) -.PHONY: @@package-qa - - -@package-qa: @@package-qa $$(MB_PROJ_PHASE_PACKAGE_QA_DEPS) - $$(call mb_make_call,_mb_proj_flow_step_done) -$$(call mb_make_call,mb_doc_target_help,@package-qa,"Run all packages QA of project.") -.PHONY: @package-qa - +$(MB__RECIPE)$$(call mb_make_call,_mb_proj_flow_step_before) +$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@@package-qa) +@@package-qa-deps:: +$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@@package-qa-deps) +@package-qa: @@package-qa @@package-qa-deps +$(MB__RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) +$(MB__    )$$(call mb_make_call,mb_doc_target_help,@package-qa,"Run all packages QA of project.") +$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@package-qa) @@package-deploy: @package-qa - $$(call mb_make_call,_mb_proj_flow_step_before) -.PHONY: @@package-deploy +$(MB__RECIPE)$$(call mb_make_call,_mb_proj_flow_step_before) +$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@@package-deploy) +@@package-deploy-deps:: +$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@@package-deploy-deps) +@package-deploy: @@package-deploy @@package-deploy-deps +$(MB__RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) +$(MB__    )$$(call mb_make_call,mb_doc_target_help,@package-deploy,"Deploy all the packages.") +$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@package-deploy) - -@package-deploy: @@package-deploy $$(MB_PROJ_PHASE_PACKAGE_DEPLOY_DEPS) - $$(call mb_make_call,_mb_proj_flow_step_done) -$$(call mb_make_call,mb_doc_target_help,@package-deploy,"Deploy all the packages.") -.PHONY: @package-deploy +.RECIPEPREFIX := $(MB__RECIPEPREFIX) endef define mb_proj_flow_setup - $(call mb_make_call,mb_make_check_arg1,mb_proj_flow_setup,$(1)) - $(eval $(call mb_make_call,__mb_proj_flow_setup,$(1))) +$(MB__    )$(call mb_make_call,mb_make_check_arg1,mb_proj_flow_setup,$(1)) +$(MB__    )$(eval $(call mb_make_call,__mb_proj_flow_setup,$(1))) endef $(call mb_make_call,mb_doc_function_flow,mb_proj_flow_setup,"Prints flow of abstract project build cycle.","") -define __mb_proj_flow_setup_jp -@クリーン: @clean - $$(call mb_make_call,_mb_proj_flow_step_done) -$$(call mb_make_call,mb_doc_target_help,@クリーン,"プロジェクトのビルドフォルダーをクリーンアップします。") -.PHONY: @クリーン +define __mb_proj_flow_setup_i18n +.RECIPEPREFIX := $(MB__RECIPE) -@初期化する: @init - $$(call mb_make_call,_mb_proj_flow_step_done) -$$(call mb_make_call,mb_doc_target_help,@初期化する,"モジュール出力フォルダーをセットアップして検証します。") -.PHONY: @@初期化する +@$$(MB_I18N_PROJ_PHASEID_CLEAN): @clean +$(MB__RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) +$(MB__    )$$(call mb_make_call,mb_doc_target_help,@$$(MB_I18N_PROJ_PHASEID_CLEAN),$$(MB_I18N_PROJ_PHASEDOC_CLEAN)) +$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@$$(MB_I18N_PROJ_PHASEID_CLEAN)) -@プロセス: @初期化する @process - $$(call mb_make_call,_mb_proj_flow_step_done) -$$(call mb_make_call,mb_doc_target_help,@プロセス,"コンパイル前にソースを処理します。") -.PHONY: @プロセス +@$$(MB_I18N_PROJ_PHASEID_INIT): @init +$(MB__RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) +$(MB__    )$$(call mb_make_call,mb_doc_target_help,@$$(MB_I18N_PROJ_PHASEID_INIT),$$(MB_I18N_PROJ_PHASEDOC_INIT)) +$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@$$(MB_I18N_PROJ_PHASEID_INIT)) -@コンパイル: @プロセス @compile - $$(call mb_make_call,_mb_proj_flow_step_done) -$$(call mb_make_call,mb_doc_target_help,@コンパイル,"すべてのプロジェクト ソースをコンパイルします。") -.PHONY: @コンパイル +@$$(MB_I18N_PROJ_PHASEID_PROCESS): @$$(MB_I18N_PROJ_PHASEID_INIT) @process +$(MB__RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) +$(MB__    )$$(call mb_make_call,mb_doc_target_help,@$$(MB_I18N_PROJ_PHASEID_PROCESS),$$(MB_I18N_PROJ_PHASEDOC_PROCESS)) +$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@$$(MB_I18N_PROJ_PHASEID_PROCESS)) -@リンク: @コンパイル @link - $$(call mb_make_call,_mb_proj_flow_step_done) -$$(call mb_make_call,mb_doc_target_help,@リンク,"すべてのプロジェクト中間ファイルをリンクします。") -.PHONY: @リンク +@$$(MB_I18N_PROJ_PHASEID_COMPILE): @$$(MB_I18N_PROJ_PHASEID_PROCESS) @compile +$(MB__RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) +$(MB__    )$$(call mb_make_call,mb_doc_target_help,@$$(MB_I18N_PROJ_PHASEID_COMPILE),$$(MB_I18N_PROJ_PHASEDOC_COMPILE)) +$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@$$(MB_I18N_PROJ_PHASEID_COMPILE)) -@建てる: @リンク @build - $$(call mb_make_call,_mb_proj_flow_step_done) -$$(call mb_make_call,mb_doc_target_help,@建てる,"すべてのプロジェクト成果物をビルドします。") -.PHONY: @建てる +@$$(MB_I18N_PROJ_PHASEID_LINK): @$$(MB_I18N_PROJ_PHASEID_COMPILE) @link +$(MB__RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) +$(MB__    )$$(call mb_make_call,mb_doc_target_help,@$$(MB_I18N_PROJ_PHASEID_LINK),$$(MB_I18N_PROJ_PHASEDOC_LINK)) +$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@$$(MB_I18N_PROJ_PHASEID_LINK)) -@テスト: @建てる @test - $$(call mb_make_call,_mb_proj_flow_step_done) -$$(call mb_make_call,mb_doc_target_help,@テスト,"すべてのアサーション テストを実行します。") -.PHONY: @テスト +@$$(MB_I18N_PROJ_PHASEID_BUILD): @$$(MB_I18N_PROJ_PHASEID_LINK) @build +$(MB__RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) +$(MB__    )$$(call mb_make_call,mb_doc_target_help,@$$(MB_I18N_PROJ_PHASEID_BUILD),$$(MB_I18N_PROJ_PHASEDOC_BUILD)) +$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@$$(MB_I18N_PROJ_PHASEID_BUILD)) -@パッケージ: @テスト @package - $$(call mb_make_call,_mb_proj_flow_step_done) -$$(call mb_make_call,mb_doc_target_help,@パッケージ,"プロジェクトのパッケージを作成します。") -.PHONY: @パッケージ +@$$(MB_I18N_PROJ_PHASEID_TEST): @$$(MB_I18N_PROJ_PHASEID_BUILD) @test +$(MB__RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) +$(MB__    )$$(call mb_make_call,mb_doc_target_help,@$$(MB_I18N_PROJ_PHASEID_TEST),$$(MB_I18N_PROJ_PHASEDOC_TEST)) +$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@$$(MB_I18N_PROJ_PHASEID_TEST)) -@パッケージの品質保証: @パッケージ @package-qa - $$(call mb_make_call,_mb_proj_flow_step_done) -$$(call mb_make_call,mb_doc_target_help,@パッケージの品質保証,"すべてのパッケージを実行します。プロジェクトの品質保証。") -.PHONY: @パッケージの品質保証 +@$$(MB_I18N_PROJ_PHASEID_PACKAGE): @$$(MB_I18N_PROJ_PHASEID_TEST) @package +$(MB__RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) +$(MB__    )$$(call mb_make_call,mb_doc_target_help,@$$(MB_I18N_PROJ_PHASEID_PACKAGE),$$(MB_I18N_PROJ_PHASEDOC_PACKAGE)) +$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@$$(MB_I18N_PROJ_PHASEID_PACKAGE)) -@パッケージのデプロイ: @パッケージの品質保証 @package-deploy - $$(call mb_make_call,_mb_proj_flow_step_done) -$$(call mb_make_call,mb_doc_target_help,@パッケージのデプロイ,"パッケージをデプロイします。") -.PHONY: @パッケージのデプロイ +@$$(MB_I18N_PROJ_PHASEID_PACKAGE_QA): @$$(MB_I18N_PROJ_PHASEID_PACKAGE) @package-qa +$(MB__RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) +$(MB__    )$$(call mb_make_call,mb_doc_target_help,@$$(MB_I18N_PROJ_PHASEID_PACKAGE_QA),$$(MB_I18N_PROJ_PHASEDOC_PACKAGE_QA)) +$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@$$(MB_I18N_PROJ_PHASEID_PACKAGE_QA)) + +@$$(MB_I18N_PROJ_PHASEID_PACKAGE_DEPLOY): @$$(MB_I18N_PROJ_PHASEID_PACKAGE_QA) @package-deploy +$(MB__RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) +$(MB__    )$$(call mb_make_call,mb_doc_target_help,@$$(MB_I18N_PROJ_PHASEID_PACKAGE_DEPLOY),$$(MB_I18N_PROJ_PHASEDOC_PACKAGE_DEPLOY)) +$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@$$(MB_I18N_PROJ_PHASEID_PACKAGE_DEPLOY)) + +.RECIPEPREFIX := $(MB__RECIPEPREFIX) endef -define mb_proj_flow_setup_jp - $(eval $(call mb_make_call,__mb_proj_flow_setup_jp)) +define mb_proj_flow_setup_i18n +$(MB__    )$(call mb_make_call,mb_make_check_variable,MB_I18N_PROJ_PHASEID_CLEAN) +$(MB__    )$(call mb_make_call,mb_make_check_variable,MB_I18N_PROJ_PHASEID_INIT) +$(MB__    )$(call mb_make_call,mb_make_check_variable,MB_I18N_PROJ_PHASEID_PROCESS) +$(MB__    )$(call mb_make_call,mb_make_check_variable,MB_I18N_PROJ_PHASEID_COMPILE) +$(MB__    )$(call mb_make_call,mb_make_check_variable,MB_I18N_PROJ_PHASEID_LINK) +$(MB__    )$(call mb_make_call,mb_make_check_variable,MB_I18N_PROJ_PHASEID_BUILD) +$(MB__    )$(call mb_make_call,mb_make_check_variable,MB_I18N_PROJ_PHASEID_TEST) +$(MB__    )$(call mb_make_call,mb_make_check_variable,MB_I18N_PROJ_PHASEID_PACKAGE) +$(MB__    )$(call mb_make_call,mb_make_check_variable,MB_I18N_PROJ_PHASEID_PACKAGE_QA) +$(MB__    )$(call mb_make_call,mb_make_check_variable,MB_I18N_PROJ_PHASEID_PACKAGE_DEPLOY) +$(MB__    )$(eval $(call mb_make_call,__mb_proj_flow_setup_i18n)) endef -$(call mb_make_call,mb_doc_function_flow,mb_proj_flow_setup_jp,"Prints flow for japanese wrapper of project build cycle.") +$(call mb_make_call,mb_doc_function_flow,mb_proj_flow_setup_i18n,"Prints flow for i18n wrapper of project build cycle.") diff --git a/lib/make/mb_sdcc.mk b/lib/make/mb_sdcc.mk index fee6756..5871fb5 100644 --- a/lib/make/mb_sdcc.mk +++ b/lib/make/mb_sdcc.mk @@ -1,4 +1,7 @@ +MB_SDCC_PATH ?= /usr/bin +$(call mb_make_call,mb_doc_variable,MB_SDCC_PATH,"Path where SDCC binaries are located.") + MB_SDCC_FLAG_CPU ?= -mz80 $(call mb_make_call,mb_doc_variable_deep,MB_SDCC_FLAG_CPU,"The SDCC cpu target option.") @@ -21,71 +24,71 @@ $(call mb_make_call,mb_doc_variable_deep,MB_SDCC_AR_FLAGS,"The SDCC module archi define mb_sdcc_compile_asm - $(call mb_make_call,mb_os_echo_command,$(MB_I18N_SDCC_COMPILE) $(2) - ASM) - $(PATH_SDCC)/sdasz80 $(MB_SDCC_ASZ80_FLAGS) $(2) $(1) +$(MB__    )$(call mb_make_call,mb_os_echo_command,$(MB_I18N_SDCC_COMPILE) $(2) - ASM) +$(MB__    )$(MB_SDCC_PATH)/sdasz80 $(MB_SDCC_ASZ80_FLAGS) $(2) $(1) endef $(call mb_make_call,mb_doc_function,mb_sdcc_compile_asm,"Compiles asm source code."," ") define mb_sdcc_arlib_asm - $(call mb_make_call,mb_os_echo_command,$(MB_I18N_SDCC_ARLIB) $(2)) - $(PATH_SDCC)/sdar $(MB_SDCC_AR_FLAGS) $(1) $(2) +$(MB__    )$(call mb_make_call,mb_os_echo_command,$(MB_I18N_SDCC_ARLIB) $(2)) +$(MB__    )$(MB_SDCC_PATH)/sdar $(MB_SDCC_AR_FLAGS) $(1) $(2) endef $(call mb_make_call,mb_doc_function,mb_sdcc_arlib_asm,"Link asm lib module."," ") define mb_sdcc_link_asm - $(call mb_make_call,mb_os_echo_command,$(MB_I18N_SDCC_LINK) $(2) - ASM @ $(3)) - $(PATH_SDCC)/sdcc $(MB_SDCC_FLAG_CPU) $(MB_SDCC_CC_FLAGS) --no-std-crt0 --code-loc $(3) -o $(2) $(1) +$(MB__    )$(call mb_make_call,mb_os_echo_command,$(MB_I18N_SDCC_LINK) $(2) - ASM @ $(3)) +$(MB__    )$(MB_SDCC_PATH)/sdcc $(MB_SDCC_FLAG_CPU) $(MB_SDCC_CC_FLAGS) --no-std-crt0 --code-loc $(3) -o $(2) $(1) endef $(call mb_make_call,mb_doc_function,mb_sdcc_link_asm,"Links asm to custom code location."," ") define mb_sdcc_link_asm_0000 - $(call mb_make_call,mb_sdcc_link_asm,$(1),$(2),0x0000) +$(MB__    )$(call mb_make_call,mb_sdcc_link_asm,$(1),$(2),0x0000) endef $(call mb_make_call,mb_doc_function,mb_sdcc_link_asm_0000,"Links asm to 0x0000."," ") define mb_sdcc_link_asm_0100 - $(call mb_make_call,mb_sdcc_link_asm,$(1),$(2),0x0100) +$(MB__    )$(call mb_make_call,mb_sdcc_link_asm,$(1),$(2),0x0100) endef $(call mb_make_call,mb_doc_function,mb_sdcc_link_asm_0100,"Links asm to 0x0100."," ") define mb_sdcc_link_asm_1000 - $(call mb_make_call,mb_sdcc_link_asm,$(1),$(2),0x1000) +$(MB__    )$(call mb_make_call,mb_sdcc_link_asm,$(1),$(2),0x1000) endef $(call mb_make_call,mb_doc_function,mb_sdcc_link_asm_1000,"Links asm to 0x1000."," ") define mb_sdcc_link_asm_4000 - $(call mb_make_call,mb_sdcc_link_asm,$(1),$(2),0x4000) +$(MB__    )$(call mb_make_call,mb_sdcc_link_asm,$(1),$(2),0x4000) endef $(call mb_make_call,mb_doc_function,mb_sdcc_link_asm_4000,"Links asm to 0x4000."," ") define mb_sdcc_link_asm_8000 - $(call mb_make_call,mb_sdcc_link_asm,$(1),$(2),0x8000) +$(MB__    )$(call mb_make_call,mb_sdcc_link_asm,$(1),$(2),0x8000) endef $(call mb_make_call,mb_doc_function,mb_sdcc_link_asm_8000,"Links asm to 0x8000."," ") define mb_sdcc_link_asm_C000 - $(call mb_make_call,mb_sdcc_link_asm,$(1),$(2),0xC000) +$(MB__    )$(call mb_make_call,mb_sdcc_link_asm,$(1),$(2),0xC000) endef $(call mb_make_call,mb_doc_function,mb_sdcc_link_asm_C000,"Links asm to 0xC000."," ") define mb_sdcc_link_asm_bdos - $(call mb_make_call,mb_sdcc_link_asm_0100,$(1),$(2)) +$(MB__    )$(call mb_make_call,mb_sdcc_link_asm_0100,$(1),$(2)) endef $(call mb_make_call,mb_doc_function,mb_sdcc_link_asm_bdos,"Links asm to BDOS."," ") define mb_sdcc_objcopy - $(call mb_make_call,mb_os_echo_command,$(MB_I18N_SDCC_OBJCOPY) $(2)) - $(PATH_SDCC)/sdobjcopy -I ihex --output-target$(MB_MAKE_EQUALS)binary $(1) $(2) +$(MB__    )$(call mb_make_call,mb_os_echo_command,$(MB_I18N_SDCC_OBJCOPY) $(2)) +$(MB__    )$(MB_SDCC_PATH)/sdobjcopy -I ihex --output-target$(MB_MAKE_EQUALS)binary $(1) $(2) endef $(call mb_make_call,mb_doc_function,mb_sdcc_objcopy,"Converts an hex file to binary."," ") diff --git a/lib/make/mb_tool.mk b/lib/make/mb_tool.mk index ceb27b0..4137913 100644 --- a/lib/make/mb_tool.mk +++ b/lib/make/mb_tool.mk @@ -1,92 +1,90 @@ define __mb_tool_m80_flow_bdos_mono -$(1): | @init - $$(call mb_make_call,mb_os_dir_create,$(1)) - $$(call mb_make_call,mb_os_dir_create,$(1)/utils) - $$(call mb_make_call,mb_msxhub_get_macro80,$(1)/utils) - $$(call mb_make_call,mb_msxhub_get_z80asmuk,$(1)/utils) -$$(call mb_make_call,mb_doc_target_deep,$(1)) -.PHONY: $(1) +.RECIPEPREFIX := $(MB__RECIPE) +$(1): | @init +$(MB__RECIPE)$$(if $$(wildcard $(1)),,$$(call mb_make_call,mb_os_dir_create,$(1))) +$(MB__RECIPE)$$(if $$(wildcard $(1)/utils),,$$(call mb_make_call,mb_os_dir_create,$(1)/utils)) +$(MB__RECIPE)$$(call mb_make_call,mb_msxhub_get_macro80,$(1)/utils) +$(MB__RECIPE)$$(call mb_make_call,mb_msxhub_get_z80asmuk,$(1)/utils) +$(MB__    )$$(call mb_make_call,mb_doc_target_deep,$(1)) +$(MB__    )$$(call mb_make_call,mb_make_flag_phony,$(1)) $(1)/$(3).mac: $(2)/$(3).mac | $(1) - $$(call mb_make_call,mb_conv_unix2dos,$(2)/$(3).mac,$(1)/$(3).mac) -$$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).mac) -$$(call mb_make_call,mb_proj_grow_deps_phase_process,$(1)/$(3).mac) +$(MB__RECIPE)$$(call mb_make_call,mb_conv_unix2dos,$(2)/$(3).mac,$(1)/$(3).mac) +$(MB__    )$$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).mac) +$(MB__    )$$(call mb_make_call,mb_proj_grow_deps_phase_process,$(1)/$(3).mac) $(1)/$(3).rel: $(1)/$(3).mac - $$(call mb_make_call,mb_msxpipe_safe_cmd,$(1),m80 $$(MB_MAKE_EQUALS)$(3)/Z) -$$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).rel) -$$(call mb_make_call,mb_proj_grow_deps_phase_compile,$(1)/$(3).rel) - +$(MB__RECIPE)$$(call mb_make_call,mb_msxpipe_safe_cmd,$(1),m80 $$(MB_MAKE_EQUALS)$(3)/Z) +$(MB__    )$$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).rel) +$(MB__    )$$(call mb_make_call,mb_proj_grow_deps_phase_compile,$(1)/$(3).rel) $(1)/$(3).hex: $(1)/$(3).rel - $$(call mb_make_call,mb_msxpipe_safe_cmd,$(1),l80 $(3)$$(MB_MAKE_COMMA)$(3)/N/X/Y/E) -$$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).hex) -$$(call mb_make_call,mb_proj_grow_deps_phase_link,$(1)/$(3).hex) - +$(MB__RECIPE)$$(call mb_make_call,mb_msxpipe_safe_cmd,$(1),l80 $(3)$$(MB_MAKE_COMMA)$(3)/N/X/Y/E) +$(MB__    )$$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).hex) +$(MB__    )$$(call mb_make_call,mb_proj_grow_deps_phase_link,$(1)/$(3).hex) $(1)/$(3).com: $(1)/$(3).hex - $$(call mb_make_call,mb_msxpipe_safe_cmd,$(1),hextocom $(3)) -$$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).com) -$$(call mb_make_call,mb_proj_grow_deps_phase_build,$(1)/$(3).com) - +$(MB__RECIPE)$$(call mb_make_call,mb_msxpipe_safe_cmd,$(1),hextocom $(3)) +$(MB__    )$$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).com) +$(MB__    )$$(call mb_make_call,mb_proj_grow_deps_phase_build,$(1)/$(3).com) $(1)/@build: $(1)/$(3).com -$$(call mb_make_call,mb_doc_target,$(1)/@build,"Builds the $(1) module.") -.PHONY: $(1)/@build - +$(MB__    )$$(call mb_make_call,mb_doc_target,$(1)/@build,"Builds the $(1) module.") +$(MB__    )$$(call mb_make_call,mb_make_flag_phony,$(1)/@build) $(1)/@run: $(1)/@build - $$(call mb_make_call,mb_msxpipe_run_gui,$(1)) -$$(call mb_make_call,mb_doc_target_run,$(1)/@run,"Run $(3).com manually with gui.") -.PHONY: $(1)/@run +$(MB__RECIPE)$$(call mb_make_call,mb_msxpipe_run_gui,$(1)) +$(MB__    )$$(call mb_make_call,mb_doc_target_run,$(1)/@run,"Run $(3).com manually with gui.") +$(MB__    )$$(call mb_make_call,mb_make_flag_phony,$(1)/@run) + +.RECIPEPREFIX := $(MB__RECIPEPREFIX) endef define mb_tool_m80_flow_bdos_mono - $(call mb_make_call,mb_make_check_arg3,mb_tool_m80_flow_bdos_mono,$(1),$(2),$(3)) - $(eval $(call mb_make_call,__mb_tool_m80_flow_bdos_mono,$(1),$(2),$(3))) +$(MB__    )$(call mb_make_call,mb_make_check_arg3,mb_tool_m80_flow_bdos_mono,$(1),$(2),$(3)) +$(MB__    )$(eval $(call mb_make_call,__mb_tool_m80_flow_bdos_mono,$(1),$(2),$(3))) endef $(call mb_make_call,mb_doc_function_flow,mb_tool_m80_flow_bdos_mono,"Prints flow of m80 toolchain bdos mono file module."," ") define __mb_tool_sdcc_flow_bdos_mono -$(1): | @init - $$(call mb_make_call,mb_os_dir_create,$(1)) -$$(call mb_make_call,mb_doc_target_deep,$(1)) -.PHONY: $(1) +.RECIPEPREFIX := $(MB__RECIPE) +$(1): | @init +$(MB__RECIPE)$$(if $$(wildcard $(1)),,$$(call mb_make_call,mb_os_dir_create,$(1))) +$(MB__    )$$(call mb_make_call,mb_doc_target_deep,$(1)) +$(MB__    )$$(call mb_make_call,mb_make_flag_phony,$(1)) $(1)/$(3).rel: $(2)/$(3).asm | $(1) - $$(call mb_make_call,mb_sdcc_compile_asm,$$<,$$@) -$$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).rel) -$$(call mb_make_call,mb_proj_grow_deps_phase_compile,$(1)/$(3).rel) - +$(MB__RECIPE)$$(call mb_make_call,mb_sdcc_compile_asm,$$<,$$@) +$(MB__    )$$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).rel) +$(MB__    )$$(call mb_make_call,mb_proj_grow_deps_phase_compile,$(1)/$(3).rel) $(1)/$(3).hex: $(1)/$(3).rel - $$(call mb_make_call,mb_sdcc_link_asm_bdos,$$<,$$@) -$$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).hex) -$$(call mb_make_call,mb_proj_grow_deps_phase_link,$(1)/$(3).hex) - +$(MB__RECIPE)$$(call mb_make_call,mb_sdcc_link_asm_bdos,$$<,$$@) +$(MB__    )$$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).hex) +$(MB__    )$$(call mb_make_call,mb_proj_grow_deps_phase_link,$(1)/$(3).hex) $(1)/$(3).com: $(1)/$(3).hex - $$(call mb_make_call,mb_sdcc_objcopy,$$<,$$@) -$$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).com) -$$(call mb_make_call,mb_proj_grow_deps_phase_build,$(1)/$(3).com) - +$(MB__RECIPE)$$(call mb_make_call,mb_sdcc_objcopy,$$<,$$@) +$(MB__    )$$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).com) +$(MB__    )$$(call mb_make_call,mb_proj_grow_deps_phase_build,$(1)/$(3).com) $(1)/@build: $(1)/$(3).com -$$(call mb_make_call,mb_doc_target,$(1)/@build,"Builds the $(1) module.") -.PHONY: $(1)/@build - +$(MB__    )$$(call mb_make_call,mb_doc_target,$(1)/@build,"Builds the $(1) module.") +$(MB__    )$$(call mb_make_call,mb_make_flag_phony,$(1)/@build) $(1)/@run: $(1)/@build - $$(call mb_make_call,mb_msxpipe_run_gui,$(1)) -$$(call mb_make_call,mb_doc_target_run,$(1)/@run,"Run $(3).com manually with gui.") -.PHONY: $(1)/@run +$(MB__RECIPE)$$(call mb_make_call,mb_msxpipe_run_gui,$(1)) +$(MB__    )$$(call mb_make_call,mb_doc_target_run,$(1)/@run,"Run $(3).com manually with gui.") +$(MB__    )$$(call mb_make_call,mb_make_flag_phony,$(1)/@run) + +.RECIPEPREFIX := $(MB__RECIPEPREFIX) endef define mb_tool_sdcc_flow_bdos_mono - $(call mb_make_call,mb_make_check_arg3,mb_tool_sdcc_flow_bdos_mono,$(1),$(2),$(3)) - $(eval $(call mb_make_call,__mb_tool_sdcc_flow_bdos_mono,$(1),$(2),$(3))) +$(MB__    )$(call mb_make_call,mb_make_check_arg3,mb_tool_sdcc_flow_bdos_mono,$(1),$(2),$(3)) +$(MB__    )$(eval $(call mb_make_call,__mb_tool_sdcc_flow_bdos_mono,$(1),$(2),$(3))) endef $(call mb_make_call,mb_doc_function_flow,mb_tool_sdcc_flow_bdos_mono,"Prints flow of sdcc toolchain bdos mono file module."," ") diff --git a/lib/make/msxbuild.mk b/lib/make/msxbuild.mk index a7c5cd2..96ef95e 100644 --- a/lib/make/msxbuild.mk +++ b/lib/make/msxbuild.mk @@ -1,45 +1,40 @@ # # msxbuild.mk - Makefile helper to use with msx projects. # +MB__         := +MB__     := +MB__RECIPE := ] +MB__RECIPEPREFIX := $(.RECIPEPREFIX) +MB__BASEPATH := $(dir $(lastword $(MAKEFILE_LIST)))../.. +MB__BASEPATH_REAL := $(if $(realpath $(MB__BASEPATH)),$(realpath $(MB__BASEPATH)),$(MB__BASEPATH)) + +include $(MB__BASEPATH)/lib/make/mb_doc.mk +include $(MB__BASEPATH)/lib/make/mb_make_call.mk +include $(MB__BASEPATH)/lib/make/mb_make_xml.mk +include $(MB__BASEPATH)/lib/make/mb_make.mk +include $(MB__BASEPATH)/lib/make/mb_conv.mk +include $(MB__BASEPATH)/lib/make/mb_sdcc.mk +include $(MB__BASEPATH)/lib/make/mb_flight.mk +include $(MB__BASEPATH)/lib/make/mb_msxrom.mk +include $(MB__BASEPATH)/lib/make/mb_msxhub.mk +include $(MB__BASEPATH)/lib/make/mb_msxpipe.mk +include $(MB__BASEPATH)/lib/make/mb_openmsx.mk +include $(MB__BASEPATH)/lib/make/mb_autoexec.mk +include $(MB__BASEPATH)/lib/make/mb_assert.mk +include $(MB__BASEPATH)/lib/make/mb_tool.mk +include $(MB__BASEPATH)/lib/make/mb_proj.mk +include $(MB__BASEPATH)/lib/make/mb_i18n.mk +include $(MB__BASEPATH)/lib/make/mb_os.mk + +$(call mb_make_call,mb_doc_variable_rock,MB__RECIPEPREFIX,"Recipe prefix to restore to after flow eval.") +$(call mb_make_call,mb_doc_variable_rock,MB__BASEPATH,"Path where msxbuild lib folder is located.") +$(call mb_make_call,mb_doc_variable_rock,MB__BASEPATH_REAL,"Resolved real path of msxbuild.") -# Setup default tools paths -PATH_SDCC ?= /usr/bin -PATH_OPENMSX ?= /usr/bin -PATH_MSXBUILD ?= $(dir $(lastword $(MAKEFILE_LIST)))../.. -PATH_MSXBUILD_REAL := $(if $(realpath $(PATH_MSXBUILD)),$(realpath $(PATH_MSXBUILD)),$(PATH_MSXBUILD)) - - -# Include extra features -include $(PATH_MSXBUILD)/lib/make/mb_doc.mk -include $(PATH_MSXBUILD)/lib/make/mb_make.mk -include $(PATH_MSXBUILD)/lib/make/mb_conv.mk -include $(PATH_MSXBUILD)/lib/make/mb_sdcc.mk -include $(PATH_MSXBUILD)/lib/make/mb_flight.mk -include $(PATH_MSXBUILD)/lib/make/mb_msxrom.mk -include $(PATH_MSXBUILD)/lib/make/mb_msxhub.mk -include $(PATH_MSXBUILD)/lib/make/mb_msxpipe.mk -include $(PATH_MSXBUILD)/lib/make/mb_openmsx.mk -include $(PATH_MSXBUILD)/lib/make/mb_autoexec.mk -include $(PATH_MSXBUILD)/lib/make/mb_assert.mk -include $(PATH_MSXBUILD)/lib/make/mb_tool.mk -include $(PATH_MSXBUILD)/lib/make/mb_proj.mk -include $(PATH_MSXBUILD)/lib/make/mb_i18n.mk -include $(PATH_MSXBUILD)/lib/make/mb_os.mk - - -# Below mb_doc.mk for white space... -$(call mb_make_call,mb_doc_variable_deep,PATH_SDCC,"Path where SDCC binaries are located.") -$(call mb_make_call,mb_doc_variable_deep,PATH_OPENMSX,"Path where openMSX binary is located.") -$(call mb_make_call,mb_doc_variable_deep,PATH_MSXBUILD,"Path where msxbuild lib folder is located.") -$(call mb_make_call,mb_doc_variable_rock,PATH_MSXBUILD_REAL,"Resolved real path of msxbuild.") - - - - +# TODO: move to mb_package.mk define mb_create_dist - $(call mb_make_call,mb_os_echo_command,Creating distribution archive $(2)) - tar -czf $(2) -C $(1) `ls $(1)` +$(MB__    )$(call mb_make_call,mb_os_echo_command,Creating distribution archive $(2)) +$(MB__    )tar -czf $(2) -C $(1) `ls $(1)` endef $(call mb_make_call,mb_doc_function,mb_create_dist,"Create an distribution archive."," ") diff --git a/lib/make/setup-debug.mk b/lib/make/setup-debug.mk new file mode 100644 index 0000000..3056435 --- /dev/null +++ b/lib/make/setup-debug.mk @@ -0,0 +1,49 @@ +# +# Configure debug mode +# +ifdef DEBUG + ifneq ("$(DEBUG)", "off") + MB_MAKE_CALL_DEBUG ?= $(DEBUG) + endif +endif +ifdef ONTBEESTEN + ifneq ("$(ONTBEESTEN)", "uit") + MB_MAKE_CALL_DEBUG ?= $(ONTBEESTEN) + endif +endif +ifdef デバッグ + ifneq ("$(デバッグ)", "ユニット") + MB_MAKE_CALL_DEBUG ?= $(デバッグ) + endif +endif +ifdef DEPURAR + ifneq ("$(DEPURAR)", "traje") + MB_MAKE_CALL_DEBUG ?= $(DEPURAR) + endif +endif +ifdef DÉBOGUER + ifneq ("$(DÉBOGUER)", "dehors") + MB_MAKE_CALL_DEBUG ?= $(DÉBOGUER) + endif +endif +ifdef отлаживать + ifneq ("$(отлаживать)", "вне") + MB_MAKE_CALL_DEBUG ?= $(отлаживать) + endif +endif +ifdef 偵錯 + ifneq ("$(偵錯)", "出去") + MB_MAKE_CALL_DEBUG ?= $(偵錯) + endif +endif +ifdef डिबग + ifneq ("$(डिबग)", "बंद") + MB_MAKE_CALL_DEBUG ?= $(डिबग) + endif +endif +ifdef ᐃᕿᒡᒐᖅᑐᖅ + ifneq ("$(ᐃᕿᒡᒐᖅᑐᖅ)", "ᖃᒥᓪᓗᒍ") + MB_MAKE_CALL_DEBUG ?= $(ᐃᕿᒡᒐᖅᑐᖅ) + endif +endif + diff --git a/lib/make/setup-prolog.mk b/lib/make/setup-prolog.mk new file mode 100644 index 0000000..6b2da00 --- /dev/null +++ b/lib/make/setup-prolog.mk @@ -0,0 +1,16 @@ +# +# Mandatory prolog to included as very first line. +# +MAKEFLAGS += --no-builtin-rules +MAKEFLAGS += --no-builtin-variables +MAKEFLAGS += --warn-undefined-variables +.DELETE_ON_ERROR := +.RECIPEPREFIX := > +.SUFFIXES := +.PHONY := Makefile +.ONESHELL := +.SHELLFLAGS := -e -u -o pipefail -c +SHELL := bash +ifeq ($(origin .RECIPEPREFIX), undefined) + $(error This version of make does not support dynamic white space brain fuck mode.) +endif diff --git a/lib/make/setup-verbose.mk b/lib/make/setup-verbose.mk new file mode 100644 index 0000000..f8b26bd --- /dev/null +++ b/lib/make/setup-verbose.mk @@ -0,0 +1,82 @@ +# +# Configure verbose mode +# +ifdef VERBOSE + ifeq ("$(VERBOSE)", "off") + .SILENT: + endif +endif +ifdef うるさい + ifeq ("$(うるさい)", "ユニット") + .SILENT: + endif +endif +ifdef LUIDRUCHTIG + ifeq ("$(LUIDRUCHTIG)", "uit") + .SILENT: + endif +endif +ifdef VERBOSA + ifeq ("$(VERBOSA)", "traje") + .SILENT: + endif +endif +ifdef VERBOSO + ifeq ("$(VERBOSO)", "traje") + .SILENT: + endif +endif +ifdef VERBEUSE + ifeq ("$(VERBEUSE)", "dehors") + .SILENT: + endif +endif +ifdef VERBEUX + ifeq ("$(VERBEUX)", "dehors") + .SILENT: + endif +endif +ifdef шумный + ifeq ("$(шумный)", "вне") + .SILENT: + endif +endif +ifdef 吵雜 + ifeq ("$(吵雜)", "出去") + .SILENT: + endif +endif +ifdef वाचाल + ifeq ("$(वाचाल)", "बंद") + .SILENT: + endif +endif +ifdef ᕗᕉᑉᕉᔅ + ifeq ("$(ᕗᕉᑉᕉᔅ)", "ᖃᒥᓪᓗᒍ") + .SILENT: + endif +endif +ifndef VERBOSE + ifndef うるさい + ifndef LUIDRUCHTIG + ifndef VERBOSA + ifndef VERBOSO + ifndef VERBEUSE + ifndef VERBEUX + ifndef шумный + ifndef 吵雜 + ifndef वाचाल + ifndef ᕗᕉᑉᕉᔅ + .SILENT: + endif + endif + endif + endif + endif + endif + endif + endif + endif + endif +endif + diff --git a/src/dist-qa-dos1/0module.mk b/src/dist-qa-dos1/0module.mk index d5547e4..d557d80 100644 --- a/src/dist-qa-dos1/0module.mk +++ b/src/dist-qa-dos1/0module.mk @@ -5,21 +5,21 @@ DIST_QA_DOS1_DEPS := $(call mb_make_call,mb_proj_module_local_deps,$(DIST_QA_ $(DIST_QA_DOS1_BIN): | @init - $(call mb_make_call,mb_os_dir_create,$(DIST_QA_DOS1_BIN)) + $(if $(wildcard $(DIST_QA_DOS1_BIN)),,$(call mb_make_call,mb_os_dir_create,$(DIST_QA_DOS1_BIN))) $(call mb_make_call,mb_msxhub_get_msxdos1_boot,$(DIST_QA_DOS1_BIN)) -$(DIST_QA_DOS1_BIN)/%: $(DIST_BIN)/% | $(DIST_QA_DOS1_BIN) $(DIST_DEPS) +$(DIST_QA_DOS1_BIN)/%: $(DIST_BIN)/% $(DIST_DEPS) | $(DIST_QA_DOS1_BIN) $(call mb_make_call,mb_os_file_copy,$<,$@) -$(DIST_QA_DOS1_BIN)/@run: | $(DIST_QA_DOS1_DEPS) +$(DIST_QA_DOS1_BIN)/@run: $(DIST_QA_DOS1_DEPS) $(call mb_make_call,mb_msxpipe_run_gui,$(DIST_QA_DOS1_BIN)) $(call mb_make_call,mb_doc_target_run,$(DIST_QA_DOS1_BIN)/@run,"Run binaries on msxdos1 machine.") -.PHONY: $(DIST_QA_DOS1_BIN)/@run +$(call mb_make_call,mb_make_flag_phony,$(DIST_QA_DOS1_BIN)/@run) -$(DIST_QA_DOS1_BIN)/@assert: | $(DIST_QA_DOS1_DEPS) +$(DIST_QA_DOS1_BIN)/@assert: $(DIST_QA_DOS1_DEPS) $(call mb_make_call,mb_autoexec_write_default,$(DIST_QA_DOS1_BIN)) $(call mb_make_call,mb_autoexec_append_safe_test,$(DIST_QA_DOS1_BIN),ahello1) $(call mb_make_call,mb_autoexec_append_safe_test,$(DIST_QA_DOS1_BIN),ahello2) @@ -28,5 +28,5 @@ $(DIST_QA_DOS1_BIN)/@assert: | $(DIST_QA_DOS1_DEPS) $(call mb_make_call,mb_os_echo_assert,$(MB_I18N_ASSERT_SUCCESS) $(DIST_QA_DOS1_BIN)/@assert) $(call mb_make_call,mb_doc_target,$(DIST_QA_DOS1_BIN)/@assert,"Assert binaries on msxdos1.") $(call mb_make_call,mb_proj_grow_deps_phase_package_qa,$(DIST_QA_DOS1_BIN)/@assert) -.PHONY: $(DIST_QA_DOS1_BIN)/@assert +$(call mb_make_call,mb_make_flag_phony,$(DIST_QA_DOS1_BIN)/@assert) diff --git a/src/dist-qa-dos2/0module.mk b/src/dist-qa-dos2/0module.mk index a469fe8..60eb643 100644 --- a/src/dist-qa-dos2/0module.mk +++ b/src/dist-qa-dos2/0module.mk @@ -5,18 +5,18 @@ DIST_QA_DOS2_DEPS := $(call mb_make_call,mb_proj_module_local_deps,$(DIST_QA_ $(DIST_QA_DOS2_BIN): | @init - $(call mb_make_call,mb_os_dir_create,$(DIST_QA_DOS2_BIN)) + $(if $(wildcard $(DIST_QA_DOS2_BIN)),,$(call mb_make_call,mb_os_dir_create,$(DIST_QA_DOS2_BIN))) $(call mb_make_call,mb_msxhub_get_msxdos2_boot,$(DIST_QA_DOS2_BIN)) -$(DIST_QA_DOS2_BIN)/%: $(DIST_BIN)/% | $(DIST_QA_DOS2_BIN) $(DIST_DEPS) +$(DIST_QA_DOS2_BIN)/%: $(DIST_BIN)/% $(DIST_DEPS) | $(DIST_QA_DOS2_BIN) $(call mb_make_call,mb_os_file_copy,$<,$@) $(DIST_QA_DOS2_BIN)/@run: | $(DIST_QA_DOS2_DEPS) $(call mb_make_call,mb_msxpipe_run_gui,$(DIST_QA_DOS2_BIN)) $(call mb_make_call,mb_doc_target_run,$(DIST_QA_DOS2_BIN)/@run,"Run binaries on msxdos2 machine.") -.PHONY: $(DIST_QA_DOS2_BIN)/@run +$(call mb_make_call,mb_make_flag_phony,$(DIST_QA_DOS2_BIN)/@run) $(DIST_QA_DOS2_BIN)/@assert: | $(DIST_QA_DOS2_DEPS) @@ -32,5 +32,5 @@ $(DIST_QA_DOS2_BIN)/@assert: | $(DIST_QA_DOS2_DEPS) $(call mb_make_call,mb_os_echo_assert,$(MB_I18N_ASSERT_SUCCESS) $(DIST_QA_DOS2_BIN)/@assert) $(call mb_make_call,mb_doc_target,$(DIST_QA_DOS2_BIN)/@assert,"Assert binaries on msxdos2.") $(call mb_make_call,mb_proj_grow_deps_phase_package_qa,$(DIST_QA_DOS2_BIN)/@assert) -.PHONY: $(DIST_QA_DOS2_BIN)/@assert +$(call mb_make_call,mb_make_flag_phony,$(DIST_QA_DOS2_BIN)/@assert) diff --git a/src/dist-qa-msx1/0module.mk b/src/dist-qa-msx1/0module.mk index 275e64a..d7840db 100644 --- a/src/dist-qa-msx1/0module.mk +++ b/src/dist-qa-msx1/0module.mk @@ -4,21 +4,21 @@ DIST_QA_MSX1_BIN := $(call mb_make_call,mb_proj_module_path_bin,$(PATH_SRC), DIST_QA_MSX1_DEPS := $(call mb_make_call,mb_proj_module_local_deps,$(DIST_QA_MSX1_BIN),$(DIST_DEPS)) DIST_QA_MSX1_MACHINE ?= Canon_V-20 -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,DIST_QA_MSX1_MACHINE,"The machine to run the $(DIST_QA_MSX1_BIN) module.") +$(call mb_make_call,mb_doc_variable,DIST_QA_MSX1_MACHINE,"The machine to run the msx1 qa module on.") $(DIST_QA_MSX1_BIN): | @init - $(call mb_make_call,mb_os_dir_create,$(DIST_QA_MSX1_BIN)) + $(if $(wildcard $(DIST_QA_MSX1_BIN)),,$(call mb_make_call,mb_os_dir_create,$(DIST_QA_MSX1_BIN))) -$(DIST_QA_MSX1_BIN)/%: $(DIST_BIN)/% | $(DIST_QA_MSX1_BIN) $(DIST_DEPS) +$(DIST_QA_MSX1_BIN)/%: $(DIST_BIN)/% $(DIST_DEPS) | $(DIST_QA_MSX1_BIN) $(call mb_make_call,mb_os_file_copy,$<,$@) $(DIST_QA_MSX1_BIN)/@run: | $(DIST_QA_MSX1_DEPS) $(call mb_make_call,mb_msxpipe_run_gui,$(DIST_QA_MSX1_BIN),,$(DIST_QA_MSX1_MACHINE)) $(call mb_make_call,mb_doc_target_run,$(DIST_QA_MSX1_BIN)/@run,"Run binaries on MSX1 machine.") -.PHONY: $(DIST_QA_MSX1_BIN)/@run +$(call mb_make_call,mb_make_flag_phony,$(DIST_QA_MSX1_BIN)/@run) $(DIST_QA_MSX1_BIN)/@assert: | $(DIST_QA_MSX1_DEPS) @@ -34,5 +34,5 @@ $(DIST_QA_MSX1_BIN)/@assert: | $(DIST_QA_MSX1_DEPS) $(call mb_make_call,mb_os_echo_assert,$(MB_I18N_ASSERT_SUCCESS) $(DIST_QA_MSX1_BIN)/@assert) $(call mb_make_call,mb_doc_target,$(DIST_QA_MSX1_BIN)/@assert,"Assert binaries on MSX1 machine.") $(call mb_make_call,mb_proj_grow_deps_phase_package_qa,$(DIST_QA_MSX1_BIN)/@assert) -.PHONY: $(DIST_QA_MSX1_BIN)/@assert +$(call mb_make_call,mb_make_flag_phony,$(DIST_QA_MSX1_BIN)/@assert) diff --git a/src/dist-qa-msxhub/0module.mk b/src/dist-qa-msxhub/0module.mk index 35f17fe..d4628d9 100644 --- a/src/dist-qa-msxhub/0module.mk +++ b/src/dist-qa-msxhub/0module.mk @@ -4,12 +4,12 @@ DIST_QA_MSXHUB_BIN := $(call mb_make_call,mb_proj_module_path_bin,$(PATH_SRC DIST_QA_MSXHUB_DEPS := $(call mb_make_call,mb_proj_module_local_deps,$(DIST_QA_MSXHUB_BIN),$(DIST_DEPS)) DIST_QA_MSXHUB_MACHINE ?= Boosted_MSX2+_JP -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,DIST_QA_MSXHUB_MACHINE,"The machine to run the $(DIST_QA_MSXHUB_BIN) module.") +$(call mb_make_call,mb_doc_variable,DIST_QA_MSXHUB_MACHINE,"The machine to run the msxhub module on.") $(DIST_QA_MSXHUB_BIN): | @init - $(call mb_make_call,mb_os_dir_create,$(DIST_QA_MSXHUB_BIN)) - $(call mb_make_call,mb_os_dir_create,$(DIST_QA_MSXHUB_BIN)/utils) + $(if $(wildcard $(DIST_QA_MSXHUB_BIN)),,$(call mb_make_call,mb_os_dir_create,$(DIST_QA_MSXHUB_BIN))) + $(if $(wildcard $(DIST_QA_MSXHUB_BIN)/utils),,$(call mb_make_call,mb_os_dir_create,$(DIST_QA_MSXHUB_BIN)/utils)) $(call mb_make_call,mb_msxhub_get_msxdos2_utils,$(DIST_QA_MSXHUB_BIN)/utils) $(call mb_make_call,mb_msxhub_get_nextor_utils,$(DIST_QA_MSXHUB_BIN)/utils) $(call mb_make_call,mb_msxhub_get_macro80,$(DIST_QA_MSXHUB_BIN)/utils) @@ -33,14 +33,14 @@ $(DIST_QA_MSXHUB_BIN): | @init $(call mb_make_call,mb_msxhub_get_gfxage,$(DIST_QA_MSXHUB_BIN)/utils) -$(DIST_QA_MSXHUB_BIN)/%: $(DIST_BIN)/% | $(DIST_QA_MSXHUB_BIN) $(DIST_DEPS) +$(DIST_QA_MSXHUB_BIN)/%: $(DIST_BIN)/% $(DIST_DEPS) | $(DIST_QA_MSXHUB_BIN) $(call mb_make_call,mb_os_file_copy,$<,$@) $(DIST_QA_MSXHUB_BIN)/@run: | $(DIST_QA_MSXHUB_DEPS) $(call mb_make_call,mb_msxpipe_run_gui,$(DIST_QA_MSXHUB_BIN),,$(DIST_QA_MSXHUB_MACHINE)) $(call mb_make_call,mb_doc_target_run,$(DIST_QA_MSXHUB_BIN)/@run,"Run the dist with all msxhub packages.") -.PHONY: $(DIST_QA_MSXHUB_BIN)/@run +$(call mb_make_call,mb_make_flag_phony,$(DIST_QA_MSXHUB_BIN)/@run) $(DIST_QA_MSXHUB_BIN)/@assert: | $(DIST_QA_MSXHUB_DEPS) @@ -59,6 +59,6 @@ $(DIST_QA_MSXHUB_BIN)/@assert: | $(DIST_QA_MSXHUB_DEPS) grep -q "MAKE COM" $(DIST_QA_MSXHUB_BIN)/utils.out $(call mb_make_call,mb_os_echo_assert,$(MB_I18N_ASSERT_SUCCESS) $(DIST_QA_MSXHUB_BIN)/@assert) $(call mb_make_call,mb_doc_target,$(DIST_QA_MSXHUB_BIN)/@assert,"Asserts that all dist artifacts execute results.") -$(call mb_make_call,mb_proj_grow_deps_phase_test,$(DIST_QA_MSXHUB_BIN)/@assert) -.PHONY: $(DIST_QA_MSXHUB_BIN)/@assert +$(call mb_make_call,mb_proj_grow_deps_phase_package_qa,$(DIST_QA_MSXHUB_BIN)/@assert) +$(call mb_make_call,mb_make_flag_phony,$(DIST_QA_MSXHUB_BIN)/@assert) diff --git a/src/dist/0module.mk b/src/dist/0module.mk index 31d10af..8492d0a 100644 --- a/src/dist/0module.mk +++ b/src/dist/0module.mk @@ -6,7 +6,7 @@ DIST_OUT := $(PATH_BIN)/$(DIST_MOD).tar.gz DIST_DEPS := $(DIST_BIN)/readme.txt $(DIST_BIN)/ahello1.com $(DIST_BIN)/ahello2.com $(DIST_BIN): | @init - $(call mb_make_call,mb_os_dir_create,$(DIST_BIN)) + $(if $(wildcard $(DIST_BIN)),,$(call mb_make_call,mb_os_dir_create,$(DIST_BIN))) $(DIST_BIN)/readme.txt: $(DIST_SRC)/readme.txt | $(DIST_BIN) $(call mb_make_call,mb_conv_unix2dos,$<,$@) @@ -20,7 +20,7 @@ $(DIST_BIN)/ahello2.com: bin/ahello-sdcc/ahello.com | $(DIST_BIN) $(DIST_BIN)/@prepare: | $(DIST_DEPS) $(call mb_make_call,mb_doc_target,$(DIST_BIN)/@prepare,"Prepare folder with binaries for distribution.") -.PHONY: $(DIST_BIN)/@prepare +$(call mb_make_call,mb_make_flag_phony,$(DIST_BIN)/@prepare) $(DIST_OUT): | $(DIST_BIN)/@prepare $(call mb_make_call,mb_create_dist,$(DIST_BIN),$@) diff --git a/src/make-on-msx/0module.mk b/src/make-on-msx/0module.mk index b34d5fa..e11c025 100644 --- a/src/make-on-msx/0module.mk +++ b/src/make-on-msx/0module.mk @@ -4,13 +4,13 @@ MAKE_ON_MSX_BIN := $(call mb_make_call,mb_proj_module_path_bin,$(PATH_SRC),$(P $(MAKE_ON_MSX_BIN): | @init - $(call mb_make_call,mb_os_dir_create,$(MAKE_ON_MSX_BIN)) - $(call mb_make_call,mb_os_dir_create,$(MAKE_ON_MSX_BIN)/utils) + $(if $(wildcard $(MAKE_ON_MSX_BIN)),,$(call mb_make_call,mb_os_dir_create,$(MAKE_ON_MSX_BIN))) + $(if $(wildcard $(MAKE_ON_MSX_BIN)/utils),,$(call mb_make_call,mb_os_dir_create,$(MAKE_ON_MSX_BIN)/utils)) $(call mb_make_call,mb_msxhub_get_make,$(MAKE_ON_MSX_BIN)/utils) $(call mb_make_call,mb_msxhub_get_macro80,$(MAKE_ON_MSX_BIN)/utils) $(call mb_make_call,mb_msxhub_get_z80asmuk,$(MAKE_ON_MSX_BIN)/utils) $(call mb_make_call,mb_doc_target_deep,$(MAKE_ON_MSX_BIN)) -.PHONY: $(MAKE_ON_MSX_BIN) +$(call mb_make_call,mb_make_flag_phony,$(MAKE_ON_MSX_BIN)) $(MAKE_ON_MSX_BIN)/nfbsshot.mac: $(MAKE_ON_MSX_SRC)/nfbsshot.mac | $(MAKE_ON_MSX_BIN) @@ -39,19 +39,18 @@ $(call mb_make_call,mb_doc_target_deep,$(MAKE_ON_MSX_BIN)/nfbsshot.bin) $(MAKE_ON_MSX_BIN)/@build: $(MAKE_ON_MSX_BIN)/nfbsshot.bin $(call mb_make_call,mb_doc_target,$(MAKE_ON_MSX_BIN)/@build,"Compiles binary with make on msx.") $(call mb_make_call,mb_proj_grow_deps_phase_build,$(MAKE_ON_MSX_BIN)/@build) -.PHONY: $(MAKE_ON_MSX_BIN)/@build +$(call mb_make_call,mb_make_flag_phony,$(MAKE_ON_MSX_BIN)/@build) $(MAKE_ON_MSX_BIN)/@run: $(MAKE_ON_MSX_BIN)/@build $(call mb_make_call,mb_msxpipe_run_gui,$(MAKE_ON_MSX_BIN)) $(call mb_make_call,mb_doc_target_run,$(MAKE_ON_MSX_BIN)/@run,"Run the make build manually.") -.PHONY: $(MAKE_ON_MSX_BIN)/@run - +$(call mb_make_call,mb_make_flag_phony,$(MAKE_ON_MSX_BIN)/@run) $(call mb_make_call,mb_assert_flow_grep_binary,$(MAKE_ON_MSX_BIN),check-bin,nfbsshot.bin,screenshot) #$(MAKE_ON_MSX_BIN)/@assert-check-bin: $(MAKE_ON_MSX_BIN)/@build # grep -U "screenshot" $(MAKE_ON_MSX_BIN)/nfbsshot.bin #MB_DOC_FIRE_TARGET += $(call mb_make_call,mb_doc_target,$(MAKE_ON_MSX_BIN)/@assert-check-bin,"Asserts that binary contains screenshot string.") #MB_PROJ_PHASE_TEST_DEPS += $(MAKE_ON_MSX_BIN)/@assert-check-bin -#.PHONY: $(MAKE_ON_MSX_BIN)/@assert-check-bin +#$(call mb_make_call,mb_make_flag_phony,$(MAKE_ON_MSX_BIN)/@assert-check-bin) diff --git a/src/mbboot80/0module.mk b/src/mbboot80/0module.mk index 6534d0d..c1d29b4 100644 --- a/src/mbboot80/0module.mk +++ b/src/mbboot80/0module.mk @@ -10,5 +10,5 @@ $(call mb_make_call,mb_assert_flow_bdos_grep,$(MBBOOT80_BIN),check-boot80,mbboot $(MBBOOT80_BIN)/@release-local: | $(MBBOOT80_BIN)/@build $(call mb_make_call,mb_os_file_copy,$(MBBOOT80_BIN)/mbboot80.com,lib/emuctl/mbboot80.com) $(call mb_make_call,mb_doc_target,$(MBBOOT80_BIN)/@release-local,"Updates binary copy in lib/emuctl folder.") -.PHONY: $(MBBOOT80_BIN)/@release-local +$(call mb_make_call,mb_make_flag_phony,$(MBBOOT80_BIN)/@release-local) From 97db36a611c19811da572ee2efd4f8894fa51a7a Mon Sep 17 00:00:00 2001 From: Willem Date: Wed, 10 Jul 2024 16:08:07 +0200 Subject: [PATCH 164/274] Doc paste typo. --- lib/make/mb_proj.mk | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/lib/make/mb_proj.mk b/lib/make/mb_proj.mk index 49c3a1a..7f78711 100644 --- a/lib/make/mb_proj.mk +++ b/lib/make/mb_proj.mk @@ -30,13 +30,13 @@ $(call mb_make_call,mb_doc_function_deep,mb_proj_module_deps,"Convert other file define mb_proj_grow_deps_phase_clean $(MB__    )$(eval @@clean-deps:: $(1)) endef -$(call mb_make_call,mb_doc_function_deep,mb_proj_grow_deps_phase_process,"Grow the deps of the '@process' phase..","") +$(call mb_make_call,mb_doc_function_deep,mb_proj_grow_deps_phase_clean,"Grow the deps of the '@clean' phase..","") define mb_proj_grow_deps_phase_init $(MB__    )$(eval @@init-deps:: $(1)) endef -$(call mb_make_call,mb_doc_function_deep,mb_proj_grow_deps_phase_process,"Grow the deps of the '@process' phase..","") +$(call mb_make_call,mb_doc_function_deep,mb_proj_grow_deps_phase_init,"Grow the deps of the '@init' phase..","") define mb_proj_grow_deps_phase_process From b274766a050a03f9e7b210d4ad1d16697ff57670 Mon Sep 17 00:00:00 2001 From: Willem Date: Wed, 10 Jul 2024 16:10:18 +0200 Subject: [PATCH 165/274] Doc typo2 fix. --- lib/make/mb_os.mk | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/lib/make/mb_os.mk b/lib/make/mb_os.mk index 47b9530..bc43b87 100644 --- a/lib/make/mb_os.mk +++ b/lib/make/mb_os.mk @@ -106,5 +106,5 @@ $(call mb_make_call,mb_doc_function_deep,mb_os_echo_phase,"Echo's an message to define mb_os_echo_alert $(MB__    )$(call mb_make_call,mb_os_echo_color,36,$(1)) endef -$(call mb_make_call,mb_doc_function_deep,mb_os_echo_phase,"Echo's an message to stdout with 'cyan' crayon.","") +$(call mb_make_call,mb_doc_function_deep,mb_os_echo_alert,"Echo's an message to stdout with 'cyan' crayon.","") From 8c07ce2b1a9bb4e5d50624909e992d3b59306000 Mon Sep 17 00:00:00 2001 From: Willem Date: Wed, 10 Jul 2024 16:24:46 +0200 Subject: [PATCH 166/274] Cleaned os echo marker namespace. --- Makefile | 2 +- lib/make/mb_i18n.mk | 4 ++-- lib/make/mb_os.mk | 22 +++++++++++----------- 3 files changed, 14 insertions(+), 14 deletions(-) diff --git a/Makefile b/Makefile index 6f5a104..fd2f8a0 100644 --- a/Makefile +++ b/Makefile @@ -33,7 +33,7 @@ MB_I18N_MAKE_CHECK_ARG5 = 引数 五 がありません MB_I18N_MSXHUB_FILE_FETCH = コンピューターハブファイルを取得する MB_I18N_MSXROM_FILE_FETCH = 読み取り専用メモリファイルを取得する MB_I18N_OPENMSX_STARTUP = オープンメッカシステムを開始 -MB_I18N_OS_ECHO_CRAYON = ©Δ∞ 仙上主天 +MB_I18N_OS_CRAYON_TAG = ©Δ∞ 仙上主天 MB_I18N_PROJ_STEP_BEFORE = フェーズ前 MB_I18N_PROJ_STEP_AFTER = フェーズ後 MB_I18N_PROJ_STEP_DONE = プライムパイターゲットの実行を終了しました diff --git a/lib/make/mb_i18n.mk b/lib/make/mb_i18n.mk index fc283f5..25629b1 100644 --- a/lib/make/mb_i18n.mk +++ b/lib/make/mb_i18n.mk @@ -35,8 +35,8 @@ $(call mb_make_call,mb_doc_variable_i18n,MB_I18N_MSXROM_FILE_FETCH,"Message for MB_I18N_OPENMSX_STARTUP ?= Start openMSX! for $(call mb_make_call,mb_doc_variable_i18n,MB_I18N_OPENMSX_STARTUP,"Message used on startup of openMSX.") -MB_I18N_OS_ECHO_CRAYON ?= === -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_OS_ECHO_CRAYON,"Crayon marker for result step output echo's.") +MB_I18N_OS_CRAYON_TAG ?= === +$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_OS_CRAYON_TAG,"Crayon tag colored prefix to a message.") MB_I18N_PROJ_STEP_BEFORE ?= Before -- $(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_STEP_BEFORE,"Prefix for begin phase message.") diff --git a/lib/make/mb_os.mk b/lib/make/mb_os.mk index bc43b87..9366c2e 100644 --- a/lib/make/mb_os.mk +++ b/lib/make/mb_os.mk @@ -24,8 +24,8 @@ $(call mb_make_call,mb_doc_variable_rock,MB_OS_SEP,"Native OS path seperator.") MB_OS_CACHE ?= ~/.cache $(call mb_make_call,mb_doc_variable_rock,MB_OS_CACHE,"Native OS application cache folder.") -MB_OS_COLORS ?= $(shell tput colors 2> /dev/null;test $$? -eq 0 || echo "-1") -$(call mb_make_call,mb_doc_variable_rock,MB_OS_COLORS,"Native OS terminal color count support.") +MB_OS_TERM_COLORS ?= $(shell tput colors 2> /dev/null;test $$? -eq 0 || echo "-1") +$(call mb_make_call,mb_doc_variable_rock,MB_OS_TERM_COLORS,"Native OS terminal color count support.") ifdef OS @@ -67,44 +67,44 @@ endef $(call mb_make_call,mb_doc_function,mb_os_file_copy,"Copy an file."," ") -define mb_os_echo_color -$(MB__    )$(if $(filter -1,$(MB_OS_COLORS)),@echo $(MB_I18N_OS_ECHO_CRAYON) $(2),@echo -e "\x1B[$(1)m$(MB_I18N_OS_ECHO_CRAYON)\x1B[39m $(2)") +define mb_os_crayon_tagged_echo +$(MB__    )$(if $(filter -1,$(MB_OS_TERM_COLORS)),@echo $(MB_I18N_OS_CRAYON_TAG) $(2),@echo -e "\x1B[$(1)m$(MB_I18N_OS_CRAYON_TAG)\x1B[39m $(2)") endef -$(call mb_make_call,mb_doc_function_deep,mb_os_echo_color,"Echo's an message to stdout with ansi color code."," ") +$(call mb_make_call,mb_doc_function_deep,mb_os_crayon_tagged_echo,"Echo's an prefixed message to stdout with ansi color code."," ") define mb_os_echo_fail -$(MB__    )$(call mb_make_call,mb_os_echo_color,31,$(1)) +$(MB__    )$(call mb_make_call,mb_os_crayon_tagged_echo,31,$(1)) endef $(call mb_make_call,mb_doc_function_deep,mb_os_echo_fail,"Echo's an message to stdout with 'red' crayon.","") define mb_os_echo_good -$(MB__    )$(call mb_make_call,mb_os_echo_color,32,$(1)) +$(MB__    )$(call mb_make_call,mb_os_crayon_tagged_echo,32,$(1)) endef $(call mb_make_call,mb_doc_function_deep,mb_os_echo_good,"Echo's an message to stdout with 'green' crayon.","") define mb_os_echo_assert -$(MB__    )$(call mb_make_call,mb_os_echo_color,33,$(1)) +$(MB__    )$(call mb_make_call,mb_os_crayon_tagged_echo,33,$(1)) endef $(call mb_make_call,mb_doc_function_deep,mb_os_echo_assert,"Echo's an message to stdout with 'yellow' crayon.","") define mb_os_echo_command -$(MB__    )$(call mb_make_call,mb_os_echo_color,34,$(1)) +$(MB__    )$(call mb_make_call,mb_os_crayon_tagged_echo,34,$(1)) endef $(call mb_make_call,mb_doc_function_deep,mb_os_echo_command,"Echo's an message to stdout with 'blue' crayon.","") define mb_os_echo_phase -$(MB__    )$(call mb_make_call,mb_os_echo_color,35,$(1)) +$(MB__    )$(call mb_make_call,mb_os_crayon_tagged_echo,35,$(1)) endef $(call mb_make_call,mb_doc_function_deep,mb_os_echo_phase,"Echo's an message to stdout with 'magenta' crayon.","") define mb_os_echo_alert -$(MB__    )$(call mb_make_call,mb_os_echo_color,36,$(1)) +$(MB__    )$(call mb_make_call,mb_os_crayon_tagged_echo,36,$(1)) endef $(call mb_make_call,mb_doc_function_deep,mb_os_echo_alert,"Echo's an message to stdout with 'cyan' crayon.","") From ded142d36e9c6e415c0b066fd55cab1a3b8606b2 Mon Sep 17 00:00:00 2001 From: Willem Date: Wed, 10 Jul 2024 17:03:28 +0200 Subject: [PATCH 167/274] Fixed autoexec boot and found bug in make in splitting folders. --- README.md | 18 +++++++------- lib/make/msxbuild.mk | 28 ++++++++++++---------- lib/make/{ => प्रणाली}/mb_doc.mk | 0 lib/make/{ => प्रणाली}/mb_make.mk | 0 lib/make/{ => प्रणाली}/mb_make_call.mk | 0 lib/make/{ => प्रणाली}/mb_make_xml.mk | 0 lib/make/{ => ᐊᑐᕐᓗᒍ}/mb_conv.mk | 0 lib/make/{ => ᐊᑐᕐᓗᒍ}/mb_os.mk | 2 +- lib/make/{ => ᐊᑐᕐᓗᒍ}/mb_sdcc.mk | 0 lib/make/{ => 麥加系統擴大}/mb_autoexec.mk | 4 ++-- lib/make/{ => 麥加系統擴大}/mb_msxhub.mk | 0 lib/make/{ => 麥加系統擴大}/mb_msxpipe.mk | 0 lib/make/{ => 麥加系統擴大}/mb_msxrom.mk | 0 lib/make/{ => 麥加系統擴大}/mb_openmsx.mk | 0 14 files changed, 28 insertions(+), 24 deletions(-) rename lib/make/{ => प्रणाली}/mb_doc.mk (100%) rename lib/make/{ => प्रणाली}/mb_make.mk (100%) rename lib/make/{ => प्रणाली}/mb_make_call.mk (100%) rename lib/make/{ => प्रणाली}/mb_make_xml.mk (100%) rename lib/make/{ => ᐊᑐᕐᓗᒍ}/mb_conv.mk (100%) rename lib/make/{ => ᐊᑐᕐᓗᒍ}/mb_os.mk (98%) rename lib/make/{ => ᐊᑐᕐᓗᒍ}/mb_sdcc.mk (100%) rename lib/make/{ => 麥加系統擴大}/mb_autoexec.mk (96%) rename lib/make/{ => 麥加系統擴大}/mb_msxhub.mk (100%) rename lib/make/{ => 麥加系統擴大}/mb_msxpipe.mk (100%) rename lib/make/{ => 麥加系統擴大}/mb_msxrom.mk (100%) rename lib/make/{ => 麥加系統擴大}/mb_openmsx.mk (100%) diff --git a/README.md b/README.md index d0ae916..de87a9d 100644 --- a/README.md +++ b/README.md @@ -33,8 +33,8 @@ For debian use; Have access or copy the `lib` folder in your project and start writing make files. Include the `lib/make/msxbuild.mk` and override the paths if needed; -* PATH_SDCC=/usr/bin -* PATH_OPENMSX=/usr/bin +* MB_SDCC_PATH=/usr/bin +* MB_OPENMSX_PATH=/usr/bin ## Usage Docker @@ -85,27 +85,27 @@ When you want to see whats happening do a debug run; normal run; time make @package-qa - real 0m3.223s + real 0m8.058s debug run at 11 Mhz; - time VERBOSE=on MB_OPENMSX_HEADLESS=off MB_OPENMSX_THROTTLE=on make @package-qa - real 1m4.856s + time VERBOSE=on DEBUG=on MB_OPENMSX_HEADLESS=off MB_OPENMSX_THROTTLE=on make @package-qa + real 2m34.071s debug run real msx speed; - time VERBOSE=on MB_OPENMSX_HEADLESS=off MB_OPENMSX_THROTTLE=on MB_OPENMSX_SPEED=100 make @package-qa + time VERBOSE=on DEBUG=on MB_OPENMSX_HEADLESS=off MB_OPENMSX_THROTTLE=on MB_OPENMSX_SPEED=100 make @package-qa real 2m37.379s record run with screenshot on exit; (black screens without throttle) time MB_OPENMSX_HEADLESS=off MB_OPENMSX_THROTTLE=on MB_FLIGHT_SCREEN=on make @package-qa - real 1m14.043s + real 2m40.784s record run with video per session and merge to one video file; time make @flight-video-package-qa - real 0m7.136s + real 0m18.184s build parallel; time make -j4 @package-qa - real 0m1.817s + real 0m4.226s manual test all packages on other MSX1 machine; make DIST_QA_MSXHUB_MACHINE=Philips_VG_8000 bin/dist-qa-msxhub/@run diff --git a/lib/make/msxbuild.mk b/lib/make/msxbuild.mk index 96ef95e..e5f20bf 100644 --- a/lib/make/msxbuild.mk +++ b/lib/make/msxbuild.mk @@ -8,23 +8,27 @@ MB__RECIPEPREFIX := $(.RECIPEPREFIX) MB__BASEPATH := $(dir $(lastword $(MAKEFILE_LIST)))../.. MB__BASEPATH_REAL := $(if $(realpath $(MB__BASEPATH)),$(realpath $(MB__BASEPATH)),$(MB__BASEPATH)) -include $(MB__BASEPATH)/lib/make/mb_doc.mk -include $(MB__BASEPATH)/lib/make/mb_make_call.mk -include $(MB__BASEPATH)/lib/make/mb_make_xml.mk -include $(MB__BASEPATH)/lib/make/mb_make.mk -include $(MB__BASEPATH)/lib/make/mb_conv.mk -include $(MB__BASEPATH)/lib/make/mb_sdcc.mk +# BUG1 Unicode bug in make, read+parse ok but function call fails. +#include $(MB__BASEPATH)/lib/make/प्रणालीᐥᔆʸᔆᐪᓫᔿ/mb_doc.mk + +include $(MB__BASEPATH)/lib/make/प्रणाली/mb_doc.mk +include $(MB__BASEPATH)/lib/make/प्रणाली/mb_make_call.mk +include $(MB__BASEPATH)/lib/make/प्रणाली/mb_make_xml.mk +include $(MB__BASEPATH)/lib/make/प्रणाली/mb_make.mk +include $(MB__BASEPATH)/lib/make/ᐊᑐᕐᓗᒍ/mb_os.mk +include $(MB__BASEPATH)/lib/make/ᐊᑐᕐᓗᒍ/mb_conv.mk +include $(MB__BASEPATH)/lib/make/ᐊᑐᕐᓗᒍ/mb_sdcc.mk +include $(MB__BASEPATH)/lib/make/麥加系統擴大/mb_msxrom.mk +include $(MB__BASEPATH)/lib/make/麥加系統擴大/mb_msxhub.mk +include $(MB__BASEPATH)/lib/make/麥加系統擴大/mb_msxpipe.mk +include $(MB__BASEPATH)/lib/make/麥加系統擴大/mb_openmsx.mk +include $(MB__BASEPATH)/lib/make/麥加系統擴大/mb_autoexec.mk include $(MB__BASEPATH)/lib/make/mb_flight.mk -include $(MB__BASEPATH)/lib/make/mb_msxrom.mk -include $(MB__BASEPATH)/lib/make/mb_msxhub.mk -include $(MB__BASEPATH)/lib/make/mb_msxpipe.mk -include $(MB__BASEPATH)/lib/make/mb_openmsx.mk -include $(MB__BASEPATH)/lib/make/mb_autoexec.mk include $(MB__BASEPATH)/lib/make/mb_assert.mk include $(MB__BASEPATH)/lib/make/mb_tool.mk include $(MB__BASEPATH)/lib/make/mb_proj.mk include $(MB__BASEPATH)/lib/make/mb_i18n.mk -include $(MB__BASEPATH)/lib/make/mb_os.mk + $(call mb_make_call,mb_doc_variable_rock,MB__RECIPEPREFIX,"Recipe prefix to restore to after flow eval.") $(call mb_make_call,mb_doc_variable_rock,MB__BASEPATH,"Path where msxbuild lib folder is located.") diff --git a/lib/make/mb_doc.mk b/lib/make/प्रणाली/mb_doc.mk similarity index 100% rename from lib/make/mb_doc.mk rename to lib/make/प्रणाली/mb_doc.mk diff --git a/lib/make/mb_make.mk b/lib/make/प्रणाली/mb_make.mk similarity index 100% rename from lib/make/mb_make.mk rename to lib/make/प्रणाली/mb_make.mk diff --git a/lib/make/mb_make_call.mk b/lib/make/प्रणाली/mb_make_call.mk similarity index 100% rename from lib/make/mb_make_call.mk rename to lib/make/प्रणाली/mb_make_call.mk diff --git a/lib/make/mb_make_xml.mk b/lib/make/प्रणाली/mb_make_xml.mk similarity index 100% rename from lib/make/mb_make_xml.mk rename to lib/make/प्रणाली/mb_make_xml.mk diff --git a/lib/make/mb_conv.mk b/lib/make/ᐊᑐᕐᓗᒍ/mb_conv.mk similarity index 100% rename from lib/make/mb_conv.mk rename to lib/make/ᐊᑐᕐᓗᒍ/mb_conv.mk diff --git a/lib/make/mb_os.mk b/lib/make/ᐊᑐᕐᓗᒍ/mb_os.mk similarity index 98% rename from lib/make/mb_os.mk rename to lib/make/ᐊᑐᕐᓗᒍ/mb_os.mk index 9366c2e..6b302e2 100644 --- a/lib/make/mb_os.mk +++ b/lib/make/ᐊᑐᕐᓗᒍ/mb_os.mk @@ -38,7 +38,7 @@ $(MB__    )MB_OS_STDOUT_IGNORE ?= >NUL $(MB__    )MB_OS_STDERR_IGNORE ?= 2>NUL || true $(MB__    )MB_OS_SEP ?=\ $(MB__    )MB_OS_CACHE ?= %LOCALAPPDATA% -$(MB__    )MB_OS_COLORS ?= -1 +$(MB__    )MB_OS_TERM_COLORS ?= -1 endif endif diff --git a/lib/make/mb_sdcc.mk b/lib/make/ᐊᑐᕐᓗᒍ/mb_sdcc.mk similarity index 100% rename from lib/make/mb_sdcc.mk rename to lib/make/ᐊᑐᕐᓗᒍ/mb_sdcc.mk diff --git a/lib/make/mb_autoexec.mk b/lib/make/麥加系統擴大/mb_autoexec.mk similarity index 96% rename from lib/make/mb_autoexec.mk rename to lib/make/麥加系統擴大/mb_autoexec.mk index 335a2b2..238ab79 100644 --- a/lib/make/mb_autoexec.mk +++ b/lib/make/麥加系統擴大/mb_autoexec.mk @@ -161,8 +161,8 @@ $(MB__    )$(if $(wildcard $(1)/utils),$(call mb_make_call,_mb_autoexec_ $(MB__    )$(if $(filter on,$(MB_AUTOEXEC_SHOW_HOST)),$(call mb_make_call,mb_autoexec_append_cmd,$(1),omsxctl boot_exec_show_host)) $(MB__    )$(if $(MB_AUTOEXEC_STARTUP_NOTE),$(call mb_make_call,mb_autoexec_append_echo,$(1),mb::note $(MB_AUTOEXEC_STARTUP_NOTE))) $(MB__    )$(if $(filter on,$(MB_FLIGHT_VIDEO)),$(call mb_make_call,mb_autoexec_append_save_video,$(1))) -$(MB__    )echo -n "$(subst $(MB_MAKE_SPACE)set,set,$(foreach var,$(MB_AUTOEXEC_EMBED_VARS),set MSX_$(var)$(MB_MAKE_EQUALS)$($(var))\r\n))" >> $(1)/autoexec.bat -$(MB__    )echo -n "$(subst $(MB_MAKE_SPACE)set,set,$(foreach var,$(MB_AUTOEXEC_EMBED_VARS),echo MSX_$(var)$(MB_MAKE_EQUALS)%MSX_$(var)%\r\n))" >> $(1)/autoexec.bat +$(MB__    )echo -n -e "$(subst $(MB_MAKE_SPACE)set,set,$(foreach var,$(MB_AUTOEXEC_EMBED_VARS),set MSX_$(var)$(MB_MAKE_EQUALS)$($(var))\r\n))" >> $(1)/autoexec.bat +$(MB__    )echo -n -e "$(subst $(MB_MAKE_SPACE)set,set,$(foreach var,$(MB_AUTOEXEC_EMBED_VARS),echo MSX_$(var)$(MB_MAKE_EQUALS)%MSX_$(var)%\r\n))" >> $(1)/autoexec.bat $(MB__    )$(call mb_make_call,mb_autoexec_append_cmd,$(1),set TIME$(MB_MAKE_EQUALS)$(MB_AUTOEXEC_TIME_FORMAT)) $(MB__    )$(call mb_make_call,mb_autoexec_append_cmd,$(1),set DATE$(MB_MAKE_EQUALS)$(MB_AUTOEXEC_DATE_FORMAT)) $(MB__    )$(if $(filter on,$(MB_AUTOEXEC_SHOW_PATH)),$(call mb_make_call,mb_autoexec_append_cmd,$(1),echo mb::path %PATH%)) diff --git a/lib/make/mb_msxhub.mk b/lib/make/麥加系統擴大/mb_msxhub.mk similarity index 100% rename from lib/make/mb_msxhub.mk rename to lib/make/麥加系統擴大/mb_msxhub.mk diff --git a/lib/make/mb_msxpipe.mk b/lib/make/麥加系統擴大/mb_msxpipe.mk similarity index 100% rename from lib/make/mb_msxpipe.mk rename to lib/make/麥加系統擴大/mb_msxpipe.mk diff --git a/lib/make/mb_msxrom.mk b/lib/make/麥加系統擴大/mb_msxrom.mk similarity index 100% rename from lib/make/mb_msxrom.mk rename to lib/make/麥加系統擴大/mb_msxrom.mk diff --git a/lib/make/mb_openmsx.mk b/lib/make/麥加系統擴大/mb_openmsx.mk similarity index 100% rename from lib/make/mb_openmsx.mk rename to lib/make/麥加系統擴大/mb_openmsx.mk From e619ac8de7ab6b38303f08c404e43b04a8113362 Mon Sep 17 00:00:00 2001 From: Willem Date: Wed, 10 Jul 2024 17:48:15 +0200 Subject: [PATCH 168/274] Moved and i18n the package archive function. --- Makefile | 1 + lib/make/mb_i18n.mk | 3 +++ lib/make/msxbuild.mk | 9 +-------- lib/make/ᐊᑐᕐᓗᒍ/mb_package.mk | 7 +++++++ src/dist/0module.mk | 2 +- 5 files changed, 13 insertions(+), 9 deletions(-) create mode 100644 lib/make/ᐊᑐᕐᓗᒍ/mb_package.mk diff --git a/Makefile b/Makefile index fd2f8a0..03f9b18 100644 --- a/Makefile +++ b/Makefile @@ -25,6 +25,7 @@ MB_I18N_ASSERT_SUCCESS = アサート成功 MB_I18N_AUTOEXEC_WRITE = 自動実行の書き込み MB_I18N_CONV_UNIX2DOS = ディスクシステムの行末に変換 MB_I18N_CONV_DOS2UNIX = メインフレームラインエンドに変換 +MB_I18N_PACKAGE_CREATE_ARCHIVE = ビルド配布アーカイブ MB_I18N_MAKE_CHECK_ARG1 = 引数 一 がありません MB_I18N_MAKE_CHECK_ARG2 = 引数 二 がありません MB_I18N_MAKE_CHECK_ARG3 = 引数 三 がありません diff --git a/lib/make/mb_i18n.mk b/lib/make/mb_i18n.mk index 25629b1..2e376b2 100644 --- a/lib/make/mb_i18n.mk +++ b/lib/make/mb_i18n.mk @@ -11,6 +11,9 @@ $(call mb_make_call,mb_doc_variable_i18n,MB_I18N_CONV_UNIX2DOS,"Message for when MB_I18N_CONV_DOS2UNIX ?= Convert to nix-EOL $(call mb_make_call,mb_doc_variable_i18n,MB_I18N_CONV_DOS2UNIX,"Message for when converting a file to unix.") +MB_I18N_PACKAGE_CREATE_ARCHIVE ?= Build distribution archive +$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PACKAGE_CREATE_ARCHIVE,"Message for when creating a archive.") + MB_I18N_MAKE_CHECK_ARG1 ?= Argument 1 is missing $(call mb_make_call,mb_doc_variable_i18n,MB_I18N_MAKE_CHECK_ARG1,"Error message when argument 1 is missing.") diff --git a/lib/make/msxbuild.mk b/lib/make/msxbuild.mk index e5f20bf..3c236eb 100644 --- a/lib/make/msxbuild.mk +++ b/lib/make/msxbuild.mk @@ -18,6 +18,7 @@ include $(MB__BASEPATH)/lib/make/प्रणाली/mb_make.mk include $(MB__BASEPATH)/lib/make/ᐊᑐᕐᓗᒍ/mb_os.mk include $(MB__BASEPATH)/lib/make/ᐊᑐᕐᓗᒍ/mb_conv.mk include $(MB__BASEPATH)/lib/make/ᐊᑐᕐᓗᒍ/mb_sdcc.mk +include $(MB__BASEPATH)/lib/make/ᐊᑐᕐᓗᒍ/mb_package.mk include $(MB__BASEPATH)/lib/make/麥加系統擴大/mb_msxrom.mk include $(MB__BASEPATH)/lib/make/麥加系統擴大/mb_msxhub.mk include $(MB__BASEPATH)/lib/make/麥加系統擴大/mb_msxpipe.mk @@ -34,11 +35,3 @@ $(call mb_make_call,mb_doc_variable_rock,MB__RECIPEPREFIX,"Recipe prefix to rest $(call mb_make_call,mb_doc_variable_rock,MB__BASEPATH,"Path where msxbuild lib folder is located.") $(call mb_make_call,mb_doc_variable_rock,MB__BASEPATH_REAL,"Resolved real path of msxbuild.") - -# TODO: move to mb_package.mk -define mb_create_dist -$(MB__    )$(call mb_make_call,mb_os_echo_command,Creating distribution archive $(2)) -$(MB__    )tar -czf $(2) -C $(1) `ls $(1)` -endef -$(call mb_make_call,mb_doc_function,mb_create_dist,"Create an distribution archive."," ") - diff --git a/lib/make/ᐊᑐᕐᓗᒍ/mb_package.mk b/lib/make/ᐊᑐᕐᓗᒍ/mb_package.mk new file mode 100644 index 0000000..e900a0c --- /dev/null +++ b/lib/make/ᐊᑐᕐᓗᒍ/mb_package.mk @@ -0,0 +1,7 @@ + +define mb_package_create_archive +$(MB__    )$(call mb_make_call,mb_os_echo_command,$(MB_I18N_PACKAGE_CREATE_ARCHIVE) $(2)) +$(MB__    )tar -czf $(2) -C $(1) `ls $(1)` +endef +$(call mb_make_call,mb_doc_function,mb_package_create_archive,"Create an distribution archive."," ") + diff --git a/src/dist/0module.mk b/src/dist/0module.mk index 8492d0a..2503ce7 100644 --- a/src/dist/0module.mk +++ b/src/dist/0module.mk @@ -23,7 +23,7 @@ $(call mb_make_call,mb_doc_target,$(DIST_BIN)/@prepare,"Prepare folder with bina $(call mb_make_call,mb_make_flag_phony,$(DIST_BIN)/@prepare) $(DIST_OUT): | $(DIST_BIN)/@prepare - $(call mb_make_call,mb_create_dist,$(DIST_BIN),$@) + $(call mb_make_call,mb_package_create_archive,$(DIST_BIN),$@) $(call mb_make_call,mb_doc_target,$(DIST_OUT),"Build archive distribution artifact.") $(call mb_make_call,mb_proj_grow_deps_phase_package,$(DIST_OUT)) From 72c11a15c2139acfc35c2d4d0823376066260ab2 Mon Sep 17 00:00:00 2001 From: Willem Date: Wed, 10 Jul 2024 19:17:40 +0200 Subject: [PATCH 169/274] Added basic i18n support for eskimos. --- Makefile | 50 +--------------------------------- README.md | 1 + lib/make/{ => i18n}/mb_i18n.mk | 3 ++ lib/make/i18n/mb_i18n_BASIC.mk | 45 ++++++++++++++++++++++++++++++ lib/make/i18n/mb_i18n_iu.mk | 35 ++++++++++++++++++++++++ lib/make/i18n/mb_i18n_ja.mk | 45 ++++++++++++++++++++++++++++++ lib/make/mb_proj.mk | 5 ++-- lib/make/msxbuild.mk | 7 +++-- 8 files changed, 137 insertions(+), 54 deletions(-) rename lib/make/{ => i18n}/mb_i18n.mk (98%) create mode 100644 lib/make/i18n/mb_i18n_BASIC.mk create mode 100644 lib/make/i18n/mb_i18n_iu.mk create mode 100644 lib/make/i18n/mb_i18n_ja.mk diff --git a/Makefile b/Makefile index 03f9b18..ae84dc6 100644 --- a/Makefile +++ b/Makefile @@ -3,6 +3,7 @@ # # Configure make,project,msxbuild + PATH_BIN := bin PATH_SRC := src PATH_MSXBUILD ?= . @@ -19,52 +20,3 @@ $(call mb_make_call,mb_make_flow_0module,$(PATH_SRC)) $(call mb_make_call,mb_proj_flow_setup,$(PATH_BIN)) $(call mb_make_call,mb_flight_proj_flow_video,$(PATH_BIN)) -# Add japanese support (TODO move) -ifdef LANG_JP -MB_I18N_ASSERT_SUCCESS = アサート成功 -MB_I18N_AUTOEXEC_WRITE = 自動実行の書き込み -MB_I18N_CONV_UNIX2DOS = ディスクシステムの行末に変換 -MB_I18N_CONV_DOS2UNIX = メインフレームラインエンドに変換 -MB_I18N_PACKAGE_CREATE_ARCHIVE = ビルド配布アーカイブ -MB_I18N_MAKE_CHECK_ARG1 = 引数 一 がありません -MB_I18N_MAKE_CHECK_ARG2 = 引数 二 がありません -MB_I18N_MAKE_CHECK_ARG3 = 引数 三 がありません -MB_I18N_MAKE_CHECK_ARG4 = 引数 四 がありません -MB_I18N_MAKE_CHECK_ARG5 = 引数 五 がありません -MB_I18N_MSXHUB_FILE_FETCH = コンピューターハブファイルを取得する -MB_I18N_MSXROM_FILE_FETCH = 読み取り専用メモリファイルを取得する -MB_I18N_OPENMSX_STARTUP = オープンメッカシステムを開始 -MB_I18N_OS_CRAYON_TAG = ©Δ∞ 仙上主天 -MB_I18N_PROJ_STEP_BEFORE = フェーズ前 -MB_I18N_PROJ_STEP_AFTER = フェーズ後 -MB_I18N_PROJ_STEP_DONE = プライムパイターゲットの実行を終了しました -MB_I18N_PROJ_DIR_CREATE = フォルダーの作成 -MB_I18N_PROJ_DIR_DELETE = フォルダーの削除 -MB_I18N_SDCC_COMPILE = 標準マイクロコンパイラ -MB_I18N_SDCC_ARLIB = 標準マイクロビルドライブラリ -MB_I18N_SDCC_LINK = 標準マイクロリンクメッカ図書館 -MB_I18N_SDCC_OBJCOPY = 標準マイクロオブジェクトコピー -MB_I18N_PROJ_PHASEID_CLEAN = クリーン -MB_I18N_PROJ_PHASEDOC_CLEAN = プロジェクトのビルドフォルダーをクリーンアップします。 -MB_I18N_PROJ_PHASEID_INIT = 初期化する -MB_I18N_PROJ_PHASEDOC_INIT = モジュール出力フォルダーをセットアップして検証します。 -MB_I18N_PROJ_PHASEID_PROCESS = プロセス -MB_I18N_PROJ_PHASEDOC_PROCESS = コンパイル前にソースを処理します。 -MB_I18N_PROJ_PHASEID_COMPILE = コンパイル -MB_I18N_PROJ_PHASEDOC_COMPILE = すべてのプロジェクト ソースをコンパイルします。 -MB_I18N_PROJ_PHASEID_LINK = リンク -MB_I18N_PROJ_PHASEDOC_LINK = すべてのプロジェクト中間ファイルをリンクします。 -MB_I18N_PROJ_PHASEID_BUILD = 建てる -MB_I18N_PROJ_PHASEDOC_BUILD = すべてのプロジェクト成果物をビルドします。 -MB_I18N_PROJ_PHASEID_TEST = テスト -MB_I18N_PROJ_PHASEDOC_TEST = すべてのアサーション テストを実行します。 -MB_I18N_PROJ_PHASEID_PACKAGE = パッケージ -MB_I18N_PROJ_PHASEDOC_PACKAGE = プロジェクトのパッケージを作成します。 -MB_I18N_PROJ_PHASEID_PACKAGE_QA = パッケージの品質保証 -MB_I18N_PROJ_PHASEDOC_PACKAGE_QA = すべてのパッケージを実行します。プロジェクトの品質保証。 -MB_I18N_PROJ_PHASEID_PACKAGE_DEPLOY = パッケージのデプロイ -MB_I18N_PROJ_PHASEDOC_PACKAGE_DEPLOY = パッケージをデプロイします。 - -$(call mb_make_call,mb_proj_flow_setup_i18n) -endif - diff --git a/README.md b/README.md index de87a9d..835afc8 100644 --- a/README.md +++ b/README.md @@ -145,6 +145,7 @@ When you want to see whats happening do a debug run; ## Make4++ backlog issues * Add a few new define's to have official make documentation +* BUG: Unicode read+parse ok but function call fails on `include $(MB__BASEPATH)/lib/make/प्रणालीᐥᔆʸᔆᐪᓫᔿ/mb_doc.mk`. * Add function `call_real` which exits on undefined call argument * Add -e option to make internal echo command for escaped sequences * Add native OS functions for `os_copy,os_rmfile,rmdir,mkdir,touch,delfile,deldir` diff --git a/lib/make/mb_i18n.mk b/lib/make/i18n/mb_i18n.mk similarity index 98% rename from lib/make/mb_i18n.mk rename to lib/make/i18n/mb_i18n.mk index 2e376b2..dc69ed8 100644 --- a/lib/make/mb_i18n.mk +++ b/lib/make/i18n/mb_i18n.mk @@ -1,4 +1,7 @@ +MB_I18N ?= +$(call mb_make_call,mb_doc_variable,MB_I18N,"The language code to select the i18n pack.") + MB_I18N_ASSERT_SUCCESS ?= Assert successfull $(call mb_make_call,mb_doc_variable_i18n,MB_I18N_ASSERT_SUCCESS,"Message for when assertion test is successfull.") diff --git a/lib/make/i18n/mb_i18n_BASIC.mk b/lib/make/i18n/mb_i18n_BASIC.mk new file mode 100644 index 0000000..f9dd460 --- /dev/null +++ b/lib/make/i18n/mb_i18n_BASIC.mk @@ -0,0 +1,45 @@ + +MB_I18N_ASSERT_SUCCESS = ASSERT OK: +MB_I18N_AUTOEXEC_WRITE = WRITE BOOT: +MB_I18N_CONV_UNIX2DOS = CONV UNIX2DOS: +MB_I18N_CONV_DOS2UNIX = CONV DOS2UNIX: +MB_I18N_PACKAGE_CREATE_ARCHIVE = BUILD ARCHIVE: +MB_I18N_MAKE_CHECK_ARG1 = ARG 1 FAIL +MB_I18N_MAKE_CHECK_ARG2 = ARG 2 FAIL +MB_I18N_MAKE_CHECK_ARG3 = ARG 3 FAIL +MB_I18N_MAKE_CHECK_ARG4 = ARG 4 FAIL +MB_I18N_MAKE_CHECK_ARG5 = ARG 5 FAIL +MB_I18N_MSXHUB_FILE_FETCH = FETCH MSXHUB: +MB_I18N_MSXROM_FILE_FETCH = FETCH MSXROM: +MB_I18N_OPENMSX_STARTUP = BOOT OPENMSX: +MB_I18N_OS_CRAYON_TAG = MSX⁴ +MB_I18N_PROJ_STEP_BEFORE = BEFORE $(MB_MAKE_HASH)$(MB_MAKE_HASH) +MB_I18N_PROJ_STEP_AFTER = AFTER $(MB_MAKE_HASH)$(MB_MAKE_HASH)$(MB_MAKE_HASH)$(MB_MAKE_HASH) +MB_I18N_PROJ_STEP_DONE = BASIC RUN COMPLEET: +MB_I18N_PROJ_DIR_CREATE = FOLDER CREATE: +MB_I18N_PROJ_DIR_DELETE = FOLDER DELETE: +MB_I18N_SDCC_COMPILE = SDCC COMPILE: +MB_I18N_SDCC_ARLIB = SDCC ARLIB: +MB_I18N_SDCC_LINK = SDCC LINK: +MB_I18N_SDCC_OBJCOPY = SDCC OBJCOPY: +MB_I18N_PROJ_PHASEID_CLEAN = CLEAN +MB_I18N_PROJ_PHASEDOC_CLEAN = DELETE STUFF +MB_I18N_PROJ_PHASEID_INIT = INIT +MB_I18N_PROJ_PHASEDOC_INIT = INIT CAKE +MB_I18N_PROJ_PHASEID_PROCESS = PROCESS +MB_I18N_PROJ_PHASEDOC_PROCESS = PROCESS FOOD +MB_I18N_PROJ_PHASEID_COMPILE = COMPILE +MB_I18N_PROJ_PHASEDOC_COMPILE = COMPILE FOOD +MB_I18N_PROJ_PHASEID_LINK = LINK +MB_I18N_PROJ_PHASEDOC_LINK = LINK FOOD CHAIN +MB_I18N_PROJ_PHASEID_BUILD = BUILD +MB_I18N_PROJ_PHASEDOC_BUILD = BUILD LEGO +MB_I18N_PROJ_PHASEID_TEST = TEST +MB_I18N_PROJ_PHASEDOC_TEST = TEST LEGO +MB_I18N_PROJ_PHASEID_PACKAGE = PACKAGE +MB_I18N_PROJ_PHASEDOC_PACKAGE = PACKAGE LEGO +MB_I18N_PROJ_PHASEID_PACKAGE_QA = PACKAGE_QA +MB_I18N_PROJ_PHASEDOC_PACKAGE_QA = PACKAGE BURN LEGO +MB_I18N_PROJ_PHASEID_PACKAGE_DEPLOY = PACKAGE_DEPLOY +MB_I18N_PROJ_PHASEDOC_PACKAGE_DEPLOY = PACKAGE DEPLOY LEGO + diff --git a/lib/make/i18n/mb_i18n_iu.mk b/lib/make/i18n/mb_i18n_iu.mk new file mode 100644 index 0000000..0ca6bab --- /dev/null +++ b/lib/make/i18n/mb_i18n_iu.mk @@ -0,0 +1,35 @@ + +MB_I18N_ASSERT_SUCCESS = ᑲᔪᓯᓂᖃᑦᑎᐊᕐᓂᖅ +MB_I18N_AUTOEXEC_WRITE = ᑎᑎᕋᑲᐅᑎᒋᓂᖅ ᑲᒫᓗᖕᒥᒃ +MB_I18N_CONV_UNIX2DOS = ᐅᐊᔭᐅᑉ ᐃᓱᐊᓄᐊᕐᓗᒍ +MB_I18N_CONV_DOS2UNIX = ᑐᑭᓕᐊᖓᔪᓐᓃᕐᓗᓂ +MB_I18N_PACKAGE_CREATE_ARCHIVE = ᓴᓇᓗᑎᒃ ᑐᖅᑯᐃᕕᒃᓴᓂᑦ +MB_I18N_MAKE_CHECK_ARG1 = ᐊᐃᕙᔾᔪᑎ ᐊᑕᐅᓯᖅ ᐊᔪᖅᑐᖅ +MB_I18N_MAKE_CHECK_ARG2 = ᐊᐃᕙᔾᔪᑎ ᒪᕐᕉᒃ ᐊᔪᖅᑑᒃ +MB_I18N_MAKE_CHECK_ARG3 = ᐊᐃᕙᐅᑎ ᐱᖓᓱᑦ ᐊᔪᖅᑐᑦ +MB_I18N_MAKE_CHECK_ARG4 = ᐊᐃᕙᓂᖅ ᓯᑕᒪᑦ ᑲᔪᓯᓐᓂᖏᑦᑐᖅ +MB_I18N_MAKE_CHECK_ARG5 = ᐊᕐᓴᖅ ᐊᒃᓴᓗᐊᖓ ᐊᔪᓕᖅᑐᖅ +MB_I18N_MSXHUB_FILE_FETCH = ᖃᕆᑕᐅᔭᕐᒥ ᒥᓇᕆᓗᒍ +MB_I18N_MSXROM_FILE_FETCH = ᒥᓇᕆᓗᒍ ᖃᕆᑕᐅᔭᖅ ᐃᖅᑲᐅᒪᔾᔪᑎ +MB_I18N_OPENMSX_STARTUP = ᑲᖏᖅᑐᖅ ᖃᕆᑕᐅᔭᖅ +MB_I18N_OS_CRAYON_TAG = ꜊꜊꜊⋇꜏꜏꜏ +MB_I18N_PROJ_STEP_BEFORE = ᓯᕗᓂᐊᓂ +MB_I18N_PROJ_STEP_AFTER = ᑭᖑᓂᖓᓂ +MB_I18N_PROJ_STEP_DONE = ᐱᓕᕆᐊᖅ ᐱᐊᓂᒃᑕᐅᔪᖅ +MB_I18N_PROJ_DIR_CREATE = ᑐᖅᑯᐃᕝᕕᓕᐅᕐᓂᖅ +MB_I18N_PROJ_DIR_DELETE = ᑐᖅᑯᖅᓯᓯᒪᕝᕕᖓ ᐲᔭᖅᑕᐅᓗᓂ +MB_I18N_SDCC_COMPILE = ᖃᕆᑕᐅᔭᕋᓛᖅᓄᐊᑦᓯᓂᖅ +MB_I18N_SDCC_ARLIB = ᖃᕆᑕᐅᔭᕋᓛᖅᑐᖅᑯᖅᑕᐅᓯᒪᔪᑦ +MB_I18N_SDCC_LINK = ᖃᕆᑕᐅᔭᕋᓛᖅᐊᑦᑕᑕᕐᕕᒃᓴ +MB_I18N_SDCC_OBJCOPY = ᖃᕆᑕᐅᔭᕋᓛᖅᐱᖁᑎᐅᑉ ᐊᔾᔨᖓ +MB_I18N_PROJ_PHASEID_CLEAN = ᓴᓗᒪᓗᓂ +MB_I18N_PROJ_PHASEID_INIT = ᐃᑎᖅᓴᖅ +MB_I18N_PROJ_PHASEID_PROCESS = ᐱᓕᕆᔾᔪᓯᖅ +MB_I18N_PROJ_PHASEID_COMPILE = ᓄᐊᑦᓯᓂᖅ +MB_I18N_PROJ_PHASEID_LINK = ᐊᑦᑕᑕᕐᕕᒃᓴ +MB_I18N_PROJ_PHASEID_BUILD = ᓴᓇᓂᖅ +MB_I18N_PROJ_PHASEID_TEST = ᖃᐅᔨᓴᕈᑎ +MB_I18N_PROJ_PHASEID_PACKAGE = ᐴᖅᑲᖅᓯᒪᔪᑦ +MB_I18N_PROJ_PHASEID_PACKAGE_QA = ᐴᖅᑲᖅᓯᒪᔪᑦ−ᖃᖅ +MB_I18N_PROJ_PHASEID_PACKAGE_DEPLOY = ᐴᖅᑲᖅᓯᒪᔪᑦ−ᐃᓕᔭᐅᔪᑦ + diff --git a/lib/make/i18n/mb_i18n_ja.mk b/lib/make/i18n/mb_i18n_ja.mk new file mode 100644 index 0000000..17f0d32 --- /dev/null +++ b/lib/make/i18n/mb_i18n_ja.mk @@ -0,0 +1,45 @@ + +MB_I18N_ASSERT_SUCCESS = アサート成功 +MB_I18N_AUTOEXEC_WRITE = 自動実行の書き込み +MB_I18N_CONV_UNIX2DOS = ディスクシステムの行末に変換 +MB_I18N_CONV_DOS2UNIX = メインフレームラインエンドに変換 +MB_I18N_PACKAGE_CREATE_ARCHIVE = ビルド配布アーカイブ +MB_I18N_MAKE_CHECK_ARG1 = 引数 一 がありません +MB_I18N_MAKE_CHECK_ARG2 = 引数 二 がありません +MB_I18N_MAKE_CHECK_ARG3 = 引数 三 がありません +MB_I18N_MAKE_CHECK_ARG4 = 引数 四 がありません +MB_I18N_MAKE_CHECK_ARG5 = 引数 五 がありません +MB_I18N_MSXHUB_FILE_FETCH = コンピューターハブファイルを取得する +MB_I18N_MSXROM_FILE_FETCH = 読み取り専用メモリファイルを取得する +MB_I18N_OPENMSX_STARTUP = オープンメッカシステムを開始 +MB_I18N_OS_CRAYON_TAG = ©Δ∞ 仙上主天 +MB_I18N_PROJ_STEP_BEFORE = フェーズ前 +MB_I18N_PROJ_STEP_AFTER = フェーズ後 +MB_I18N_PROJ_STEP_DONE = プライムパイターゲットの実行を終了しました +MB_I18N_PROJ_DIR_CREATE = フォルダーの作成 +MB_I18N_PROJ_DIR_DELETE = フォルダーの削除 +MB_I18N_SDCC_COMPILE = 標準マイクロコンパイラ +MB_I18N_SDCC_ARLIB = 標準マイクロビルドライブラリ +MB_I18N_SDCC_LINK = 標準マイクロリンクメッカ図書館 +MB_I18N_SDCC_OBJCOPY = 標準マイクロオブジェクトコピー +MB_I18N_PROJ_PHASEID_CLEAN = クリーン +MB_I18N_PROJ_PHASEDOC_CLEAN = プロジェクトのビルドフォルダーをクリーンアップします。 +MB_I18N_PROJ_PHASEID_INIT = 初期化する +MB_I18N_PROJ_PHASEDOC_INIT = モジュール出力フォルダーをセットアップして検証します。 +MB_I18N_PROJ_PHASEID_PROCESS = プロセス +MB_I18N_PROJ_PHASEDOC_PROCESS = コンパイル前にソースを処理します。 +MB_I18N_PROJ_PHASEID_COMPILE = コンパイル +MB_I18N_PROJ_PHASEDOC_COMPILE = すべてのプロジェクト ソースをコンパイルします。 +MB_I18N_PROJ_PHASEID_LINK = リンク +MB_I18N_PROJ_PHASEDOC_LINK = すべてのプロジェクト中間ファイルをリンクします。 +MB_I18N_PROJ_PHASEID_BUILD = 建てる +MB_I18N_PROJ_PHASEDOC_BUILD = すべてのプロジェクト成果物をビルドします。 +MB_I18N_PROJ_PHASEID_TEST = テスト +MB_I18N_PROJ_PHASEDOC_TEST = すべてのアサーション テストを実行します。 +MB_I18N_PROJ_PHASEID_PACKAGE = パッケージ +MB_I18N_PROJ_PHASEDOC_PACKAGE = プロジェクトのパッケージを作成します。 +MB_I18N_PROJ_PHASEID_PACKAGE_QA = パッケージの品質保証 +MB_I18N_PROJ_PHASEDOC_PACKAGE_QA = すべてのパッケージを実行します。プロジェクトの品質保証。 +MB_I18N_PROJ_PHASEID_PACKAGE_DEPLOY = パッケージのデプロイ +MB_I18N_PROJ_PHASEDOC_PACKAGE_DEPLOY = パッケージをデプロイします。 + diff --git a/lib/make/mb_proj.mk b/lib/make/mb_proj.mk index 7f78711..bc8a536 100644 --- a/lib/make/mb_proj.mk +++ b/lib/make/mb_proj.mk @@ -214,6 +214,7 @@ endef define mb_proj_flow_setup $(MB__    )$(call mb_make_call,mb_make_check_arg1,mb_proj_flow_setup,$(1)) $(MB__    )$(eval $(call mb_make_call,__mb_proj_flow_setup,$(1))) +$(MB__    )$(if $(MB_I18N),$(if $(filter off,$(MB_I18N)),,$(call mb_make_call,_mb_proj_flow_setup_i18n))) endef $(call mb_make_call,mb_doc_function_flow,mb_proj_flow_setup,"Prints flow of abstract project build cycle.","") @@ -273,7 +274,7 @@ $(MB__    )$$(call mb_make_call,mb_make_flag_phony,@$$(MB_I18N_PROJ_PHAS .RECIPEPREFIX := $(MB__RECIPEPREFIX) endef -define mb_proj_flow_setup_i18n +define _mb_proj_flow_setup_i18n $(MB__    )$(call mb_make_call,mb_make_check_variable,MB_I18N_PROJ_PHASEID_CLEAN) $(MB__    )$(call mb_make_call,mb_make_check_variable,MB_I18N_PROJ_PHASEID_INIT) $(MB__    )$(call mb_make_call,mb_make_check_variable,MB_I18N_PROJ_PHASEID_PROCESS) @@ -286,5 +287,5 @@ $(MB__    )$(call mb_make_call,mb_make_check_variable,MB_I18N_PROJ_PHASE $(MB__    )$(call mb_make_call,mb_make_check_variable,MB_I18N_PROJ_PHASEID_PACKAGE_DEPLOY) $(MB__    )$(eval $(call mb_make_call,__mb_proj_flow_setup_i18n)) endef -$(call mb_make_call,mb_doc_function_flow,mb_proj_flow_setup_i18n,"Prints flow for i18n wrapper of project build cycle.") +#$(call mb_make_call,mb_doc_function_flow,_mb_proj_flow_setup_i18n,"Prints flow for i18n wrapper of project build cycle.") diff --git a/lib/make/msxbuild.mk b/lib/make/msxbuild.mk index 3c236eb..d5dd805 100644 --- a/lib/make/msxbuild.mk +++ b/lib/make/msxbuild.mk @@ -8,8 +8,6 @@ MB__RECIPEPREFIX := $(.RECIPEPREFIX) MB__BASEPATH := $(dir $(lastword $(MAKEFILE_LIST)))../.. MB__BASEPATH_REAL := $(if $(realpath $(MB__BASEPATH)),$(realpath $(MB__BASEPATH)),$(MB__BASEPATH)) -# BUG1 Unicode bug in make, read+parse ok but function call fails. -#include $(MB__BASEPATH)/lib/make/प्रणालीᐥᔆʸᔆᐪᓫᔿ/mb_doc.mk include $(MB__BASEPATH)/lib/make/प्रणाली/mb_doc.mk include $(MB__BASEPATH)/lib/make/प्रणाली/mb_make_call.mk @@ -28,7 +26,10 @@ include $(MB__BASEPATH)/lib/make/mb_flight.mk include $(MB__BASEPATH)/lib/make/mb_assert.mk include $(MB__BASEPATH)/lib/make/mb_tool.mk include $(MB__BASEPATH)/lib/make/mb_proj.mk -include $(MB__BASEPATH)/lib/make/mb_i18n.mk +include $(MB__BASEPATH)/lib/make/i18n/mb_i18n.mk +ifneq ("$(MB_I18N)", "off") +-include $(MB__BASEPATH)/lib/make/i18n/mb_i18n_$(MB_I18N).mk +endif $(call mb_make_call,mb_doc_variable_rock,MB__RECIPEPREFIX,"Recipe prefix to restore to after flow eval.") From bb31f0d18686db7a8302c8f88d154715cb0896fa Mon Sep 17 00:00:00 2001 From: Willem Date: Wed, 10 Jul 2024 20:24:02 +0200 Subject: [PATCH 170/274] Removed tabs from flow rules. --- Makefile | 9 ++-- lib/make/setup-cnspace.mk | 20 ++++++++ lib/make/setup-prolog.mk | 2 +- src/dist-qa-dos1/0module.mk | 31 ++++++------ src/dist-qa-dos2/0module.mk | 38 +++++++-------- src/dist-qa-msx1/0module.mk | 36 +++++++------- src/dist-qa-msxhub/0module.mk | 88 +++++++++++++++++------------------ src/dist/0module.mk | 18 +++---- src/make-on-msx/0module.mk | 48 +++++++++---------- src/mbboot80/0module.mk | 6 +-- 10 files changed, 157 insertions(+), 139 deletions(-) create mode 100644 lib/make/setup-cnspace.mk diff --git a/Makefile b/Makefile index ae84dc6..438bdbe 100644 --- a/Makefile +++ b/Makefile @@ -6,14 +6,13 @@ PATH_BIN := bin PATH_SRC := src +PATH_MKLOCAL ?= . PATH_MSXBUILD ?= . include $(PATH_MSXBUILD)/lib/make/setup-prolog.mk -include $(PATH_MSXBUILD)/lib/make/setup-verbose.mk include $(PATH_MSXBUILD)/lib/make/setup-debug.mk --include Makelocal.mk - -# TODO: Temp redo src mk files -.RECIPEPREFIX := +include $(PATH_MSXBUILD)/lib/make/setup-verbose.mk +include $(PATH_MSXBUILD)/lib/make/setup-cnspace.mk +-include $(PATH_MKLOCAL)/Makelocal.mk include $(PATH_MSXBUILD)/lib/make/msxbuild.mk $(call mb_make_call,mb_doc_flow_help,MSXBUILD-Example) $(call mb_make_call,mb_make_flow_0module,$(PATH_SRC)) diff --git a/lib/make/setup-cnspace.mk b/lib/make/setup-cnspace.mk new file mode 100644 index 0000000..a39ec98 --- /dev/null +++ b/lib/make/setup-cnspace.mk @@ -0,0 +1,20 @@ +# +# Latin1 white space and latin1 tab space are not usable in makefiles. +# + +# Setup private chinese white space +_XXX  := +_XXX   := +_XXX    := +_XXX     := +_XXX      := +_XXX       := +_XXX        := +_XXX         := +_XXX          := +_XXX           := +_XXX            := +_XXX             := +_XXX              := +_XXX               := + diff --git a/lib/make/setup-prolog.mk b/lib/make/setup-prolog.mk index 6b2da00..2e341a8 100644 --- a/lib/make/setup-prolog.mk +++ b/lib/make/setup-prolog.mk @@ -5,7 +5,7 @@ MAKEFLAGS += --no-builtin-rules MAKEFLAGS += --no-builtin-variables MAKEFLAGS += --warn-undefined-variables .DELETE_ON_ERROR := -.RECIPEPREFIX := > +.RECIPEPREFIX := _ .SUFFIXES := .PHONY := Makefile .ONESHELL := diff --git a/src/dist-qa-dos1/0module.mk b/src/dist-qa-dos1/0module.mk index d557d80..546da34 100644 --- a/src/dist-qa-dos1/0module.mk +++ b/src/dist-qa-dos1/0module.mk @@ -3,30 +3,29 @@ DIST_QA_DOS1_SRC := $(call mb_make_call,mb_proj_module_path_src,$(PATH_SRC)) DIST_QA_DOS1_BIN := $(call mb_make_call,mb_proj_module_path_bin,$(PATH_SRC),$(PATH_BIN)) DIST_QA_DOS1_DEPS := $(call mb_make_call,mb_proj_module_local_deps,$(DIST_QA_DOS1_BIN),$(DIST_DEPS)) - $(DIST_QA_DOS1_BIN): | @init - $(if $(wildcard $(DIST_QA_DOS1_BIN)),,$(call mb_make_call,mb_os_dir_create,$(DIST_QA_DOS1_BIN))) - $(call mb_make_call,mb_msxhub_get_msxdos1_boot,$(DIST_QA_DOS1_BIN)) +_$(_XXX    )$(if $(wildcard $(DIST_QA_DOS1_BIN)),,$(call mb_make_call,mb_os_dir_create,$(DIST_QA_DOS1_BIN))) +_$(_XXX    )$(call mb_make_call,mb_msxhub_get_msxdos1_boot,$(DIST_QA_DOS1_BIN)) $(DIST_QA_DOS1_BIN)/%: $(DIST_BIN)/% $(DIST_DEPS) | $(DIST_QA_DOS1_BIN) - $(call mb_make_call,mb_os_file_copy,$<,$@) +_$(_XXX    )$(call mb_make_call,mb_os_file_copy,$<,$@) $(DIST_QA_DOS1_BIN)/@run: $(DIST_QA_DOS1_DEPS) - $(call mb_make_call,mb_msxpipe_run_gui,$(DIST_QA_DOS1_BIN)) -$(call mb_make_call,mb_doc_target_run,$(DIST_QA_DOS1_BIN)/@run,"Run binaries on msxdos1 machine.") -$(call mb_make_call,mb_make_flag_phony,$(DIST_QA_DOS1_BIN)/@run) +_$(_XXX    )$(call mb_make_call,mb_msxpipe_run_gui,$(DIST_QA_DOS1_BIN)) +$(_XXX    )$(call mb_make_call,mb_doc_target_run,$(DIST_QA_DOS1_BIN)/@run,"Run binaries on msxdos1 machine.") +$(_XXX    )$(call mb_make_call,mb_make_flag_phony,$(DIST_QA_DOS1_BIN)/@run) $(DIST_QA_DOS1_BIN)/@assert: $(DIST_QA_DOS1_DEPS) - $(call mb_make_call,mb_autoexec_write_default,$(DIST_QA_DOS1_BIN)) - $(call mb_make_call,mb_autoexec_append_safe_test,$(DIST_QA_DOS1_BIN),ahello1) - $(call mb_make_call,mb_autoexec_append_safe_test,$(DIST_QA_DOS1_BIN),ahello2) - $(call mb_make_call,mb_autoexec_append_exit,$(DIST_QA_DOS1_BIN)) - $(call mb_make_call,mb_openmsx_dosctl,$(DIST_QA_DOS1_BIN)) - $(call mb_make_call,mb_os_echo_assert,$(MB_I18N_ASSERT_SUCCESS) $(DIST_QA_DOS1_BIN)/@assert) -$(call mb_make_call,mb_doc_target,$(DIST_QA_DOS1_BIN)/@assert,"Assert binaries on msxdos1.") -$(call mb_make_call,mb_proj_grow_deps_phase_package_qa,$(DIST_QA_DOS1_BIN)/@assert) -$(call mb_make_call,mb_make_flag_phony,$(DIST_QA_DOS1_BIN)/@assert) +_$(_XXX    )$(call mb_make_call,mb_autoexec_write_default,$(DIST_QA_DOS1_BIN)) +_$(_XXX    )$(call mb_make_call,mb_autoexec_append_safe_test,$(DIST_QA_DOS1_BIN),ahello1) +_$(_XXX    )$(call mb_make_call,mb_autoexec_append_safe_test,$(DIST_QA_DOS1_BIN),ahello2) +_$(_XXX    )$(call mb_make_call,mb_autoexec_append_exit,$(DIST_QA_DOS1_BIN)) +_$(_XXX    )$(call mb_make_call,mb_openmsx_dosctl,$(DIST_QA_DOS1_BIN)) +_$(_XXX    )$(call mb_make_call,mb_os_echo_assert,$(MB_I18N_ASSERT_SUCCESS) $(DIST_QA_DOS1_BIN)/@assert) +$(_XXX    )$(call mb_make_call,mb_doc_target,$(DIST_QA_DOS1_BIN)/@assert,"Assert binaries on msxdos1.") +$(_XXX    )$(call mb_make_call,mb_proj_grow_deps_phase_package_qa,$(DIST_QA_DOS1_BIN)/@assert) +$(_XXX    )$(call mb_make_call,mb_make_flag_phony,$(DIST_QA_DOS1_BIN)/@assert) diff --git a/src/dist-qa-dos2/0module.mk b/src/dist-qa-dos2/0module.mk index 60eb643..b144e09 100644 --- a/src/dist-qa-dos2/0module.mk +++ b/src/dist-qa-dos2/0module.mk @@ -5,32 +5,32 @@ DIST_QA_DOS2_DEPS := $(call mb_make_call,mb_proj_module_local_deps,$(DIST_QA_ $(DIST_QA_DOS2_BIN): | @init - $(if $(wildcard $(DIST_QA_DOS2_BIN)),,$(call mb_make_call,mb_os_dir_create,$(DIST_QA_DOS2_BIN))) - $(call mb_make_call,mb_msxhub_get_msxdos2_boot,$(DIST_QA_DOS2_BIN)) +_$(_XXX    )$(if $(wildcard $(DIST_QA_DOS2_BIN)),,$(call mb_make_call,mb_os_dir_create,$(DIST_QA_DOS2_BIN))) +_$(_XXX    )$(call mb_make_call,mb_msxhub_get_msxdos2_boot,$(DIST_QA_DOS2_BIN)) $(DIST_QA_DOS2_BIN)/%: $(DIST_BIN)/% $(DIST_DEPS) | $(DIST_QA_DOS2_BIN) - $(call mb_make_call,mb_os_file_copy,$<,$@) +_$(_XXX    )$(call mb_make_call,mb_os_file_copy,$<,$@) $(DIST_QA_DOS2_BIN)/@run: | $(DIST_QA_DOS2_DEPS) - $(call mb_make_call,mb_msxpipe_run_gui,$(DIST_QA_DOS2_BIN)) -$(call mb_make_call,mb_doc_target_run,$(DIST_QA_DOS2_BIN)/@run,"Run binaries on msxdos2 machine.") -$(call mb_make_call,mb_make_flag_phony,$(DIST_QA_DOS2_BIN)/@run) +_$(_XXX    )$(call mb_make_call,mb_msxpipe_run_gui,$(DIST_QA_DOS2_BIN)) +$(_XXX    )$(call mb_make_call,mb_doc_target_run,$(DIST_QA_DOS2_BIN)/@run,"Run binaries on msxdos2 machine.") +$(_XXX    )$(call mb_make_call,mb_make_flag_phony,$(DIST_QA_DOS2_BIN)/@run) $(DIST_QA_DOS2_BIN)/@assert: | $(DIST_QA_DOS2_DEPS) - $(call mb_make_call,mb_os_file_delete,$(DIST_QA_DOS2_BIN)/ahello1.out) - $(call mb_make_call,mb_os_file_delete,$(DIST_QA_DOS2_BIN)/ahello2.out) - $(call mb_make_call,mb_autoexec_write_default,$(DIST_QA_DOS2_BIN)) - $(call mb_make_call,mb_autoexec_append_safe_test,$(DIST_QA_DOS2_BIN),ahello1 > ahello1.out) - $(call mb_make_call,mb_autoexec_append_safe_test,$(DIST_QA_DOS2_BIN),ahello2 > ahello2.out) - $(call mb_make_call,mb_autoexec_append_exit,$(DIST_QA_DOS2_BIN)) - $(call mb_make_call,mb_openmsx_dosctl,$(DIST_QA_DOS2_BIN)) - grep -q "M80: Hello world..." $(DIST_QA_DOS2_BIN)/ahello1.out - grep -q "SDCC: Hello world..." $(DIST_QA_DOS2_BIN)/ahello2.out - $(call mb_make_call,mb_os_echo_assert,$(MB_I18N_ASSERT_SUCCESS) $(DIST_QA_DOS2_BIN)/@assert) -$(call mb_make_call,mb_doc_target,$(DIST_QA_DOS2_BIN)/@assert,"Assert binaries on msxdos2.") -$(call mb_make_call,mb_proj_grow_deps_phase_package_qa,$(DIST_QA_DOS2_BIN)/@assert) -$(call mb_make_call,mb_make_flag_phony,$(DIST_QA_DOS2_BIN)/@assert) +_$(_XXX    )$(call mb_make_call,mb_os_file_delete,$(DIST_QA_DOS2_BIN)/ahello1.out) +_$(_XXX    )$(call mb_make_call,mb_os_file_delete,$(DIST_QA_DOS2_BIN)/ahello2.out) +_$(_XXX    )$(call mb_make_call,mb_autoexec_write_default,$(DIST_QA_DOS2_BIN)) +_$(_XXX    )$(call mb_make_call,mb_autoexec_append_safe_test,$(DIST_QA_DOS2_BIN),ahello1 > ahello1.out) +_$(_XXX    )$(call mb_make_call,mb_autoexec_append_safe_test,$(DIST_QA_DOS2_BIN),ahello2 > ahello2.out) +_$(_XXX    )$(call mb_make_call,mb_autoexec_append_exit,$(DIST_QA_DOS2_BIN)) +_$(_XXX    )$(call mb_make_call,mb_openmsx_dosctl,$(DIST_QA_DOS2_BIN)) +_$(_XXX    )grep -q "M80: Hello world..." $(DIST_QA_DOS2_BIN)/ahello1.out +_$(_XXX    )grep -q "SDCC: Hello world..." $(DIST_QA_DOS2_BIN)/ahello2.out +_$(_XXX    )$(call mb_make_call,mb_os_echo_assert,$(MB_I18N_ASSERT_SUCCESS) $(DIST_QA_DOS2_BIN)/@assert) +$(_XXX    )$(call mb_make_call,mb_doc_target,$(DIST_QA_DOS2_BIN)/@assert,"Assert binaries on msxdos2.") +$(_XXX    )$(call mb_make_call,mb_proj_grow_deps_phase_package_qa,$(DIST_QA_DOS2_BIN)/@assert) +$(_XXX    )$(call mb_make_call,mb_make_flag_phony,$(DIST_QA_DOS2_BIN)/@assert) diff --git a/src/dist-qa-msx1/0module.mk b/src/dist-qa-msx1/0module.mk index d7840db..c753aaa 100644 --- a/src/dist-qa-msx1/0module.mk +++ b/src/dist-qa-msx1/0module.mk @@ -8,31 +8,31 @@ $(call mb_make_call,mb_doc_variable,DIST_QA_MSX1_MACHINE,"The machine to run the $(DIST_QA_MSX1_BIN): | @init - $(if $(wildcard $(DIST_QA_MSX1_BIN)),,$(call mb_make_call,mb_os_dir_create,$(DIST_QA_MSX1_BIN))) +_$(_XXX    )$(if $(wildcard $(DIST_QA_MSX1_BIN)),,$(call mb_make_call,mb_os_dir_create,$(DIST_QA_MSX1_BIN))) $(DIST_QA_MSX1_BIN)/%: $(DIST_BIN)/% $(DIST_DEPS) | $(DIST_QA_MSX1_BIN) - $(call mb_make_call,mb_os_file_copy,$<,$@) +_$(_XXX    )$(call mb_make_call,mb_os_file_copy,$<,$@) $(DIST_QA_MSX1_BIN)/@run: | $(DIST_QA_MSX1_DEPS) - $(call mb_make_call,mb_msxpipe_run_gui,$(DIST_QA_MSX1_BIN),,$(DIST_QA_MSX1_MACHINE)) -$(call mb_make_call,mb_doc_target_run,$(DIST_QA_MSX1_BIN)/@run,"Run binaries on MSX1 machine.") -$(call mb_make_call,mb_make_flag_phony,$(DIST_QA_MSX1_BIN)/@run) +_$(_XXX    )$(call mb_make_call,mb_msxpipe_run_gui,$(DIST_QA_MSX1_BIN),,$(DIST_QA_MSX1_MACHINE)) +$(_XXX    )$(call mb_make_call,mb_doc_target_run,$(DIST_QA_MSX1_BIN)/@run,"Run binaries on MSX1 machine.") +$(_XXX    )$(call mb_make_call,mb_make_flag_phony,$(DIST_QA_MSX1_BIN)/@run) $(DIST_QA_MSX1_BIN)/@assert: | $(DIST_QA_MSX1_DEPS) - $(call mb_make_call,mb_os_file_delete,$(DIST_QA_MSX1_BIN)/ahello1.out) - $(call mb_make_call,mb_os_file_delete,$(DIST_QA_MSX1_BIN)/ahello2.out) - $(call mb_make_call,mb_autoexec_write_default,$(DIST_QA_MSX1_BIN),40) - $(call mb_make_call,mb_autoexec_append_safe_test,$(DIST_QA_MSX1_BIN),ahello1 > ahello1.out,33) - $(call mb_make_call,mb_autoexec_append_safe_test,$(DIST_QA_MSX1_BIN),ahello2 > ahello2.out,66,99) - $(call mb_make_call,mb_autoexec_append_exit,$(DIST_QA_MSX1_BIN)) - $(call mb_make_call,mb_openmsx_dosctl,$(DIST_QA_MSX1_BIN),$(DIST_QA_MSX1_MACHINE)) - grep -q "M80: Hello world..." $(DIST_QA_MSX1_BIN)/ahello1.out - grep -q "SDCC: Hello world..." $(DIST_QA_MSX1_BIN)/ahello2.out - $(call mb_make_call,mb_os_echo_assert,$(MB_I18N_ASSERT_SUCCESS) $(DIST_QA_MSX1_BIN)/@assert) -$(call mb_make_call,mb_doc_target,$(DIST_QA_MSX1_BIN)/@assert,"Assert binaries on MSX1 machine.") -$(call mb_make_call,mb_proj_grow_deps_phase_package_qa,$(DIST_QA_MSX1_BIN)/@assert) -$(call mb_make_call,mb_make_flag_phony,$(DIST_QA_MSX1_BIN)/@assert) +_$(_XXX    )$(call mb_make_call,mb_os_file_delete,$(DIST_QA_MSX1_BIN)/ahello1.out) +_$(_XXX    )$(call mb_make_call,mb_os_file_delete,$(DIST_QA_MSX1_BIN)/ahello2.out) +_$(_XXX    )$(call mb_make_call,mb_autoexec_write_default,$(DIST_QA_MSX1_BIN),40) +_$(_XXX    )$(call mb_make_call,mb_autoexec_append_safe_test,$(DIST_QA_MSX1_BIN),ahello1 > ahello1.out,33) +_$(_XXX    )$(call mb_make_call,mb_autoexec_append_safe_test,$(DIST_QA_MSX1_BIN),ahello2 > ahello2.out,66,99) +_$(_XXX    )$(call mb_make_call,mb_autoexec_append_exit,$(DIST_QA_MSX1_BIN)) +_$(_XXX    )$(call mb_make_call,mb_openmsx_dosctl,$(DIST_QA_MSX1_BIN),$(DIST_QA_MSX1_MACHINE)) +_$(_XXX    )grep -q "M80: Hello world..." $(DIST_QA_MSX1_BIN)/ahello1.out +_$(_XXX    )grep -q "SDCC: Hello world..." $(DIST_QA_MSX1_BIN)/ahello2.out +_$(_XXX    )$(call mb_make_call,mb_os_echo_assert,$(MB_I18N_ASSERT_SUCCESS) $(DIST_QA_MSX1_BIN)/@assert) +$(_XXX    )$(call mb_make_call,mb_doc_target,$(DIST_QA_MSX1_BIN)/@assert,"Assert binaries on MSX1 machine.") +$(_XXX    )$(call mb_make_call,mb_proj_grow_deps_phase_package_qa,$(DIST_QA_MSX1_BIN)/@assert) +$(_XXX    )$(call mb_make_call,mb_make_flag_phony,$(DIST_QA_MSX1_BIN)/@assert) diff --git a/src/dist-qa-msxhub/0module.mk b/src/dist-qa-msxhub/0module.mk index d4628d9..31e00c0 100644 --- a/src/dist-qa-msxhub/0module.mk +++ b/src/dist-qa-msxhub/0module.mk @@ -8,57 +8,57 @@ $(call mb_make_call,mb_doc_variable,DIST_QA_MSXHUB_MACHINE,"The machine to run t $(DIST_QA_MSXHUB_BIN): | @init - $(if $(wildcard $(DIST_QA_MSXHUB_BIN)),,$(call mb_make_call,mb_os_dir_create,$(DIST_QA_MSXHUB_BIN))) - $(if $(wildcard $(DIST_QA_MSXHUB_BIN)/utils),,$(call mb_make_call,mb_os_dir_create,$(DIST_QA_MSXHUB_BIN)/utils)) - $(call mb_make_call,mb_msxhub_get_msxdos2_utils,$(DIST_QA_MSXHUB_BIN)/utils) - $(call mb_make_call,mb_msxhub_get_nextor_utils,$(DIST_QA_MSXHUB_BIN)/utils) - $(call mb_make_call,mb_msxhub_get_macro80,$(DIST_QA_MSXHUB_BIN)/utils) - $(call mb_make_call,mb_msxhub_get_z80asmuk,$(DIST_QA_MSXHUB_BIN)/utils) - $(call mb_make_call,mb_msxhub_get_wbass2,$(DIST_QA_MSXHUB_BIN)/utils) - $(call mb_make_call,mb_msxhub_get_konpass,$(DIST_QA_MSXHUB_BIN)/utils) - $(call mb_make_call,mb_msxhub_get_pmarc,$(DIST_QA_MSXHUB_BIN)/utils) - $(call mb_make_call,mb_msxhub_get_lhpack,$(DIST_QA_MSXHUB_BIN)/utils) - $(call mb_make_call,mb_msxhub_get_lhext,$(DIST_QA_MSXHUB_BIN)/utils) - $(call mb_make_call,mb_msxhub_get_gunzip,$(DIST_QA_MSXHUB_BIN)/utils) - $(call mb_make_call,mb_msxhub_get_tunzip,$(DIST_QA_MSXHUB_BIN)/utils) - $(call mb_make_call,mb_msxhub_get_popcom,$(DIST_QA_MSXHUB_BIN)/utils) - $(call mb_make_call,mb_msxhub_get_make,$(DIST_QA_MSXHUB_BIN)/utils) - $(call mb_make_call,mb_msxhub_get_adir,$(DIST_QA_MSXHUB_BIN)/utils) - $(call mb_make_call,mb_msxhub_get_turbo,$(DIST_QA_MSXHUB_BIN)/utils) - $(call mb_make_call,mb_msxhub_get_baskom,$(DIST_QA_MSXHUB_BIN)/utils) - $(call mb_make_call,mb_msxhub_get_binldr,$(DIST_QA_MSXHUB_BIN)/utils) - $(call mb_make_call,mb_msxhub_get_dmphex,$(DIST_QA_MSXHUB_BIN)/utils) - $(call mb_make_call,mb_msxhub_get_zd,$(DIST_QA_MSXHUB_BIN)/utils) - $(call mb_make_call,mb_msxhub_get_msxdos2t,$(DIST_QA_MSXHUB_BIN)/utils) - $(call mb_make_call,mb_msxhub_get_gfxage,$(DIST_QA_MSXHUB_BIN)/utils) +_$(_XXX    )$(if $(wildcard $(DIST_QA_MSXHUB_BIN)),,$(call mb_make_call,mb_os_dir_create,$(DIST_QA_MSXHUB_BIN))) +_$(_XXX    )$(if $(wildcard $(DIST_QA_MSXHUB_BIN)/utils),,$(call mb_make_call,mb_os_dir_create,$(DIST_QA_MSXHUB_BIN)/utils)) +_$(_XXX    )$(call mb_make_call,mb_msxhub_get_msxdos2_utils,$(DIST_QA_MSXHUB_BIN)/utils) +_$(_XXX    )$(call mb_make_call,mb_msxhub_get_nextor_utils,$(DIST_QA_MSXHUB_BIN)/utils) +_$(_XXX    )$(call mb_make_call,mb_msxhub_get_macro80,$(DIST_QA_MSXHUB_BIN)/utils) +_$(_XXX    )$(call mb_make_call,mb_msxhub_get_z80asmuk,$(DIST_QA_MSXHUB_BIN)/utils) +_$(_XXX    )$(call mb_make_call,mb_msxhub_get_wbass2,$(DIST_QA_MSXHUB_BIN)/utils) +_$(_XXX    )$(call mb_make_call,mb_msxhub_get_konpass,$(DIST_QA_MSXHUB_BIN)/utils) +_$(_XXX    )$(call mb_make_call,mb_msxhub_get_pmarc,$(DIST_QA_MSXHUB_BIN)/utils) +_$(_XXX    )$(call mb_make_call,mb_msxhub_get_lhpack,$(DIST_QA_MSXHUB_BIN)/utils) +_$(_XXX    )$(call mb_make_call,mb_msxhub_get_lhext,$(DIST_QA_MSXHUB_BIN)/utils) +_$(_XXX    )$(call mb_make_call,mb_msxhub_get_gunzip,$(DIST_QA_MSXHUB_BIN)/utils) +_$(_XXX    )$(call mb_make_call,mb_msxhub_get_tunzip,$(DIST_QA_MSXHUB_BIN)/utils) +_$(_XXX    )$(call mb_make_call,mb_msxhub_get_popcom,$(DIST_QA_MSXHUB_BIN)/utils) +_$(_XXX    )$(call mb_make_call,mb_msxhub_get_make,$(DIST_QA_MSXHUB_BIN)/utils) +_$(_XXX    )$(call mb_make_call,mb_msxhub_get_adir,$(DIST_QA_MSXHUB_BIN)/utils) +_$(_XXX    )$(call mb_make_call,mb_msxhub_get_turbo,$(DIST_QA_MSXHUB_BIN)/utils) +_$(_XXX    )$(call mb_make_call,mb_msxhub_get_baskom,$(DIST_QA_MSXHUB_BIN)/utils) +_$(_XXX    )$(call mb_make_call,mb_msxhub_get_binldr,$(DIST_QA_MSXHUB_BIN)/utils) +_$(_XXX    )$(call mb_make_call,mb_msxhub_get_dmphex,$(DIST_QA_MSXHUB_BIN)/utils) +_$(_XXX    )$(call mb_make_call,mb_msxhub_get_zd,$(DIST_QA_MSXHUB_BIN)/utils) +_$(_XXX    )$(call mb_make_call,mb_msxhub_get_msxdos2t,$(DIST_QA_MSXHUB_BIN)/utils) +_$(_XXX    )$(call mb_make_call,mb_msxhub_get_gfxage,$(DIST_QA_MSXHUB_BIN)/utils) $(DIST_QA_MSXHUB_BIN)/%: $(DIST_BIN)/% $(DIST_DEPS) | $(DIST_QA_MSXHUB_BIN) - $(call mb_make_call,mb_os_file_copy,$<,$@) +_$(_XXX    )$(call mb_make_call,mb_os_file_copy,$<,$@) $(DIST_QA_MSXHUB_BIN)/@run: | $(DIST_QA_MSXHUB_DEPS) - $(call mb_make_call,mb_msxpipe_run_gui,$(DIST_QA_MSXHUB_BIN),,$(DIST_QA_MSXHUB_MACHINE)) -$(call mb_make_call,mb_doc_target_run,$(DIST_QA_MSXHUB_BIN)/@run,"Run the dist with all msxhub packages.") -$(call mb_make_call,mb_make_flag_phony,$(DIST_QA_MSXHUB_BIN)/@run) +_$(_XXX    )$(call mb_make_call,mb_msxpipe_run_gui,$(DIST_QA_MSXHUB_BIN),,$(DIST_QA_MSXHUB_MACHINE)) +$(_XXX    )$(call mb_make_call,mb_doc_target_run,$(DIST_QA_MSXHUB_BIN)/@run,"Run the dist with all msxhub packages.") +$(_XXX    )$(call mb_make_call,mb_make_flag_phony,$(DIST_QA_MSXHUB_BIN)/@run) $(DIST_QA_MSXHUB_BIN)/@assert: | $(DIST_QA_MSXHUB_DEPS) - $(call mb_make_call,mb_os_file_delete,$(DIST_QA_MSXHUB_BIN)/ahello1.out) - $(call mb_make_call,mb_os_file_delete,$(DIST_QA_MSXHUB_BIN)/ahello2.out) - $(call mb_make_call,mb_os_file_delete,$(DIST_QA_MSXHUB_BIN)/utils.out) - $(call mb_make_call,mb_autoexec_write_default,$(DIST_QA_MSXHUB_BIN)) - $(call mb_make_call,mb_autoexec_append_safe_test,$(DIST_QA_MSXHUB_BIN),ahello1 > ahello1.out) - $(call mb_make_call,mb_autoexec_append_safe_test,$(DIST_QA_MSXHUB_BIN),ahello2 > ahello2.out) - $(call mb_make_call,mb_autoexec_append_safe_test,$(DIST_QA_MSXHUB_BIN),dir utils > utils.out) - $(call mb_make_call,mb_autoexec_append_exit,$(DIST_QA_MSXHUB_BIN)) - $(call mb_make_call,mb_openmsx_dosctl,$(DIST_QA_MSXHUB_BIN),$(DIST_QA_MSXHUB_MACHINE)) - grep -q "M80: Hello world..." $(DIST_QA_MSXHUB_BIN)/ahello1.out - grep -q "SDCC: Hello world..." $(DIST_QA_MSXHUB_BIN)/ahello2.out - grep -q "KONPASS COM" $(DIST_QA_MSXHUB_BIN)/utils.out - grep -q "MAKE COM" $(DIST_QA_MSXHUB_BIN)/utils.out - $(call mb_make_call,mb_os_echo_assert,$(MB_I18N_ASSERT_SUCCESS) $(DIST_QA_MSXHUB_BIN)/@assert) -$(call mb_make_call,mb_doc_target,$(DIST_QA_MSXHUB_BIN)/@assert,"Asserts that all dist artifacts execute results.") -$(call mb_make_call,mb_proj_grow_deps_phase_package_qa,$(DIST_QA_MSXHUB_BIN)/@assert) -$(call mb_make_call,mb_make_flag_phony,$(DIST_QA_MSXHUB_BIN)/@assert) +_$(_XXX    )$(call mb_make_call,mb_os_file_delete,$(DIST_QA_MSXHUB_BIN)/ahello1.out) +_$(_XXX    )$(call mb_make_call,mb_os_file_delete,$(DIST_QA_MSXHUB_BIN)/ahello2.out) +_$(_XXX    )$(call mb_make_call,mb_os_file_delete,$(DIST_QA_MSXHUB_BIN)/utils.out) +_$(_XXX    )$(call mb_make_call,mb_autoexec_write_default,$(DIST_QA_MSXHUB_BIN)) +_$(_XXX    )$(call mb_make_call,mb_autoexec_append_safe_test,$(DIST_QA_MSXHUB_BIN),ahello1 > ahello1.out) +_$(_XXX    )$(call mb_make_call,mb_autoexec_append_safe_test,$(DIST_QA_MSXHUB_BIN),ahello2 > ahello2.out) +_$(_XXX    )$(call mb_make_call,mb_autoexec_append_safe_test,$(DIST_QA_MSXHUB_BIN),dir utils > utils.out) +_$(_XXX    )$(call mb_make_call,mb_autoexec_append_exit,$(DIST_QA_MSXHUB_BIN)) +_$(_XXX    )$(call mb_make_call,mb_openmsx_dosctl,$(DIST_QA_MSXHUB_BIN),$(DIST_QA_MSXHUB_MACHINE)) +_$(_XXX    )grep -q "M80: Hello world..." $(DIST_QA_MSXHUB_BIN)/ahello1.out +_$(_XXX    )grep -q "SDCC: Hello world..." $(DIST_QA_MSXHUB_BIN)/ahello2.out +_$(_XXX    )grep -q "KONPASS COM" $(DIST_QA_MSXHUB_BIN)/utils.out +_$(_XXX    )grep -q "MAKE COM" $(DIST_QA_MSXHUB_BIN)/utils.out +_$(_XXX    )$(call mb_make_call,mb_os_echo_assert,$(MB_I18N_ASSERT_SUCCESS) $(DIST_QA_MSXHUB_BIN)/@assert) +$(_XXX    )$(call mb_make_call,mb_doc_target,$(DIST_QA_MSXHUB_BIN)/@assert,"Asserts that all dist artifacts execute results.") +$(_XXX    )$(call mb_make_call,mb_proj_grow_deps_phase_package_qa,$(DIST_QA_MSXHUB_BIN)/@assert) +$(_XXX    )$(call mb_make_call,mb_make_flag_phony,$(DIST_QA_MSXHUB_BIN)/@assert) diff --git a/src/dist/0module.mk b/src/dist/0module.mk index 2503ce7..65e749d 100644 --- a/src/dist/0module.mk +++ b/src/dist/0module.mk @@ -6,24 +6,24 @@ DIST_OUT := $(PATH_BIN)/$(DIST_MOD).tar.gz DIST_DEPS := $(DIST_BIN)/readme.txt $(DIST_BIN)/ahello1.com $(DIST_BIN)/ahello2.com $(DIST_BIN): | @init - $(if $(wildcard $(DIST_BIN)),,$(call mb_make_call,mb_os_dir_create,$(DIST_BIN))) +_$(_XXX    )$(if $(wildcard $(DIST_BIN)),,$(call mb_make_call,mb_os_dir_create,$(DIST_BIN))) $(DIST_BIN)/readme.txt: $(DIST_SRC)/readme.txt | $(DIST_BIN) - $(call mb_make_call,mb_conv_unix2dos,$<,$@) +_$(_XXX    )$(call mb_make_call,mb_conv_unix2dos,$<,$@) $(call mb_make_call,mb_proj_grow_deps_phase_process,$(DIST_BIN)/readme.txt) $(DIST_BIN)/ahello1.com: bin/ahello-m80/ahello.com | $(DIST_BIN) - $(call mb_make_call,mb_os_file_copy,$<,$@) +_$(_XXX    )$(call mb_make_call,mb_os_file_copy,$<,$@) $(DIST_BIN)/ahello2.com: bin/ahello-sdcc/ahello.com | $(DIST_BIN) - $(call mb_make_call,mb_os_file_copy,$<,$@) +_$(_XXX    )$(call mb_make_call,mb_os_file_copy,$<,$@) $(DIST_BIN)/@prepare: | $(DIST_DEPS) -$(call mb_make_call,mb_doc_target,$(DIST_BIN)/@prepare,"Prepare folder with binaries for distribution.") -$(call mb_make_call,mb_make_flag_phony,$(DIST_BIN)/@prepare) +$(_XXX    )$(call mb_make_call,mb_doc_target,$(DIST_BIN)/@prepare,"Prepare folder with binaries for distribution.") +$(_XXX    )$(call mb_make_call,mb_make_flag_phony,$(DIST_BIN)/@prepare) $(DIST_OUT): | $(DIST_BIN)/@prepare - $(call mb_make_call,mb_package_create_archive,$(DIST_BIN),$@) -$(call mb_make_call,mb_doc_target,$(DIST_OUT),"Build archive distribution artifact.") -$(call mb_make_call,mb_proj_grow_deps_phase_package,$(DIST_OUT)) +_$(_XXX    )$(call mb_make_call,mb_package_create_archive,$(DIST_BIN),$@) +$(_XXX    )$(call mb_make_call,mb_doc_target,$(DIST_OUT),"Build archive distribution artifact.") +$(_XXX    )$(call mb_make_call,mb_proj_grow_deps_phase_package,$(DIST_OUT)) diff --git a/src/make-on-msx/0module.mk b/src/make-on-msx/0module.mk index e11c025..86305b6 100644 --- a/src/make-on-msx/0module.mk +++ b/src/make-on-msx/0module.mk @@ -4,48 +4,48 @@ MAKE_ON_MSX_BIN := $(call mb_make_call,mb_proj_module_path_bin,$(PATH_SRC),$(P $(MAKE_ON_MSX_BIN): | @init - $(if $(wildcard $(MAKE_ON_MSX_BIN)),,$(call mb_make_call,mb_os_dir_create,$(MAKE_ON_MSX_BIN))) - $(if $(wildcard $(MAKE_ON_MSX_BIN)/utils),,$(call mb_make_call,mb_os_dir_create,$(MAKE_ON_MSX_BIN)/utils)) - $(call mb_make_call,mb_msxhub_get_make,$(MAKE_ON_MSX_BIN)/utils) - $(call mb_make_call,mb_msxhub_get_macro80,$(MAKE_ON_MSX_BIN)/utils) - $(call mb_make_call,mb_msxhub_get_z80asmuk,$(MAKE_ON_MSX_BIN)/utils) -$(call mb_make_call,mb_doc_target_deep,$(MAKE_ON_MSX_BIN)) -$(call mb_make_call,mb_make_flag_phony,$(MAKE_ON_MSX_BIN)) +_$(_XXX    )$(if $(wildcard $(MAKE_ON_MSX_BIN)),,$(call mb_make_call,mb_os_dir_create,$(MAKE_ON_MSX_BIN))) +_$(_XXX    )$(if $(wildcard $(MAKE_ON_MSX_BIN)/utils),,$(call mb_make_call,mb_os_dir_create,$(MAKE_ON_MSX_BIN)/utils)) +_$(_XXX    )$(call mb_make_call,mb_msxhub_get_make,$(MAKE_ON_MSX_BIN)/utils) +_$(_XXX    )$(call mb_make_call,mb_msxhub_get_macro80,$(MAKE_ON_MSX_BIN)/utils) +_$(_XXX    )$(call mb_make_call,mb_msxhub_get_z80asmuk,$(MAKE_ON_MSX_BIN)/utils) +$(_XXX    )$(call mb_make_call,mb_doc_target_deep,$(MAKE_ON_MSX_BIN)) +$(_XXX    )$(call mb_make_call,mb_make_flag_phony,$(MAKE_ON_MSX_BIN)) $(MAKE_ON_MSX_BIN)/nfbsshot.mac: $(MAKE_ON_MSX_SRC)/nfbsshot.mac | $(MAKE_ON_MSX_BIN) - $(call mb_make_call,mb_conv_unix2dos,$<,$@) -$(call mb_make_call,mb_doc_target_deep,$(MAKE_ON_MSX_BIN)/nfbsshot.mac) -$(call mb_make_call,mb_proj_grow_deps_phase_process,$(MAKE_ON_MSX_BIN)/nfbsshot.mac) +_$(_XXX    )$(call mb_make_call,mb_conv_unix2dos,$<,$@) +$(_XXX    )$(call mb_make_call,mb_doc_target_deep,$(MAKE_ON_MSX_BIN)/nfbsshot.mac) +$(_XXX    )$(call mb_make_call,mb_proj_grow_deps_phase_process,$(MAKE_ON_MSX_BIN)/nfbsshot.mac) $(MAKE_ON_MSX_BIN)/nfbsshot.mf: $(MAKE_ON_MSX_SRC)/nfbsshot.mf $(MAKE_ON_MSX_BIN)/nfbsshot.mac - $(call mb_make_call,mb_conv_unix2dos,$<,$@) -$(call mb_make_call,mb_doc_target_deep,$(MAKE_ON_MSX_BIN)/nfbsshot.mf) -$(call mb_make_call,mb_proj_grow_deps_phase_process,$(MAKE_ON_MSX_BIN)/nfbsshot.mf) +_$(_XXX    )$(call mb_make_call,mb_conv_unix2dos,$<,$@) +$(_XXX    )$(call mb_make_call,mb_doc_target_deep,$(MAKE_ON_MSX_BIN)/nfbsshot.mf) +$(_XXX    )$(call mb_make_call,mb_proj_grow_deps_phase_process,$(MAKE_ON_MSX_BIN)/nfbsshot.mf) $(MAKE_ON_MSX_BIN)/build.bat: $(MAKE_ON_MSX_SRC)/build.bat $(MAKE_ON_MSX_BIN)/nfbsshot.mf - $(call mb_make_call,mb_conv_unix2dos,$<,$@) -$(call mb_make_call,mb_doc_target_deep,$(MAKE_ON_MSX_BIN)/build.bat) -$(call mb_make_call,mb_proj_grow_deps_phase_process,$(MAKE_ON_MSX_BIN)/build.bat) +_$(_XXX    )$(call mb_make_call,mb_conv_unix2dos,$<,$@) +$(_XXX    )$(call mb_make_call,mb_doc_target_deep,$(MAKE_ON_MSX_BIN)/build.bat) +$(_XXX    )$(call mb_make_call,mb_proj_grow_deps_phase_process,$(MAKE_ON_MSX_BIN)/build.bat) $(MAKE_ON_MSX_BIN)/nfbsshot.bin: $(MAKE_ON_MSX_BIN)/build.bat - $(call mb_make_call,mb_msxpipe_safe_cmd,$(MAKE_ON_MSX_BIN),build shutdown) -$(call mb_make_call,mb_doc_target_deep,$(MAKE_ON_MSX_BIN)/nfbsshot.bin) +_$(_XXX    )$(call mb_make_call,mb_msxpipe_safe_cmd,$(MAKE_ON_MSX_BIN),build shutdown) +$(_XXX    )$(call mb_make_call,mb_doc_target_deep,$(MAKE_ON_MSX_BIN)/nfbsshot.bin) $(MAKE_ON_MSX_BIN)/@build: $(MAKE_ON_MSX_BIN)/nfbsshot.bin -$(call mb_make_call,mb_doc_target,$(MAKE_ON_MSX_BIN)/@build,"Compiles binary with make on msx.") -$(call mb_make_call,mb_proj_grow_deps_phase_build,$(MAKE_ON_MSX_BIN)/@build) -$(call mb_make_call,mb_make_flag_phony,$(MAKE_ON_MSX_BIN)/@build) +$(_XXX    )$(call mb_make_call,mb_doc_target,$(MAKE_ON_MSX_BIN)/@build,"Compiles binary with make on msx.") +$(_XXX    )$(call mb_make_call,mb_proj_grow_deps_phase_build,$(MAKE_ON_MSX_BIN)/@build) +$(_XXX    )$(call mb_make_call,mb_make_flag_phony,$(MAKE_ON_MSX_BIN)/@build) $(MAKE_ON_MSX_BIN)/@run: $(MAKE_ON_MSX_BIN)/@build - $(call mb_make_call,mb_msxpipe_run_gui,$(MAKE_ON_MSX_BIN)) -$(call mb_make_call,mb_doc_target_run,$(MAKE_ON_MSX_BIN)/@run,"Run the make build manually.") -$(call mb_make_call,mb_make_flag_phony,$(MAKE_ON_MSX_BIN)/@run) +_$(_XXX    )$(call mb_make_call,mb_msxpipe_run_gui,$(MAKE_ON_MSX_BIN)) +$(_XXX    )$(call mb_make_call,mb_doc_target_run,$(MAKE_ON_MSX_BIN)/@run,"Run the make build manually.") +$(_XXX    )$(call mb_make_call,mb_make_flag_phony,$(MAKE_ON_MSX_BIN)/@run) $(call mb_make_call,mb_assert_flow_grep_binary,$(MAKE_ON_MSX_BIN),check-bin,nfbsshot.bin,screenshot) #$(MAKE_ON_MSX_BIN)/@assert-check-bin: $(MAKE_ON_MSX_BIN)/@build diff --git a/src/mbboot80/0module.mk b/src/mbboot80/0module.mk index c1d29b4..7828cfd 100644 --- a/src/mbboot80/0module.mk +++ b/src/mbboot80/0module.mk @@ -8,7 +8,7 @@ $(call mb_make_call,mb_assert_flow_bdos_grep,$(MBBOOT80_BIN),check-boot80,mbboot $(MBBOOT80_BIN)/@release-local: | $(MBBOOT80_BIN)/@build - $(call mb_make_call,mb_os_file_copy,$(MBBOOT80_BIN)/mbboot80.com,lib/emuctl/mbboot80.com) -$(call mb_make_call,mb_doc_target,$(MBBOOT80_BIN)/@release-local,"Updates binary copy in lib/emuctl folder.") -$(call mb_make_call,mb_make_flag_phony,$(MBBOOT80_BIN)/@release-local) +_$(_XXX    )$(call mb_make_call,mb_os_file_copy,$(MBBOOT80_BIN)/mbboot80.com,lib/emuctl/mbboot80.com) +$(_XXX    )$(call mb_make_call,mb_doc_target,$(MBBOOT80_BIN)/@release-local,"Updates binary copy in lib/emuctl folder.") +$(_XXX    )$(call mb_make_call,mb_make_flag_phony,$(MBBOOT80_BIN)/@release-local) From 3d2443dc46cdd45ca5c0b123837c27dd4057b744 Mon Sep 17 00:00:00 2001 From: Willem Date: Wed, 10 Jul 2024 20:48:32 +0200 Subject: [PATCH 171/274] Moved openMSX and SDCC to default search path by default. --- lib/make/ᐊᑐᕐᓗᒍ/mb_sdcc.mk | 17 +++++++++++------ lib/make/麥加系統擴大/mb_openmsx.mk | 11 ++++++++--- 2 files changed, 19 insertions(+), 9 deletions(-) diff --git a/lib/make/ᐊᑐᕐᓗᒍ/mb_sdcc.mk b/lib/make/ᐊᑐᕐᓗᒍ/mb_sdcc.mk index 5871fb5..fbe2dcb 100644 --- a/lib/make/ᐊᑐᕐᓗᒍ/mb_sdcc.mk +++ b/lib/make/ᐊᑐᕐᓗᒍ/mb_sdcc.mk @@ -1,6 +1,6 @@ -MB_SDCC_PATH ?= /usr/bin -$(call mb_make_call,mb_doc_variable,MB_SDCC_PATH,"Path where SDCC binaries are located.") +MB_SDCC_PATH ?= +$(call mb_make_call,mb_doc_variable,MB_SDCC_PATH,"Optional path where SDCC binaries are located.") MB_SDCC_FLAG_CPU ?= -mz80 $(call mb_make_call,mb_doc_variable_deep,MB_SDCC_FLAG_CPU,"The SDCC cpu target option.") @@ -23,23 +23,28 @@ $(call mb_make_call,mb_doc_variable_deep,MB_SDCC_AR_FLAGS,"The SDCC module archi #-l$(LIBASM_LINK) +define _mb_sdcc_path +$(MB__    )$(if $(MB_SDCC_PATH),$(MB_SDCC_PATH)/$(1),$(1)) +endef + + define mb_sdcc_compile_asm $(MB__    )$(call mb_make_call,mb_os_echo_command,$(MB_I18N_SDCC_COMPILE) $(2) - ASM) -$(MB__    )$(MB_SDCC_PATH)/sdasz80 $(MB_SDCC_ASZ80_FLAGS) $(2) $(1) +$(MB__    )$(call mb_make_call,_mb_sdcc_path,sdasz80) $(MB_SDCC_ASZ80_FLAGS) $(2) $(1) endef $(call mb_make_call,mb_doc_function,mb_sdcc_compile_asm,"Compiles asm source code."," ") define mb_sdcc_arlib_asm $(MB__    )$(call mb_make_call,mb_os_echo_command,$(MB_I18N_SDCC_ARLIB) $(2)) -$(MB__    )$(MB_SDCC_PATH)/sdar $(MB_SDCC_AR_FLAGS) $(1) $(2) +$(MB__    )$(call mb_make_call,_mb_sdcc_path,sdar) $(MB_SDCC_AR_FLAGS) $(1) $(2) endef $(call mb_make_call,mb_doc_function,mb_sdcc_arlib_asm,"Link asm lib module."," ") define mb_sdcc_link_asm $(MB__    )$(call mb_make_call,mb_os_echo_command,$(MB_I18N_SDCC_LINK) $(2) - ASM @ $(3)) -$(MB__    )$(MB_SDCC_PATH)/sdcc $(MB_SDCC_FLAG_CPU) $(MB_SDCC_CC_FLAGS) --no-std-crt0 --code-loc $(3) -o $(2) $(1) +$(MB__    )$(call mb_make_call,_mb_sdcc_path,sdcc) $(MB_SDCC_FLAG_CPU) $(MB_SDCC_CC_FLAGS) --no-std-crt0 --code-loc $(3) -o $(2) $(1) endef $(call mb_make_call,mb_doc_function,mb_sdcc_link_asm,"Links asm to custom code location."," ") @@ -88,7 +93,7 @@ $(call mb_make_call,mb_doc_function,mb_sdcc_link_asm_bdos,"Links asm to BDOS."," define mb_sdcc_objcopy $(MB__    )$(call mb_make_call,mb_os_echo_command,$(MB_I18N_SDCC_OBJCOPY) $(2)) -$(MB__    )$(MB_SDCC_PATH)/sdobjcopy -I ihex --output-target$(MB_MAKE_EQUALS)binary $(1) $(2) +$(MB__    )$(call mb_make_call,_mb_sdcc_path,sdobjcopy) -I ihex --output-target$(MB_MAKE_EQUALS)binary $(1) $(2) endef $(call mb_make_call,mb_doc_function,mb_sdcc_objcopy,"Converts an hex file to binary."," ") diff --git a/lib/make/麥加系統擴大/mb_openmsx.mk b/lib/make/麥加系統擴大/mb_openmsx.mk index 02fc85b..ffca6d0 100644 --- a/lib/make/麥加系統擴大/mb_openmsx.mk +++ b/lib/make/麥加系統擴大/mb_openmsx.mk @@ -1,6 +1,6 @@ -MB_OPENMSX_PATH ?= /usr/bin -$(call mb_make_call,mb_doc_variable,MB_OPENMSX_PATH,"Path where openMSX binary is located.") +MB_OPENMSX_PATH ?= +$(call mb_make_call,mb_doc_variable,MB_OPENMSX_PATH,"Optional path where openMSX binary is located.") MB_OPENMSX_BOOT_TIMEOUT ?= 25 $(call mb_make_call,mb_doc_variable,MB_OPENMSX_BOOT_TIMEOUT,"Timeout in seconds to guard for boot failures.") @@ -63,6 +63,11 @@ endef $(call mb_make_call,mb_doc_function_deep,mb_openmsx_setup,"Setup openMSX local build home folder for machine."," ") +define _mb_openmsx_path +$(MB__    )$(if $(MB_OPENMSX_PATH),$(MB_OPENMSX_PATH)/$(1),$(1)) +endef + + define _mb_openmsx_run $(MB__    )$(call mb_make_call,mb_os_echo_command,$(MB_I18N_OPENMSX_STARTUP) $@ - $(2)) $(MB__    )$(if $(wildcard $(1)-omsx/persistent/fire-hdd/untitled1/sync),,$(call mb_make_call,mb_os_dir_create,$(1)-omsx/persistent/fire-hdd/untitled1/sync)) @@ -80,7 +85,7 @@ $(MB__    )NF_PREFIX=$(MB_FLIGHT_PREFIX) \ $(MB__    )NF_SEPERATOR=$(MB_FLIGHT_SEPERATOR) \ $(MB__    )NF_RECORD_FLAG=$(MB_FLIGHT_RECORD_FLAG) \ $(MB__    )OPENMSX_HOME="$(1)-omsx" \ -$(MB__    )$(MB_OPENMSX_PATH)/openmsx \ +$(MB__    )$(call mb_make_call,_mb_openmsx_path,openmsx) \ $(MB__        )-machine $(2) \ $(MB__        )-ext slotexpander \ $(MB__        )-ext fire-hdd \ From 6a58bfd9c58915b8c16450ea9022a50ea133867a Mon Sep 17 00:00:00 2001 From: Willem Date: Wed, 10 Jul 2024 20:49:04 +0200 Subject: [PATCH 172/274] Left over comment. --- lib/make/ᐊᑐᕐᓗᒍ/mb_os.mk | 1 - 1 file changed, 1 deletion(-) diff --git a/lib/make/ᐊᑐᕐᓗᒍ/mb_os.mk b/lib/make/ᐊᑐᕐᓗᒍ/mb_os.mk index 6b302e2..42ca236 100644 --- a/lib/make/ᐊᑐᕐᓗᒍ/mb_os.mk +++ b/lib/make/ᐊᑐᕐᓗᒍ/mb_os.mk @@ -1,5 +1,4 @@ -# OS cmds MB_OS_RM ?= rm -f $(call mb_make_call,mb_doc_variable_rock,MB_OS_RM,"Native OS delete command.") From 08b125d21d4d3ee9b41278631c41fa61add6f2b4 Mon Sep 17 00:00:00 2001 From: Willem Date: Wed, 10 Jul 2024 21:11:31 +0200 Subject: [PATCH 173/274] Tuned default colors to tone down a bit for hq video with dither. --- lib/make/麥加系統擴大/mb_autoexec.mk | 2 +- lib/make/麥加系統擴大/mb_msxpipe.mk | 6 +++--- 2 files changed, 4 insertions(+), 4 deletions(-) diff --git a/lib/make/麥加系統擴大/mb_autoexec.mk b/lib/make/麥加系統擴大/mb_autoexec.mk index 238ab79..40c33b8 100644 --- a/lib/make/麥加系統擴大/mb_autoexec.mk +++ b/lib/make/麥加系統擴大/mb_autoexec.mk @@ -41,7 +41,7 @@ $(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_SAFE_CMD_EXITCODE,"Safe command MB_AUTOEXEC_STARTUP_NOTE ?= $(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_STARTUP_NOTE,"When set this startup note message is shown.") -MB_AUTOEXEC_COLOR_FG ?= 151 +MB_AUTOEXEC_COLOR_FG ?= 141 $(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_COLOR_FG,"Default foreground color if none is given.") MB_AUTOEXEC_COLOR_BG ?= 000 diff --git a/lib/make/麥加系統擴大/mb_msxpipe.mk b/lib/make/麥加系統擴大/mb_msxpipe.mk index 9d4a476..67874cf 100644 --- a/lib/make/麥加系統擴大/mb_msxpipe.mk +++ b/lib/make/麥加系統擴大/mb_msxpipe.mk @@ -1,17 +1,17 @@ -MB_MSXPIPE_COLOR_FG_SAFE_CMD ?= 116 +MB_MSXPIPE_COLOR_FG_SAFE_CMD ?= 026 $(call mb_make_call,mb_doc_variable,MB_MSXPIPE_COLOR_FG_SAFE_CMD,"Foreground color of safe command session pipe.") MB_MSXPIPE_COLOR_BG_SAFE_CMD ?= 000 $(call mb_make_call,mb_doc_variable,MB_MSXPIPE_COLOR_BG_SAFE_CMD,"Background color of safe command session pipe.") -MB_MSXPIPE_COLOR_FG_SAFE_TEST ?= 511 +MB_MSXPIPE_COLOR_FG_SAFE_TEST ?= 421 $(call mb_make_call,mb_doc_variable,MB_MSXPIPE_COLOR_FG_SAFE_TEST,"Foreground color of safe test session pipe.") MB_MSXPIPE_COLOR_BG_SAFE_TEST ?= 000 $(call mb_make_call,mb_doc_variable,MB_MSXPIPE_COLOR_BG_SAFE_TEST,"Background color of safe test session pipe.") -MB_MSXPIPE_COLOR_FG_RUN_GUI ?= 151 +MB_MSXPIPE_COLOR_FG_RUN_GUI ?= 141 $(call mb_make_call,mb_doc_variable,MB_MSXPIPE_COLOR_FG_RUN_GUI,"Foreground color of run gui session pipe.") MB_MSXPIPE_COLOR_BG_RUN_GUI ?= 000 From 4ab7869b66a36cd46ae7ad2cf87ccfcd734175aa Mon Sep 17 00:00:00 2001 From: Willem Date: Wed, 10 Jul 2024 21:17:24 +0200 Subject: [PATCH 174/274] Blue screen flicker is not fixed. --- README.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/README.md b/README.md index 835afc8..29deafa 100644 --- a/README.md +++ b/README.md @@ -135,7 +135,7 @@ When you want to see whats happening do a debug run; * Remove msg or add ignore sram-fully or blank setting: SRAM file nms8250.cmos not found, assuming blank SRAM content. * Video record append mode + optional multiple previds to glue videos as one * SDL-NULL as window less renderer so that screenshot and/or video works headless -* (FIXED:rm-SDL)Video record remove blue start frame on start of recording +* Video record remove blue start frame on start of recording * (FIXED++) Allow for `set renderer none` in TCL or command argument for headless mode * (DONE) DocUpdate: Added optional exit code of exit command * DocUpdate: Add speed note because it is slow + add example "after quit {export hdd}" From 6c214434390e815a3932f3bdffa64e0f6a67593a Mon Sep 17 00:00:00 2001 From: Willem Date: Wed, 10 Jul 2024 21:30:52 +0200 Subject: [PATCH 175/274] Fixed fire hdd naming in openMSX. --- lib/openmsx/share/extensions/fire-hdd.xml | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/lib/openmsx/share/extensions/fire-hdd.xml b/lib/openmsx/share/extensions/fire-hdd.xml index 944782e..e21d788 100644 --- a/lib/openmsx/share/extensions/fire-hdd.xml +++ b/lib/openmsx/share/extensions/fire-hdd.xml @@ -2,8 +2,8 @@ - MSXBUILD Fire HDD - distributedrebirth.love + Fire HDD + MSXBUILD 2024 Sunrise IDE with lastest nextor. From f7a0e097b6421f46c085fdf0bfb77ac25092e7c6 Mon Sep 17 00:00:00 2001 From: Willem Date: Wed, 10 Jul 2024 22:35:14 +0200 Subject: [PATCH 176/274] Back to one prolog inc file. --- Makefile | 5 +- lib/make/msxbuild-prolog.mk | 176 ++++++++++++++++++++++++++++++++++++ lib/make/setup-cnspace.mk | 20 ---- lib/make/setup-debug.mk | 49 ---------- lib/make/setup-prolog.mk | 16 ---- lib/make/setup-verbose.mk | 82 ----------------- 6 files changed, 177 insertions(+), 171 deletions(-) create mode 100644 lib/make/msxbuild-prolog.mk delete mode 100644 lib/make/setup-cnspace.mk delete mode 100644 lib/make/setup-debug.mk delete mode 100644 lib/make/setup-prolog.mk delete mode 100644 lib/make/setup-verbose.mk diff --git a/Makefile b/Makefile index 438bdbe..8718218 100644 --- a/Makefile +++ b/Makefile @@ -8,11 +8,8 @@ PATH_BIN := bin PATH_SRC := src PATH_MKLOCAL ?= . PATH_MSXBUILD ?= . -include $(PATH_MSXBUILD)/lib/make/setup-prolog.mk -include $(PATH_MSXBUILD)/lib/make/setup-debug.mk -include $(PATH_MSXBUILD)/lib/make/setup-verbose.mk -include $(PATH_MSXBUILD)/lib/make/setup-cnspace.mk -include $(PATH_MKLOCAL)/Makelocal.mk +include $(PATH_MSXBUILD)/lib/make/msxbuild-prolog.mk include $(PATH_MSXBUILD)/lib/make/msxbuild.mk $(call mb_make_call,mb_doc_flow_help,MSXBUILD-Example) $(call mb_make_call,mb_make_flow_0module,$(PATH_SRC)) diff --git a/lib/make/msxbuild-prolog.mk b/lib/make/msxbuild-prolog.mk new file mode 100644 index 0000000..77ea4f4 --- /dev/null +++ b/lib/make/msxbuild-prolog.mk @@ -0,0 +1,176 @@ +# +# Mandatory prolog to included before msxbuild.mk +# + + +# +# Make make, make behave. +# +MAKEFLAGS += --no-builtin-rules +MAKEFLAGS += --no-builtin-variables +MAKEFLAGS += --warn-undefined-variables +.DELETE_ON_ERROR := +.RECIPEPREFIX := _ +.SUFFIXES := +.PHONY := Makefile +.ONESHELL := +.SHELLFLAGS := -e -u -o pipefail -c +SHELL := bash +ifeq ($(origin .RECIPEPREFIX), undefined) + $(error This version of make does not support dynamic white space brain fuck mode.) +endif +# === Below here is optional === + + +# +# Latin1 white space and latin1 tab space are not usable in makefiles. +# Setup private chinese white space +# +_XXX  := +_XXX   := +_XXX    := +_XXX     := +_XXX      := +_XXX       := +_XXX        := +_XXX         := +_XXX          := +_XXX           := +_XXX            := +_XXX             := +_XXX              := +_XXX               := +# TODO: Fix these white spaces will NOT work when put and the end of this file. + +# +# Configure verbose mode +# +ifdef VERBOSE + ifeq ("$(VERBOSE)", "off") + .SILENT: + endif +endif +ifdef うるさい + ifeq ("$(うるさい)", "ユニット") + .SILENT: + endif +endif +ifdef LUIDRUCHTIG + ifeq ("$(LUIDRUCHTIG)", "uit") + .SILENT: + endif +endif +ifdef VERBOSA + ifeq ("$(VERBOSA)", "traje") + .SILENT: + endif +endif +ifdef VERBOSO + ifeq ("$(VERBOSO)", "traje") + .SILENT: + endif +endif +ifdef VERBEUSE + ifeq ("$(VERBEUSE)", "dehors") + .SILENT: + endif +endif +ifdef VERBEUX + ifeq ("$(VERBEUX)", "dehors") + .SILENT: + endif +endif +ifdef шумный + ifeq ("$(шумный)", "вне") + .SILENT: + endif +endif +ifdef 吵雜 + ifeq ("$(吵雜)", "出去") + .SILENT: + endif +endif +ifdef वाचाल + ifeq ("$(वाचाल)", "बंद") + .SILENT: + endif +endif +ifdef ᕗᕉᑉᕉᔅ + ifeq ("$(ᕗᕉᑉᕉᔅ)", "ᖃᒥᓪᓗᒍ") + .SILENT: + endif +endif +ifndef VERBOSE + ifndef うるさい + ifndef LUIDRUCHTIG + ifndef VERBOSA + ifndef VERBOSO + ifndef VERBEUSE + ifndef VERBEUX + ifndef шумный + ifndef 吵雜 + ifndef वाचाल + ifndef ᕗᕉᑉᕉᔅ + .SILENT: + endif + endif + endif + endif + endif + endif + endif + endif + endif + endif +endif + + +# +# Configure debug mode +# +ifdef DEBUG + ifneq ("$(DEBUG)", "off") + MB_MAKE_CALL_DEBUG ?= $(DEBUG) + endif +endif +ifdef ONTBEESTEN + ifneq ("$(ONTBEESTEN)", "uit") + MB_MAKE_CALL_DEBUG ?= $(ONTBEESTEN) + endif +endif +ifdef デバッグ + ifneq ("$(デバッグ)", "ユニット") + MB_MAKE_CALL_DEBUG ?= $(デバッグ) + endif +endif +ifdef DEPURAR + ifneq ("$(DEPURAR)", "traje") + MB_MAKE_CALL_DEBUG ?= $(DEPURAR) + endif +endif +ifdef DÉBOGUER + ifneq ("$(DÉBOGUER)", "dehors") + MB_MAKE_CALL_DEBUG ?= $(DÉBOGUER) + endif +endif +ifdef отлаживать + ifneq ("$(отлаживать)", "вне") + MB_MAKE_CALL_DEBUG ?= $(отлаживать) + endif +endif +ifdef 偵錯 + ifneq ("$(偵錯)", "出去") + MB_MAKE_CALL_DEBUG ?= $(偵錯) + endif +endif +ifdef डिबग + ifneq ("$(डिबग)", "बंद") + MB_MAKE_CALL_DEBUG ?= $(डिबग) + endif +endif +ifdef ᐃᕿᒡᒐᖅᑐᖅ + ifneq ("$(ᐃᕿᒡᒐᖅᑐᖅ)", "ᖃᒥᓪᓗᒍ") + MB_MAKE_CALL_DEBUG ?= $(ᐃᕿᒡᒐᖅᑐᖅ) + endif +endif + diff --git a/lib/make/setup-cnspace.mk b/lib/make/setup-cnspace.mk deleted file mode 100644 index a39ec98..0000000 --- a/lib/make/setup-cnspace.mk +++ /dev/null @@ -1,20 +0,0 @@ -# -# Latin1 white space and latin1 tab space are not usable in makefiles. -# - -# Setup private chinese white space -_XXX  := -_XXX   := -_XXX    := -_XXX     := -_XXX      := -_XXX       := -_XXX        := -_XXX         := -_XXX          := -_XXX           := -_XXX            := -_XXX             := -_XXX              := -_XXX               := - diff --git a/lib/make/setup-debug.mk b/lib/make/setup-debug.mk deleted file mode 100644 index 3056435..0000000 --- a/lib/make/setup-debug.mk +++ /dev/null @@ -1,49 +0,0 @@ -# -# Configure debug mode -# -ifdef DEBUG - ifneq ("$(DEBUG)", "off") - MB_MAKE_CALL_DEBUG ?= $(DEBUG) - endif -endif -ifdef ONTBEESTEN - ifneq ("$(ONTBEESTEN)", "uit") - MB_MAKE_CALL_DEBUG ?= $(ONTBEESTEN) - endif -endif -ifdef デバッグ - ifneq ("$(デバッグ)", "ユニット") - MB_MAKE_CALL_DEBUG ?= $(デバッグ) - endif -endif -ifdef DEPURAR - ifneq ("$(DEPURAR)", "traje") - MB_MAKE_CALL_DEBUG ?= $(DEPURAR) - endif -endif -ifdef DÉBOGUER - ifneq ("$(DÉBOGUER)", "dehors") - MB_MAKE_CALL_DEBUG ?= $(DÉBOGUER) - endif -endif -ifdef отлаживать - ifneq ("$(отлаживать)", "вне") - MB_MAKE_CALL_DEBUG ?= $(отлаживать) - endif -endif -ifdef 偵錯 - ifneq ("$(偵錯)", "出去") - MB_MAKE_CALL_DEBUG ?= $(偵錯) - endif -endif -ifdef डिबग - ifneq ("$(डिबग)", "बंद") - MB_MAKE_CALL_DEBUG ?= $(डिबग) - endif -endif -ifdef ᐃᕿᒡᒐᖅᑐᖅ - ifneq ("$(ᐃᕿᒡᒐᖅᑐᖅ)", "ᖃᒥᓪᓗᒍ") - MB_MAKE_CALL_DEBUG ?= $(ᐃᕿᒡᒐᖅᑐᖅ) - endif -endif - diff --git a/lib/make/setup-prolog.mk b/lib/make/setup-prolog.mk deleted file mode 100644 index 2e341a8..0000000 --- a/lib/make/setup-prolog.mk +++ /dev/null @@ -1,16 +0,0 @@ -# -# Mandatory prolog to included as very first line. -# -MAKEFLAGS += --no-builtin-rules -MAKEFLAGS += --no-builtin-variables -MAKEFLAGS += --warn-undefined-variables -.DELETE_ON_ERROR := -.RECIPEPREFIX := _ -.SUFFIXES := -.PHONY := Makefile -.ONESHELL := -.SHELLFLAGS := -e -u -o pipefail -c -SHELL := bash -ifeq ($(origin .RECIPEPREFIX), undefined) - $(error This version of make does not support dynamic white space brain fuck mode.) -endif diff --git a/lib/make/setup-verbose.mk b/lib/make/setup-verbose.mk deleted file mode 100644 index f8b26bd..0000000 --- a/lib/make/setup-verbose.mk +++ /dev/null @@ -1,82 +0,0 @@ -# -# Configure verbose mode -# -ifdef VERBOSE - ifeq ("$(VERBOSE)", "off") - .SILENT: - endif -endif -ifdef うるさい - ifeq ("$(うるさい)", "ユニット") - .SILENT: - endif -endif -ifdef LUIDRUCHTIG - ifeq ("$(LUIDRUCHTIG)", "uit") - .SILENT: - endif -endif -ifdef VERBOSA - ifeq ("$(VERBOSA)", "traje") - .SILENT: - endif -endif -ifdef VERBOSO - ifeq ("$(VERBOSO)", "traje") - .SILENT: - endif -endif -ifdef VERBEUSE - ifeq ("$(VERBEUSE)", "dehors") - .SILENT: - endif -endif -ifdef VERBEUX - ifeq ("$(VERBEUX)", "dehors") - .SILENT: - endif -endif -ifdef шумный - ifeq ("$(шумный)", "вне") - .SILENT: - endif -endif -ifdef 吵雜 - ifeq ("$(吵雜)", "出去") - .SILENT: - endif -endif -ifdef वाचाल - ifeq ("$(वाचाल)", "बंद") - .SILENT: - endif -endif -ifdef ᕗᕉᑉᕉᔅ - ifeq ("$(ᕗᕉᑉᕉᔅ)", "ᖃᒥᓪᓗᒍ") - .SILENT: - endif -endif -ifndef VERBOSE - ifndef うるさい - ifndef LUIDRUCHTIG - ifndef VERBOSA - ifndef VERBOSO - ifndef VERBEUSE - ifndef VERBEUX - ifndef шумный - ifndef 吵雜 - ifndef वाचाल - ifndef ᕗᕉᑉᕉᔅ - .SILENT: - endif - endif - endif - endif - endif - endif - endif - endif - endif - endif -endif - From 32e0cc4d3d32d0fb9164486a923297f7a80fbb1e Mon Sep 17 00:00:00 2001 From: Willem Date: Wed, 10 Jul 2024 23:38:10 +0200 Subject: [PATCH 177/274] Added unsupported i18n language code error. --- lib/make/mb_assert.mk | 2 +- lib/make/msxbuild.mk | 3 ++- 2 files changed, 3 insertions(+), 2 deletions(-) diff --git a/lib/make/mb_assert.mk b/lib/make/mb_assert.mk index b948a32..61980d5 100644 --- a/lib/make/mb_assert.mk +++ b/lib/make/mb_assert.mk @@ -25,7 +25,7 @@ $(MB__RECIPE)$$(call mb_make_call,mb_os_dir_create,$(1)-test-$(2)) $(MB__RECIPE)$$(call mb_make_call,mb_os_file_copy,$(1)/$(3).com,$(1)-test-$(2)) $(MB__RECIPE)$$(call mb_make_call,mb_msxpipe_safe_test,$(1)-test-$(2),$(3) > $(3).out) $(MB__RECIPE)grep -q $(4) $(1)-test-$(2)/$(3).out -$(MB__RECIPE)$$(call mb_make_call,mb_os_echo_assert,$$(MB_I18N_ASSERT_SUCCESS) FOO $(1)-test-$(2)/@assert) +$(MB__RECIPE)$$(call mb_make_call,mb_os_echo_assert,$$(MB_I18N_ASSERT_SUCCESS) $(1)-test-$(2)/@assert) $(MB__    )$$(call mb_make_call,mb_doc_target,$(1)-test-$(2)/@assert,"Asserts that $(3) output matches.") $(MB__    )$$(call mb_make_call,mb_make_flag_phony,$(1)-test-$(2)/@assert) $(MB__    )$$(call mb_make_call,mb_proj_grow_deps_phase_test,$(1)-test-$(2)/@assert) diff --git a/lib/make/msxbuild.mk b/lib/make/msxbuild.mk index d5dd805..241de48 100644 --- a/lib/make/msxbuild.mk +++ b/lib/make/msxbuild.mk @@ -28,7 +28,8 @@ include $(MB__BASEPATH)/lib/make/mb_tool.mk include $(MB__BASEPATH)/lib/make/mb_proj.mk include $(MB__BASEPATH)/lib/make/i18n/mb_i18n.mk ifneq ("$(MB_I18N)", "off") --include $(MB__BASEPATH)/lib/make/i18n/mb_i18n_$(MB_I18N).mk +$(if $(wildcard $(MB__BASEPATH)/lib/make/i18n/mb_i18n_$(MB_I18N).mk),,$(error Unsupported i18n language code: $(MB_I18N))) +include $(MB__BASEPATH)/lib/make/i18n/mb_i18n_$(MB_I18N).mk endif From 2b4bb3e7ad31076aaf26c239907f3b296d76cd37 Mon Sep 17 00:00:00 2001 From: Willem Date: Wed, 10 Jul 2024 23:42:50 +0200 Subject: [PATCH 178/274] Aligned BASIC output. --- lib/make/i18n/mb_i18n_BASIC.mk | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) diff --git a/lib/make/i18n/mb_i18n_BASIC.mk b/lib/make/i18n/mb_i18n_BASIC.mk index f9dd460..bfb32de 100644 --- a/lib/make/i18n/mb_i18n_BASIC.mk +++ b/lib/make/i18n/mb_i18n_BASIC.mk @@ -1,6 +1,6 @@ -MB_I18N_ASSERT_SUCCESS = ASSERT OK: -MB_I18N_AUTOEXEC_WRITE = WRITE BOOT: +MB_I18N_ASSERT_SUCCESS = ASSERTED OKE: +MB_I18N_AUTOEXEC_WRITE = WRITE BOOTER: MB_I18N_CONV_UNIX2DOS = CONV UNIX2DOS: MB_I18N_CONV_DOS2UNIX = CONV DOS2UNIX: MB_I18N_PACKAGE_CREATE_ARCHIVE = BUILD ARCHIVE: @@ -15,7 +15,7 @@ MB_I18N_OPENMSX_STARTUP = BOOT OPENMSX: MB_I18N_OS_CRAYON_TAG = MSX⁴ MB_I18N_PROJ_STEP_BEFORE = BEFORE $(MB_MAKE_HASH)$(MB_MAKE_HASH) MB_I18N_PROJ_STEP_AFTER = AFTER $(MB_MAKE_HASH)$(MB_MAKE_HASH)$(MB_MAKE_HASH)$(MB_MAKE_HASH) -MB_I18N_PROJ_STEP_DONE = BASIC RUN COMPLEET: +MB_I18N_PROJ_STEP_DONE = BASIC RUN COMPLETED: MB_I18N_PROJ_DIR_CREATE = FOLDER CREATE: MB_I18N_PROJ_DIR_DELETE = FOLDER DELETE: MB_I18N_SDCC_COMPILE = SDCC COMPILE: From b92f956ac97c12e5e127caf476f0031c1f554cb6 Mon Sep 17 00:00:00 2001 From: Willem Date: Wed, 10 Jul 2024 23:55:34 +0200 Subject: [PATCH 179/274] Fixed empty language is oke. --- lib/make/msxbuild.mk | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/lib/make/msxbuild.mk b/lib/make/msxbuild.mk index 241de48..b2734a3 100644 --- a/lib/make/msxbuild.mk +++ b/lib/make/msxbuild.mk @@ -28,8 +28,8 @@ include $(MB__BASEPATH)/lib/make/mb_tool.mk include $(MB__BASEPATH)/lib/make/mb_proj.mk include $(MB__BASEPATH)/lib/make/i18n/mb_i18n.mk ifneq ("$(MB_I18N)", "off") -$(if $(wildcard $(MB__BASEPATH)/lib/make/i18n/mb_i18n_$(MB_I18N).mk),,$(error Unsupported i18n language code: $(MB_I18N))) -include $(MB__BASEPATH)/lib/make/i18n/mb_i18n_$(MB_I18N).mk +$(if $(MB_I18N),$(if $(wildcard $(MB__BASEPATH)/lib/make/i18n/mb_i18n_$(MB_I18N).mk),,$(error Unsupported i18n language code: $(MB_I18N)))) +$(if $(MB_I18N),$(eval include $(MB__BASEPATH)/lib/make/i18n/mb_i18n_$(MB_I18N).mk)) endif From d4fa903372942df5a4c04926473675ea24bbeb92 Mon Sep 17 00:00:00 2001 From: Willem Date: Wed, 10 Jul 2024 23:59:50 +0200 Subject: [PATCH 180/274] Run in BASIC i18n mode. --- .forgejo/workflows/run-test-asserts.yaml | 2 ++ 1 file changed, 2 insertions(+) diff --git a/.forgejo/workflows/run-test-asserts.yaml b/.forgejo/workflows/run-test-asserts.yaml index fad0668..3f3c10a 100644 --- a/.forgejo/workflows/run-test-asserts.yaml +++ b/.forgejo/workflows/run-test-asserts.yaml @@ -6,6 +6,8 @@ on: pull_request: env: VERBOSE: off + DEBUG: off + MB_I18N: BASIC MB_OPENMSX_STDOUT_IGNORE: on MB_OPENMSX_STDERR_IGNORE: on MB_AUTOEXEC_EMBED_USER: GITHUB_ACTOR From 823513abe4d0228bfa8467515296adc42c74d4e2 Mon Sep 17 00:00:00 2001 From: Willem Date: Thu, 11 Jul 2024 00:03:25 +0200 Subject: [PATCH 181/274] Reversed phase markers. --- lib/make/i18n/mb_i18n.mk | 4 ++-- lib/make/i18n/mb_i18n_BASIC.mk | 4 ++-- 2 files changed, 4 insertions(+), 4 deletions(-) diff --git a/lib/make/i18n/mb_i18n.mk b/lib/make/i18n/mb_i18n.mk index dc69ed8..b98dd1a 100644 --- a/lib/make/i18n/mb_i18n.mk +++ b/lib/make/i18n/mb_i18n.mk @@ -44,10 +44,10 @@ $(call mb_make_call,mb_doc_variable_i18n,MB_I18N_OPENMSX_STARTUP,"Message used o MB_I18N_OS_CRAYON_TAG ?= === $(call mb_make_call,mb_doc_variable_i18n,MB_I18N_OS_CRAYON_TAG,"Crayon tag colored prefix to a message.") -MB_I18N_PROJ_STEP_BEFORE ?= Before -- +MB_I18N_PROJ_STEP_BEFORE ?= -- Before $(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_STEP_BEFORE,"Prefix for begin phase message.") -MB_I18N_PROJ_STEP_AFTER ?= After ---- +MB_I18N_PROJ_STEP_AFTER ?= ---- After $(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_STEP_AFTER,"Prefix for after phase message.") MB_I18N_PROJ_STEP_DONE ?= Finished executing prime pi target diff --git a/lib/make/i18n/mb_i18n_BASIC.mk b/lib/make/i18n/mb_i18n_BASIC.mk index bfb32de..d5f71fb 100644 --- a/lib/make/i18n/mb_i18n_BASIC.mk +++ b/lib/make/i18n/mb_i18n_BASIC.mk @@ -13,8 +13,8 @@ MB_I18N_MSXHUB_FILE_FETCH = FETCH MSXHUB: MB_I18N_MSXROM_FILE_FETCH = FETCH MSXROM: MB_I18N_OPENMSX_STARTUP = BOOT OPENMSX: MB_I18N_OS_CRAYON_TAG = MSX⁴ -MB_I18N_PROJ_STEP_BEFORE = BEFORE $(MB_MAKE_HASH)$(MB_MAKE_HASH) -MB_I18N_PROJ_STEP_AFTER = AFTER $(MB_MAKE_HASH)$(MB_MAKE_HASH)$(MB_MAKE_HASH)$(MB_MAKE_HASH) +MB_I18N_PROJ_STEP_BEFORE = $(MB_MAKE_HASH)$(MB_MAKE_HASH) BEFORE +MB_I18N_PROJ_STEP_AFTER = $(MB_MAKE_HASH)$(MB_MAKE_HASH)$(MB_MAKE_HASH)$(MB_MAKE_HASH) AFTER MB_I18N_PROJ_STEP_DONE = BASIC RUN COMPLETED: MB_I18N_PROJ_DIR_CREATE = FOLDER CREATE: MB_I18N_PROJ_DIR_DELETE = FOLDER DELETE: From 74432311edf56ba75c5cf9e60a2894308bbe469a Mon Sep 17 00:00:00 2001 From: Willem Date: Thu, 11 Jul 2024 16:05:28 +0200 Subject: [PATCH 182/274] Renamed to remark of sub commands. --- lib/make/ᐊᑐᕐᓗᒍ/mb_os.mk | 2 +- lib/make/麥加系統擴大/mb_autoexec.mk | 2 +- 2 files changed, 2 insertions(+), 2 deletions(-) diff --git a/lib/make/ᐊᑐᕐᓗᒍ/mb_os.mk b/lib/make/ᐊᑐᕐᓗᒍ/mb_os.mk index 42ca236..ceb3ea5 100644 --- a/lib/make/ᐊᑐᕐᓗᒍ/mb_os.mk +++ b/lib/make/ᐊᑐᕐᓗᒍ/mb_os.mk @@ -102,7 +102,7 @@ endef $(call mb_make_call,mb_doc_function_deep,mb_os_echo_phase,"Echo's an message to stdout with 'magenta' crayon.","") -define mb_os_echo_alert +define mb_os_echo_remark $(MB__    )$(call mb_make_call,mb_os_crayon_tagged_echo,36,$(1)) endef $(call mb_make_call,mb_doc_function_deep,mb_os_echo_alert,"Echo's an message to stdout with 'cyan' crayon.","") diff --git a/lib/make/麥加系統擴大/mb_autoexec.mk b/lib/make/麥加系統擴大/mb_autoexec.mk index 40c33b8..77d6796 100644 --- a/lib/make/麥加系統擴大/mb_autoexec.mk +++ b/lib/make/麥加系統擴大/mb_autoexec.mk @@ -155,7 +155,7 @@ endef define mb_autoexec_write_default -$(MB__    )$(call mb_make_call,mb_os_echo_command,$(MB_I18N_AUTOEXEC_WRITE) $@) +$(MB__    )$(call mb_make_call,mb_os_echo_remark,$(MB_I18N_AUTOEXEC_WRITE) $@) $(MB__    )@echo -n "" > $(1)/autoexec.bat $(MB__    )$(if $(wildcard $(1)/utils),$(call mb_make_call,_mb_autoexec_write_preboot,$(1),utils\,$(2),$(3)),$(call mb_make_call,_mb_autoexec_write_preboot,$(1),,$(2),$(3))) $(MB__    )$(if $(filter on,$(MB_AUTOEXEC_SHOW_HOST)),$(call mb_make_call,mb_autoexec_append_cmd,$(1),omsxctl boot_exec_show_host)) From b67ba15457b861d90111f9904be51d78c8c1fc9c Mon Sep 17 00:00:00 2001 From: Willem Date: Fri, 12 Jul 2024 17:59:26 +0200 Subject: [PATCH 183/274] WIP moving all flow to namespace. --- Makefile | 30 ++- README.md | 6 + lib/make/i18n/mb_i18n.mk | 15 ++ lib/make/i18n/mb_i18n_BASIC.mk | 35 ++-- lib/make/i18n/mb_i18n_iu.mk | 3 + lib/make/i18n/mb_i18n_ja.mk | 8 +- lib/make/mb_assert.mk | 41 ---- lib/make/mb_flight.mk | 54 ++--- lib/make/mb_proj.mk | 291 --------------------------- lib/make/mb_tool.mk | 90 --------- lib/make/msxbuild-prolog.mk | 24 +-- lib/make/msxbuild.mk | 97 ++++++--- lib/make/प्रणाली/mb_doc.mk | 270 ++++++++++++------------- lib/make/प्रणाली/mb_make.mk | 70 +------ lib/make/प्रणाली/mb_make_call.mk | 4 +- lib/make/प्रणाली/mb_make_check.mk | 52 +++++ lib/make/प्रणाली/mb_make_xml.mk | 12 +- lib/make/प्रणाली/mb_xxx.mk | 19 ++ lib/make/ᐊᑐᕐᓗᒍ/mb_conv.mk | 12 +- lib/make/ᐊᑐᕐᓗᒍ/mb_os.mk | 40 ++-- lib/make/ᐊᑐᕐᓗᒍ/mb_package.mk | 4 +- lib/make/ᐊᑐᕐᓗᒍ/mb_sdcc.mk | 32 +-- lib/make/麥加系統擴大/mb_autoexec.mk | 88 ++++---- lib/make/麥加系統擴大/mb_msxhub.mk | 182 ++++++++--------- lib/make/麥加系統擴大/mb_msxpipe.mk | 36 ++-- lib/make/麥加系統擴大/mb_msxrom.mk | 112 +++++------ lib/make/麥加系統擴大/mb_openmsx.mk | 118 +++++------ lib/make/𓃬𓍄𓋹𓀭/mb_flow_0module.mk | 13 ++ lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk | 41 ++++ lib/make/𓃬𓍄𓋹𓀭/mb_flow_clone.mk | 41 ++++ lib/make/𓃬𓍄𓋹𓀭/mb_flow_m80.mk | 49 +++++ lib/make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk | 288 ++++++++++++++++++++++++++ lib/make/𓃬𓍄𓋹𓀭/mb_flow_sdcc.mk | 41 ++++ src/dist-qa-dos1/0module.mk | 34 +--- src/dist-qa-dos2/0module.mk | 43 ++-- src/dist-qa-msx1/0module.mk | 44 ++-- src/dist-qa-msxhub/0module.mk | 88 ++++---- src/dist/0module.mk | 20 +- src/make-on-msx/0module.mk | 48 ++--- src/mbboot80/0module.mk | 6 +- 40 files changed, 1296 insertions(+), 1205 deletions(-) delete mode 100644 lib/make/mb_assert.mk delete mode 100644 lib/make/mb_proj.mk delete mode 100644 lib/make/mb_tool.mk create mode 100644 lib/make/प्रणाली/mb_make_check.mk create mode 100644 lib/make/प्रणाली/mb_xxx.mk create mode 100644 lib/make/𓃬𓍄𓋹𓀭/mb_flow_0module.mk create mode 100644 lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk create mode 100644 lib/make/𓃬𓍄𓋹𓀭/mb_flow_clone.mk create mode 100644 lib/make/𓃬𓍄𓋹𓀭/mb_flow_m80.mk create mode 100644 lib/make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk create mode 100644 lib/make/𓃬𓍄𓋹𓀭/mb_flow_sdcc.mk diff --git a/Makefile b/Makefile index 8718218..14de65b 100644 --- a/Makefile +++ b/Makefile @@ -1,18 +1,28 @@ # -# Module based project makefile for msxbuild. +# Module based project makefile to self build msxbuild. # - -# Configure make,project,msxbuild - PATH_BIN := bin PATH_SRC := src -PATH_MKLOCAL ?= . PATH_MSXBUILD ?= . --include $(PATH_MKLOCAL)/Makelocal.mk +MB_PROJ_META_GROUP_ID ?= love.distributedrebirth.msx4.firemake.make4.msxbuild +MB_PROJ_META_ARTIFACT_ID ?= msxbuild +MB_PROJ_META_VERSION ?= 1.0.0 +MB_PROJ_META_NAME ?= MSXBUILD +MB_PROJ_META_DESCRIPTION ?= Utils for openMSX in build pipeline. +MB_PROJ_META_WEBSITE ?= https://code.distributedrebirth.love/arch-msx/msxbuild +.RECIPEPREFIX := _ +-include Makelocal.mk include $(PATH_MSXBUILD)/lib/make/msxbuild-prolog.mk include $(PATH_MSXBUILD)/lib/make/msxbuild.mk -$(call mb_make_call,mb_doc_flow_help,MSXBUILD-Example) -$(call mb_make_call,mb_make_flow_0module,$(PATH_SRC)) -$(call mb_make_call,mb_proj_flow_setup,$(PATH_BIN)) -$(call mb_make_call,mb_flight_proj_flow_video,$(PATH_BIN)) +$(call mb_make_call,mb_setup_default,$(PATH_BIN),$(PATH_SRC)) + +# Optional: make faster and setup graph root +.PHONY: Makefile +.PHONY: Makelocal.mk +.PHONY: $(PATH_MSXBUILD)/lib/make/msxbuild-prolog.mk +.PHONY: $(PATH_MSXBUILD)/lib/make/msxbuild.mk +Makefile: Makelocal.mk +Makefile: $(PATH_MSXBUILD)/lib/make/msxbuild-prolog.mk +Makefile: $(PATH_MSXBUILD)/lib/make/msxbuild.mk +@@include-root:: Makefile diff --git a/README.md b/README.md index 29deafa..203dd09 100644 --- a/README.md +++ b/README.md @@ -109,9 +109,15 @@ When you want to see whats happening do a debug run; manual test all packages on other MSX1 machine; make DIST_QA_MSXHUB_MACHINE=Philips_VG_8000 bin/dist-qa-msxhub/@run + + view the make graph of an target; + make -Bnd bin/dist-qa-msx1/@assert | make2graph | dot -Tpng -o /tmp/out.png;open /tmp/out.png + ## Errata +* Make: @@include-mods will not get chained, it doesn't list ANY dep anymore +* Make: @@include-tree will not get phony, (and thus @@include-root not on top) * boot_exec_setcolor: does not work on MSX1 vdp TMS9918 * Doesn't work on windows * TODO: mbboot80+add arg prefix and move to other repro + msxhub package diff --git a/lib/make/i18n/mb_i18n.mk b/lib/make/i18n/mb_i18n.mk index b98dd1a..c8c59fa 100644 --- a/lib/make/i18n/mb_i18n.mk +++ b/lib/make/i18n/mb_i18n.mk @@ -59,6 +59,9 @@ $(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_DIR_CREATE,"Message for cr MB_I18N_PROJ_DIR_DELETE ?= Removing folder $(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_DIR_DELETE,"Message for deleting a folder.") +MB_I18N_FLOW_CLONE_REPORT ?= Clone troopers +$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_FLOW_CLONE_REPORT,"Message for total amount of cloned files.") + MB_I18N_SDCC_COMPILE ?= SDCC Compile super $(call mb_make_call,mb_doc_variable_i18n,MB_I18N_SDCC_COMPILE,"Message for SDCC compiler run.") @@ -83,6 +86,12 @@ $(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_PHASEID_INIT,"Local comman MB_I18N_PROJ_PHASEDOC_INIT ?= $(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_PHASEDOC_INIT,"Local documentation of init target.") +MB_I18N_PROJ_PHASEID_PREPARE ?= +$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_PHASEID_PREPARE,"Local command of prepare target.") + +MB_I18N_PROJ_PHASEDOC_PREPARE ?= +$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_PHASEDOC_PREPARE,"Local documentation of prepare target.") + MB_I18N_PROJ_PHASEID_PROCESS ?= $(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_PHASEID_PROCESS,"Local command of proces target.") @@ -131,3 +140,9 @@ $(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_PHASEID_PACKAGE_DEPLOY,"Lo MB_I18N_PROJ_PHASEDOC_PACKAGE_DEPLOY ?= $(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_PHASEDOC_PACKAGE_DEPLOY,"Local documentation of package-deploy target.") +MB_I18N_PROJ_PHASEID_ALL ?= +$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_PHASEID_ALL,"Local command of all target.") + +MB_I18N_PROJ_PHASEDOC_ALL ?= +$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_PHASEDOC_ALL,"Local documentation of all target.") + diff --git a/lib/make/i18n/mb_i18n_BASIC.mk b/lib/make/i18n/mb_i18n_BASIC.mk index d5f71fb..5806efe 100644 --- a/lib/make/i18n/mb_i18n_BASIC.mk +++ b/lib/make/i18n/mb_i18n_BASIC.mk @@ -1,6 +1,6 @@ -MB_I18N_ASSERT_SUCCESS = ASSERTED OKE: -MB_I18N_AUTOEXEC_WRITE = WRITE BOOTER: +MB_I18N_ASSERT_SUCCESS = ASSERTED DONE: +MB_I18N_AUTOEXEC_WRITE = WRITE BOOSTER: MB_I18N_CONV_UNIX2DOS = CONV UNIX2DOS: MB_I18N_CONV_DOS2UNIX = CONV DOS2UNIX: MB_I18N_PACKAGE_CREATE_ARCHIVE = BUILD ARCHIVE: @@ -11,35 +11,40 @@ MB_I18N_MAKE_CHECK_ARG4 = ARG 4 FAIL MB_I18N_MAKE_CHECK_ARG5 = ARG 5 FAIL MB_I18N_MSXHUB_FILE_FETCH = FETCH MSXHUB: MB_I18N_MSXROM_FILE_FETCH = FETCH MSXROM: -MB_I18N_OPENMSX_STARTUP = BOOT OPENMSX: +MB_I18N_OPENMSX_STARTUP = BOOT! OPENMSX: MB_I18N_OS_CRAYON_TAG = MSX⁴ MB_I18N_PROJ_STEP_BEFORE = $(MB_MAKE_HASH)$(MB_MAKE_HASH) BEFORE MB_I18N_PROJ_STEP_AFTER = $(MB_MAKE_HASH)$(MB_MAKE_HASH)$(MB_MAKE_HASH)$(MB_MAKE_HASH) AFTER MB_I18N_PROJ_STEP_DONE = BASIC RUN COMPLETED: MB_I18N_PROJ_DIR_CREATE = FOLDER CREATE: MB_I18N_PROJ_DIR_DELETE = FOLDER DELETE: -MB_I18N_SDCC_COMPILE = SDCC COMPILE: -MB_I18N_SDCC_ARLIB = SDCC ARLIB: -MB_I18N_SDCC_LINK = SDCC LINK: -MB_I18N_SDCC_OBJCOPY = SDCC OBJCOPY: +MB_I18N_FLOW_CLONE_REPORT = GEM⁴ TROOPERS: +MB_I18N_SDCC_COMPILE = ATARI COMPILE: +MB_I18N_SDCC_ARLIB = ATARI ARLIB: +MB_I18N_SDCC_LINK = ATARI LINK []: +MB_I18N_SDCC_OBJCOPY = ATARI OBJCOPY: MB_I18N_PROJ_PHASEID_CLEAN = CLEAN -MB_I18N_PROJ_PHASEDOC_CLEAN = DELETE STUFF +MB_I18N_PROJ_PHASEDOC_CLEAN = DELETE SMURFS MB_I18N_PROJ_PHASEID_INIT = INIT -MB_I18N_PROJ_PHASEDOC_INIT = INIT CAKE +MB_I18N_PROJ_PHASEDOC_INIT = INIT PLASTIC +MB_I18N_PROJ_PHASEID_PREPARE = PREPARE +MB_I18N_PROJ_PHASEDOC_PREPARE = PREPARE PLASTIC MB_I18N_PROJ_PHASEID_PROCESS = PROCESS -MB_I18N_PROJ_PHASEDOC_PROCESS = PROCESS FOOD +MB_I18N_PROJ_PHASEDOC_PROCESS = PROCESS MOLD PART MB_I18N_PROJ_PHASEID_COMPILE = COMPILE -MB_I18N_PROJ_PHASEDOC_COMPILE = COMPILE FOOD +MB_I18N_PROJ_PHASEDOC_COMPILE = COMPILE MOLD PART MB_I18N_PROJ_PHASEID_LINK = LINK -MB_I18N_PROJ_PHASEDOC_LINK = LINK FOOD CHAIN +MB_I18N_PROJ_PHASEDOC_LINK = LINK PART LEGO MB_I18N_PROJ_PHASEID_BUILD = BUILD MB_I18N_PROJ_PHASEDOC_BUILD = BUILD LEGO MB_I18N_PROJ_PHASEID_TEST = TEST MB_I18N_PROJ_PHASEDOC_TEST = TEST LEGO MB_I18N_PROJ_PHASEID_PACKAGE = PACKAGE -MB_I18N_PROJ_PHASEDOC_PACKAGE = PACKAGE LEGO +MB_I18N_PROJ_PHASEDOC_PACKAGE = PACKAGE LEGO BOX MB_I18N_PROJ_PHASEID_PACKAGE_QA = PACKAGE_QA -MB_I18N_PROJ_PHASEDOC_PACKAGE_QA = PACKAGE BURN LEGO +MB_I18N_PROJ_PHASEDOC_PACKAGE_QA = PACKAGE BURN LEGO BOX MB_I18N_PROJ_PHASEID_PACKAGE_DEPLOY = PACKAGE_DEPLOY -MB_I18N_PROJ_PHASEDOC_PACKAGE_DEPLOY = PACKAGE DEPLOY LEGO +MB_I18N_PROJ_PHASEDOC_PACKAGE_DEPLOY = PACKAGE DEPLOY LEGO BOX +MB_I18N_PROJ_PHASEID_ALL = ALL +MB_I18N_PROJ_PHASEDOC_ALL = MAKE A LEGO CITY diff --git a/lib/make/i18n/mb_i18n_iu.mk b/lib/make/i18n/mb_i18n_iu.mk index 0ca6bab..3221288 100644 --- a/lib/make/i18n/mb_i18n_iu.mk +++ b/lib/make/i18n/mb_i18n_iu.mk @@ -18,12 +18,14 @@ MB_I18N_PROJ_STEP_AFTER = ᑭᖑᓂᖓᓂ MB_I18N_PROJ_STEP_DONE = ᐱᓕᕆᐊᖅ ᐱᐊᓂᒃᑕᐅᔪᖅ MB_I18N_PROJ_DIR_CREATE = ᑐᖅᑯᐃᕝᕕᓕᐅᕐᓂᖅ MB_I18N_PROJ_DIR_DELETE = ᑐᖅᑯᖅᓯᓯᒪᕝᕕᖓ ᐲᔭᖅᑕᐅᓗᓂ +MB_I18N_FLOW_CLONE_REPORT = ᐃᓐᓈᕈᐃᑦ MB_I18N_SDCC_COMPILE = ᖃᕆᑕᐅᔭᕋᓛᖅᓄᐊᑦᓯᓂᖅ MB_I18N_SDCC_ARLIB = ᖃᕆᑕᐅᔭᕋᓛᖅᑐᖅᑯᖅᑕᐅᓯᒪᔪᑦ MB_I18N_SDCC_LINK = ᖃᕆᑕᐅᔭᕋᓛᖅᐊᑦᑕᑕᕐᕕᒃᓴ MB_I18N_SDCC_OBJCOPY = ᖃᕆᑕᐅᔭᕋᓛᖅᐱᖁᑎᐅᑉ ᐊᔾᔨᖓ MB_I18N_PROJ_PHASEID_CLEAN = ᓴᓗᒪᓗᓂ MB_I18N_PROJ_PHASEID_INIT = ᐃᑎᖅᓴᖅ +MB_I18N_PROJ_PHASEID_PREPARE = ᐱᕙᒌᔭᖅᓯᒪᓂᖅ MB_I18N_PROJ_PHASEID_PROCESS = ᐱᓕᕆᔾᔪᓯᖅ MB_I18N_PROJ_PHASEID_COMPILE = ᓄᐊᑦᓯᓂᖅ MB_I18N_PROJ_PHASEID_LINK = ᐊᑦᑕᑕᕐᕕᒃᓴ @@ -32,4 +34,5 @@ MB_I18N_PROJ_PHASEID_TEST = ᖃᐅᔨᓴᕈᑎ MB_I18N_PROJ_PHASEID_PACKAGE = ᐴᖅᑲᖅᓯᒪᔪᑦ MB_I18N_PROJ_PHASEID_PACKAGE_QA = ᐴᖅᑲᖅᓯᒪᔪᑦ−ᖃᖅ MB_I18N_PROJ_PHASEID_PACKAGE_DEPLOY = ᐴᖅᑲᖅᓯᒪᔪᑦ−ᐃᓕᔭᐅᔪᑦ +MB_I18N_PROJ_PHASEID_ALL = ᑕᒪᕐᒥᒃ diff --git a/lib/make/i18n/mb_i18n_ja.mk b/lib/make/i18n/mb_i18n_ja.mk index 17f0d32..f3c4a9a 100644 --- a/lib/make/i18n/mb_i18n_ja.mk +++ b/lib/make/i18n/mb_i18n_ja.mk @@ -18,6 +18,7 @@ MB_I18N_PROJ_STEP_AFTER = フェーズ後 MB_I18N_PROJ_STEP_DONE = プライムパイターゲットの実行を終了しました MB_I18N_PROJ_DIR_CREATE = フォルダーの作成 MB_I18N_PROJ_DIR_DELETE = フォルダーの削除 +MB_I18N_FLOW_CLONE_REPORT = クローン・トルーパー MB_I18N_SDCC_COMPILE = 標準マイクロコンパイラ MB_I18N_SDCC_ARLIB = 標準マイクロビルドライブラリ MB_I18N_SDCC_LINK = 標準マイクロリンクメッカ図書館 @@ -26,6 +27,8 @@ MB_I18N_PROJ_PHASEID_CLEAN = クリーン MB_I18N_PROJ_PHASEDOC_CLEAN = プロジェクトのビルドフォルダーをクリーンアップします。 MB_I18N_PROJ_PHASEID_INIT = 初期化する MB_I18N_PROJ_PHASEDOC_INIT = モジュール出力フォルダーをセットアップして検証します。 +MB_I18N_PROJ_PHASEID_PREPARE = 準備する +MB_I18N_PROJ_PHASEDOC_PREPARE = 処理する前にソースを準備します。 MB_I18N_PROJ_PHASEID_PROCESS = プロセス MB_I18N_PROJ_PHASEDOC_PROCESS = コンパイル前にソースを処理します。 MB_I18N_PROJ_PHASEID_COMPILE = コンパイル @@ -39,7 +42,8 @@ MB_I18N_PROJ_PHASEDOC_TEST = すべてのアサーション テストを実行 MB_I18N_PROJ_PHASEID_PACKAGE = パッケージ MB_I18N_PROJ_PHASEDOC_PACKAGE = プロジェクトのパッケージを作成します。 MB_I18N_PROJ_PHASEID_PACKAGE_QA = パッケージの品質保証 -MB_I18N_PROJ_PHASEDOC_PACKAGE_QA = すべてのパッケージを実行します。プロジェクトの品質保証。 +MB_I18N_PROJ_PHASEDOC_PACKAGE_QA = プロジェクトのすべてのパッケージ 〄テストを実行します。 MB_I18N_PROJ_PHASEID_PACKAGE_DEPLOY = パッケージのデプロイ MB_I18N_PROJ_PHASEDOC_PACKAGE_DEPLOY = パッケージをデプロイします。 - +MB_I18N_PROJ_PHASEID_ALL = 全て +MB_I18N_PROJ_PHASEDOC_ALL = 完全なビルドおよびパッケージ化の 〄テストを実行します。 diff --git a/lib/make/mb_assert.mk b/lib/make/mb_assert.mk deleted file mode 100644 index 61980d5..0000000 --- a/lib/make/mb_assert.mk +++ /dev/null @@ -1,41 +0,0 @@ - -define __mb_assert_flow_grep_binary -.RECIPEPREFIX := $(MB__RECIPE) -$(1)/@assert-$(2): $(1)/@build -$(MB__RECIPE)grep -q -U $(4) $(1)/$(3) -$(MB__RECIPE)$$(call mb_make_call,mb_os_echo_assert,$$(MB_I18N_ASSERT_SUCCESS) @assert-$(2)) -$(MB__    )$$(call mb_make_call,mb_doc_target,$(1)/@assert-$(2),"Asserts that $(3) output matches.") -$(MB__    )$$(call mb_make_call,mb_make_flag_phony,$(1)/@assert-$(2)) -$(MB__    )$$(call mb_make_call,mb_proj_grow_deps_phase_test,$(1)/@assert-$(2)) -.RECIPEPREFIX := $(MB__RECIPEPREFIX) -endef - -define mb_assert_flow_grep_binary -$(MB__    )$(call mb_make_call,mb_make_check_arg4,mb_assert_flow_grep_binary,$(1),$(2),$(3),$(4)) -$(MB__    )$(eval $(call mb_make_call,__mb_assert_flow_grep_binary,$(1),$(2),$(3),$(4))) -endef -$(call mb_make_call,mb_doc_function_flow,mb_assert_flow_grep_binary,"Prints flow of single binary grep assert."," ") - -#$$(call mb_delete,$(1)/$(3).out) -define __mb_assert_flow_bdos_grep -.RECIPEPREFIX := $(MB__RECIPE) -MB__TARGET := $(1)-test-$(2)/@assert -$(1)-test-$(2)/@assert: $(1)/@build -$(MB__RECIPE)$$(call mb_make_call,mb_os_dir_create,$(1)-test-$(2)) -$(MB__RECIPE)$$(call mb_make_call,mb_os_file_copy,$(1)/$(3).com,$(1)-test-$(2)) -$(MB__RECIPE)$$(call mb_make_call,mb_msxpipe_safe_test,$(1)-test-$(2),$(3) > $(3).out) -$(MB__RECIPE)grep -q $(4) $(1)-test-$(2)/$(3).out -$(MB__RECIPE)$$(call mb_make_call,mb_os_echo_assert,$$(MB_I18N_ASSERT_SUCCESS) $(1)-test-$(2)/@assert) -$(MB__    )$$(call mb_make_call,mb_doc_target,$(1)-test-$(2)/@assert,"Asserts that $(3) output matches.") -$(MB__    )$$(call mb_make_call,mb_make_flag_phony,$(1)-test-$(2)/@assert) -$(MB__    )$$(call mb_make_call,mb_proj_grow_deps_phase_test,$(1)-test-$(2)/@assert) -.RECIPEPREFIX := $(MB__RECIPEPREFIX) -endef - -define mb_assert_flow_bdos_grep -$(MB__    )$(call mb_make_call,mb_make_check_arg4,mb_assert_flow_bdos_grep,$(1),$(2),$(3),$(4)) -$(MB__    )$(eval $(call mb_make_call,__mb_assert_flow_bdos_grep,$(1),$(2),$(3),$(4))) -endef -$(call mb_make_call,mb_doc_function_flow,mb_assert_flow_bdos_grep,"Prints flow of single grep assert."," ") - - diff --git a/lib/make/mb_flight.mk b/lib/make/mb_flight.mk index c627dac..991e936 100644 --- a/lib/make/mb_flight.mk +++ b/lib/make/mb_flight.mk @@ -19,58 +19,58 @@ $(call mb_make_call,mb_doc_variable,MB_FLIGHT_VIDEO_NAME,"Video file name of the define mb_flight_video_merge -$(MB__    )$(call mb_make_call,mb_make_check_arg1,mb_flight_video_merge,$(1)) -$(MB__    )$(call mb_make_call,mb_os_echo_good,Indexing flight videos) -$(MB__    )ls -1tr $(1)/*-omsx/videos/*.avi | awk -F "$(1)/" -v s="file '" -v e="'" '{print s$$2e}' > $(1)/$(MB_FLIGHT_VIDEO_NAME).lst -$(MB__    )ffmpeg -v quiet -y -f concat -i $(1)/$(MB_FLIGHT_VIDEO_NAME).lst -c copy $(1)/$(MB_FLIGHT_VIDEO_NAME).avi -$(MB__    )$(call mb_make_call,mb_os_echo_good,Flight video completed) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg1,mb_flight_video_merge,$(1)) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_os_echo_good,Indexing flight videos) +$(MB_ᕽᕽᕽ   )ls -1tr $(1)/*-omsx/videos/*.avi | awk -F "$(1)/" -v s="file '" -v e="'" '{print s$$2e}' > $(1)/$(MB_FLIGHT_VIDEO_NAME).lst +$(MB_ᕽᕽᕽ   )ffmpeg -v quiet -y -f concat -i $(1)/$(MB_FLIGHT_VIDEO_NAME).lst -c copy $(1)/$(MB_FLIGHT_VIDEO_NAME).avi +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_os_echo_good,Flight video completed) endef $(call mb_make_call,mb_doc_function,mb_flight_video_merge,"Merged all flight videos to one.","") define __mb_flight_proj_flow_video -.RECIPEPREFIX := $(MB__RECIPE) +.RECIPEPREFIX := $(MB_@RECIPE) @@flight-video-build: @build -$(MB__RECIPE)$$(if $$(filter on,$$(MB_FLIGHT_VIDEO)),$$(call mb_make_call,mb_flight_video_merge,$(1))) +$(MB_@RECIPE)$$(if $$(filter on,$$(MB_FLIGHT_VIDEO)),$$(call mb_make_call,mb_flight_video_merge,$(1))) @flight-video-build: @clean -$(MB__RECIPE)$$(call mb_make_call,_mb_proj_flow_step_before) -$(MB__RECIPE)MB_OPENMSX_HEADLESS$(MB_MAKE_EQUALS)off MB_FLIGHT_VIDEO$(MB_MAKE_EQUALS)on $$(MAKE) -s @@flight-video-build -$(MB__RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB__    )$$(call mb_make_call,mb_doc_target_help,@flight-video-build,"Runs @clean and @build with recorder and merges flight videos to one.") -$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@flight-video-build) +$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_before) +$(MB_@RECIPE)MB_OPENMSX_HEADLESS$(MB_MAKE_EQUALS)off MB_FLIGHT_VIDEO$(MB_MAKE_EQUALS)on $$(MAKE) -s @@flight-video-build +$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@flight-video-build,"Runs @clean and @build with recorder and merges flight videos to one.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@flight-video-build) @@flight-video-test: @test -$(MB__RECIPE)$$(if $$(filter on,$$(MB_FLIGHT_VIDEO)),$$(call mb_make_call,mb_flight_video_merge,$(1))) +$(MB_@RECIPE)$$(if $$(filter on,$$(MB_FLIGHT_VIDEO)),$$(call mb_make_call,mb_flight_video_merge,$(1))) @flight-video-test: @clean -$(MB__RECIPE)$$(call mb_make_call,_mb_proj_flow_step_before) -$(MB__RECIPE)MB_OPENMSX_HEADLESS$(MB_MAKE_EQUALS)off MB_FLIGHT_VIDEO$(MB_MAKE_EQUALS)on $$(MAKE) -s @@flight-video-test -$(MB__RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB__    )$$(call mb_make_call,mb_doc_target_help,@flight-video-test,"Runs @clean and @test with recorder and merges flight videos to one.") -$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@flight-video-test) +$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_before) +$(MB_@RECIPE)MB_OPENMSX_HEADLESS$(MB_MAKE_EQUALS)off MB_FLIGHT_VIDEO$(MB_MAKE_EQUALS)on $$(MAKE) -s @@flight-video-test +$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@flight-video-test,"Runs @clean and @test with recorder and merges flight videos to one.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@flight-video-test) @@flight-video-package-qa: @package-qa -$(MB__RECIPE)$$(if $$(filter on,$$(MB_FLIGHT_VIDEO)),$$(call mb_make_call,mb_flight_video_merge,$(1))) +$(MB_@RECIPE)$$(if $$(filter on,$$(MB_FLIGHT_VIDEO)),$$(call mb_make_call,mb_flight_video_merge,$(1))) @flight-video-package-qa: @clean -$(MB__RECIPE)$$(call mb_make_call,_mb_proj_flow_step_before) -$(MB__RECIPE)MB_OPENMSX_HEADLESS$(MB_MAKE_EQUALS)ff MB_FLIGHT_VIDEO$(MB_MAKE_EQUALS)on $$(MAKE) -s @@flight-video-package-qa -$(MB__RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB__    )$$(call mb_make_call,mb_doc_target_help,@flight-video-package-qa,"Runs @clean and @package-qa with recorder and merges flight videos to one.") -$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@flight-video-package-qa) +$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_before) +$(MB_@RECIPE)MB_OPENMSX_HEADLESS$(MB_MAKE_EQUALS)ff MB_FLIGHT_VIDEO$(MB_MAKE_EQUALS)on $$(MAKE) -s @@flight-video-package-qa +$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@flight-video-package-qa,"Runs @clean and @package-qa with recorder and merges flight videos to one.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@flight-video-package-qa) -.RECIPEPREFIX := $(MB__RECIPEPREFIX) +.RECIPEPREFIX := $(MB_@RECIPEPREFIX) endef define mb_flight_proj_flow_video -$(MB__    )$(call mb_make_call,mb_make_check_arg1,mb_flight_proj_flow_video,$(1)) -$(MB__    )$(eval $(call mb_make_call,__mb_flight_proj_flow_video,$(1))) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg1,$(0),$(1)) +$(MB_ᕽᕽᕽ   )$(eval $(call mb_make_call,__$(0),$(1))) endef $(call mb_make_call,mb_doc_function_flow,mb_flight_proj_flow_video,"Prints flow for adding flight video targets in project build cycle.","") diff --git a/lib/make/mb_proj.mk b/lib/make/mb_proj.mk deleted file mode 100644 index bc8a536..0000000 --- a/lib/make/mb_proj.mk +++ /dev/null @@ -1,291 +0,0 @@ - -MB_PROJ_META_NAME ?= MSXBUILD -$(call mb_make_call,mb_doc_variable,MB_PROJ_META_NAME,"The project name.") - -MB_PROJ_META_DESCRIPTION ?= -$(call mb_make_call,mb_doc_variable,MB_PROJ_META_DESCRIPTION,"The project description.") - -MB_PROJ_META_WEBSITE ?= -$(call mb_make_call,mb_doc_variable,MB_PROJ_META_WEBSITE,"The project website location.") - - -define mb_proj_module_path_src -$(MB__    )$(1)/$(subst /,,$(subst $(1),,$(dir $(lastword $(MAKEFILE_LIST))))) -endef -$(call mb_make_call,mb_doc_function_deep,mb_proj_module_path_src,"Convert include location folder as src folder.","") - - -define mb_proj_module_path_bin -$(MB__    )$(2)/$(subst /,,$(subst $(1),,$(dir $(lastword $(MAKEFILE_LIST))))) -endef -$(call mb_make_call,mb_doc_function_deep,mb_proj_module_path_src,"Convert include location folder as bin folder."," ") - - -define mb_proj_module_local_deps -$(MB__    )$(foreach dep,$(2),$(1)/$(notdir $(dep))) -endef -$(call mb_make_call,mb_doc_function_deep,mb_proj_module_deps,"Convert other files to local deps."," ") - - -define mb_proj_grow_deps_phase_clean -$(MB__    )$(eval @@clean-deps:: $(1)) -endef -$(call mb_make_call,mb_doc_function_deep,mb_proj_grow_deps_phase_clean,"Grow the deps of the '@clean' phase..","") - - -define mb_proj_grow_deps_phase_init -$(MB__    )$(eval @@init-deps:: $(1)) -endef -$(call mb_make_call,mb_doc_function_deep,mb_proj_grow_deps_phase_init,"Grow the deps of the '@init' phase..","") - - -define mb_proj_grow_deps_phase_process -$(MB__    )$(eval @@process-deps:: $(1)) -endef -$(call mb_make_call,mb_doc_function_deep,mb_proj_grow_deps_phase_process,"Grow the deps of the '@process' phase..","") - - -define mb_proj_grow_deps_phase_compile -$(MB__    )$(eval @@compile-deps:: $(1)) -endef -$(call mb_make_call,mb_doc_function_deep,mb_proj_grow_deps_phase_compile,"Grow the deps of the '@compile' phase..","") - - -define mb_proj_grow_deps_phase_link -$(MB__    )$(eval @@link-deps:: $(1)) -endef -$(call mb_make_call,mb_doc_function_deep,mb_proj_grow_deps_phase_link,"Grow the deps of the '@link' phase..","") - - -define mb_proj_grow_deps_phase_build -$(MB__    )$(eval @@build-deps:: $(1)) -endef -$(call mb_make_call,mb_doc_function_deep,mb_proj_grow_deps_phase_build,"Grow the deps of the '@build' phase..","") - - -define mb_proj_grow_deps_phase_test -$(MB__    )$(eval @@test-deps:: $(1)) -endef -$(call mb_make_call,mb_doc_function_deep,mb_proj_grow_deps_phase_test,"Grow the deps of the '@test' phase..","") - - -define mb_proj_grow_deps_phase_package -$(MB__    )$(eval @@package-deps:: $(1)) -endef -$(call mb_make_call,mb_doc_function_deep,mb_proj_grow_deps_phase_package,"Grow the deps of the '@package' phase..","") - - -define mb_proj_grow_deps_phase_package_qa -$(MB__    )$(eval @@package-qa-deps:: $(1)) -endef -$(call mb_make_call,mb_doc_function_deep,mb_proj_grow_deps_phase_package_qa,"Grow the deps of the '@package-qa' phase..","") - - -define mb_proj_grow_deps_phase_package_deploy - $(eval @@package-deploy-deps:: $(1)) -endef -$(call mb_make_call,mb_doc_function_deep,mb_proj_grow_deps_phase_package_deploy,"Grow the deps of the '@package-deploy' phase..","") - - -define _mb_proj_flow_step_before -$(MB__    )$(call mb_make_call,mb_os_echo_phase,$(MB_I18N_PROJ_STEP_BEFORE) $@) -endef -define _mb_proj_flow_step_done -$(MB__    )$(call mb_make_call,mb_os_echo_phase,$(MB_I18N_PROJ_STEP_AFTER) $@) -$(MB__    )$(if $(value MAKECMDGOALS),$(if $(filter $@,$(MAKECMDGOALS)),$(call mb_make_call,mb_os_echo_good,$(MB_I18N_PROJ_STEP_DONE) $@))) -endef -define _mb_proj_run_clean -$(MB__    )$(foreach folder,$(1),$(if $(wildcard $(folder)),$(call mb_make_call,mb_os_echo_command,$(MB_I18N_PROJ_DIR_DELETE) $(folder));)) -$(MB__    )$(foreach folder,$(1),$(if $(wildcard $(folder)),$(call mb_make_call,mb_os_dir_delete,$(folder)))) -endef -define _mb_proj_run_init -$(MB__    )$(foreach folder,$(1),$(if $(wildcard $(folder)),,$(call mb_make_call,mb_os_echo_command,$(MB_I18N_PROJ_DIR_CREATE) $(folder));)) -$(MB__    )$(foreach folder,$(1),$(if $(wildcard $(folder)),,$(call mb_make_call,mb_os_dir_create,$(folder)))) -endef - - -define __mb_proj_flow_setup -.RECIPEPREFIX := $(MB__RECIPE) - -@@clean: -$(MB__RECIPE)$$(call mb_make_call,_mb_proj_flow_step_before) -$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@@clean) -@@clean-deps:: -$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@@clean-deps) -@clean: @@clean @@clean-deps -$(MB__RECIPE)$$(call mb_make_call,_mb_proj_run_clean,$(1)) -$(MB__RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB__    )$$(call mb_make_call,mb_doc_target_help,@clean,"Clean's the project build folders.") -$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@clean) - -@@init: -$(MB__RECIPE)$$(call mb_make_call,_mb_proj_flow_step_before) -$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@@init) -@@init-deps:: -$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@@init-deps) -@init: @@init @@init-deps -$(MB__RECIPE)$$(call mb_make_call,_mb_proj_run_init,$(1)) -$(MB__RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB__    )$$(call mb_make_call,mb_doc_target_help,@init,"Create the project output folders.") -$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@init) - -@@process: @init -$(MB__RECIPE)$$(call mb_make_call,_mb_proj_flow_step_before) -$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@@process) -@@process-deps:: -$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@@process-deps) -@process: @@process @@process-deps -$(MB__RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB__    )$$(call mb_make_call,mb_doc_target_help,@process,"Process sources before compiling.") -$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@process) - -@@compile: @process -$(MB__RECIPE)$$(call mb_make_call,_mb_proj_flow_step_before) -$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@@compile) -@@compile-deps:: -$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@@compile-deps) -@compile: @@compile @@compile-deps -$(MB__RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB__    )$$(call mb_make_call,mb_doc_target_help,@compile,"Compiles all project sources.") -$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@compile) - -@@link: @compile -$(MB__RECIPE)$$(call mb_make_call,_mb_proj_flow_step_before) -$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@@link) -@@link-deps:: -$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@@link-deps) -@link: @@link @@link-deps -$(MB__RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB__    )$$(call mb_make_call,mb_doc_target_help,@link,"Link all project intermediate files.") -$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@link) - -@@build: @link -$(MB__RECIPE)$$(call mb_make_call,_mb_proj_flow_step_before) -$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@@build) -@@build-deps:: -$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@@build-deps) -@build: @@build @@build-deps -$(MB__RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB__    )$$(call mb_make_call,mb_doc_target_help,@build,"Build all project artifacts.") -$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@build) - -@@test: @build -$(MB__RECIPE)$$(call mb_make_call,_mb_proj_flow_step_before) -$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@@test) -@@test-deps:: -$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@@test-deps) -@test: @@test @@test-deps -$(MB__RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB__    )$$(call mb_make_call,mb_doc_target_help,@test,"Run all assertion tests.") -$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@test) - -@@package: @test -$(MB__RECIPE)$$(call mb_make_call,_mb_proj_flow_step_before) -$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@@package) -@@package-deps:: -$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@@package-deps) -@package: @@package @@package-deps -$(MB__RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB__    )$$(call mb_make_call,mb_doc_target_help,@package,"Create all packages of project.") -$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@package) - -@@package-qa: @package -$(MB__RECIPE)$$(call mb_make_call,_mb_proj_flow_step_before) -$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@@package-qa) -@@package-qa-deps:: -$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@@package-qa-deps) -@package-qa: @@package-qa @@package-qa-deps -$(MB__RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB__    )$$(call mb_make_call,mb_doc_target_help,@package-qa,"Run all packages QA of project.") -$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@package-qa) - -@@package-deploy: @package-qa -$(MB__RECIPE)$$(call mb_make_call,_mb_proj_flow_step_before) -$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@@package-deploy) -@@package-deploy-deps:: -$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@@package-deploy-deps) -@package-deploy: @@package-deploy @@package-deploy-deps -$(MB__RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB__    )$$(call mb_make_call,mb_doc_target_help,@package-deploy,"Deploy all the packages.") -$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@package-deploy) - -.RECIPEPREFIX := $(MB__RECIPEPREFIX) -endef -define mb_proj_flow_setup -$(MB__    )$(call mb_make_call,mb_make_check_arg1,mb_proj_flow_setup,$(1)) -$(MB__    )$(eval $(call mb_make_call,__mb_proj_flow_setup,$(1))) -$(MB__    )$(if $(MB_I18N),$(if $(filter off,$(MB_I18N)),,$(call mb_make_call,_mb_proj_flow_setup_i18n))) -endef -$(call mb_make_call,mb_doc_function_flow,mb_proj_flow_setup,"Prints flow of abstract project build cycle.","") - - -define __mb_proj_flow_setup_i18n -.RECIPEPREFIX := $(MB__RECIPE) - -@$$(MB_I18N_PROJ_PHASEID_CLEAN): @clean -$(MB__RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB__    )$$(call mb_make_call,mb_doc_target_help,@$$(MB_I18N_PROJ_PHASEID_CLEAN),$$(MB_I18N_PROJ_PHASEDOC_CLEAN)) -$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@$$(MB_I18N_PROJ_PHASEID_CLEAN)) - -@$$(MB_I18N_PROJ_PHASEID_INIT): @init -$(MB__RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB__    )$$(call mb_make_call,mb_doc_target_help,@$$(MB_I18N_PROJ_PHASEID_INIT),$$(MB_I18N_PROJ_PHASEDOC_INIT)) -$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@$$(MB_I18N_PROJ_PHASEID_INIT)) - -@$$(MB_I18N_PROJ_PHASEID_PROCESS): @$$(MB_I18N_PROJ_PHASEID_INIT) @process -$(MB__RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB__    )$$(call mb_make_call,mb_doc_target_help,@$$(MB_I18N_PROJ_PHASEID_PROCESS),$$(MB_I18N_PROJ_PHASEDOC_PROCESS)) -$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@$$(MB_I18N_PROJ_PHASEID_PROCESS)) - -@$$(MB_I18N_PROJ_PHASEID_COMPILE): @$$(MB_I18N_PROJ_PHASEID_PROCESS) @compile -$(MB__RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB__    )$$(call mb_make_call,mb_doc_target_help,@$$(MB_I18N_PROJ_PHASEID_COMPILE),$$(MB_I18N_PROJ_PHASEDOC_COMPILE)) -$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@$$(MB_I18N_PROJ_PHASEID_COMPILE)) - -@$$(MB_I18N_PROJ_PHASEID_LINK): @$$(MB_I18N_PROJ_PHASEID_COMPILE) @link -$(MB__RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB__    )$$(call mb_make_call,mb_doc_target_help,@$$(MB_I18N_PROJ_PHASEID_LINK),$$(MB_I18N_PROJ_PHASEDOC_LINK)) -$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@$$(MB_I18N_PROJ_PHASEID_LINK)) - -@$$(MB_I18N_PROJ_PHASEID_BUILD): @$$(MB_I18N_PROJ_PHASEID_LINK) @build -$(MB__RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB__    )$$(call mb_make_call,mb_doc_target_help,@$$(MB_I18N_PROJ_PHASEID_BUILD),$$(MB_I18N_PROJ_PHASEDOC_BUILD)) -$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@$$(MB_I18N_PROJ_PHASEID_BUILD)) - -@$$(MB_I18N_PROJ_PHASEID_TEST): @$$(MB_I18N_PROJ_PHASEID_BUILD) @test -$(MB__RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB__    )$$(call mb_make_call,mb_doc_target_help,@$$(MB_I18N_PROJ_PHASEID_TEST),$$(MB_I18N_PROJ_PHASEDOC_TEST)) -$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@$$(MB_I18N_PROJ_PHASEID_TEST)) - -@$$(MB_I18N_PROJ_PHASEID_PACKAGE): @$$(MB_I18N_PROJ_PHASEID_TEST) @package -$(MB__RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB__    )$$(call mb_make_call,mb_doc_target_help,@$$(MB_I18N_PROJ_PHASEID_PACKAGE),$$(MB_I18N_PROJ_PHASEDOC_PACKAGE)) -$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@$$(MB_I18N_PROJ_PHASEID_PACKAGE)) - -@$$(MB_I18N_PROJ_PHASEID_PACKAGE_QA): @$$(MB_I18N_PROJ_PHASEID_PACKAGE) @package-qa -$(MB__RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB__    )$$(call mb_make_call,mb_doc_target_help,@$$(MB_I18N_PROJ_PHASEID_PACKAGE_QA),$$(MB_I18N_PROJ_PHASEDOC_PACKAGE_QA)) -$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@$$(MB_I18N_PROJ_PHASEID_PACKAGE_QA)) - -@$$(MB_I18N_PROJ_PHASEID_PACKAGE_DEPLOY): @$$(MB_I18N_PROJ_PHASEID_PACKAGE_QA) @package-deploy -$(MB__RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB__    )$$(call mb_make_call,mb_doc_target_help,@$$(MB_I18N_PROJ_PHASEID_PACKAGE_DEPLOY),$$(MB_I18N_PROJ_PHASEDOC_PACKAGE_DEPLOY)) -$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@$$(MB_I18N_PROJ_PHASEID_PACKAGE_DEPLOY)) - -.RECIPEPREFIX := $(MB__RECIPEPREFIX) -endef -define _mb_proj_flow_setup_i18n -$(MB__    )$(call mb_make_call,mb_make_check_variable,MB_I18N_PROJ_PHASEID_CLEAN) -$(MB__    )$(call mb_make_call,mb_make_check_variable,MB_I18N_PROJ_PHASEID_INIT) -$(MB__    )$(call mb_make_call,mb_make_check_variable,MB_I18N_PROJ_PHASEID_PROCESS) -$(MB__    )$(call mb_make_call,mb_make_check_variable,MB_I18N_PROJ_PHASEID_COMPILE) -$(MB__    )$(call mb_make_call,mb_make_check_variable,MB_I18N_PROJ_PHASEID_LINK) -$(MB__    )$(call mb_make_call,mb_make_check_variable,MB_I18N_PROJ_PHASEID_BUILD) -$(MB__    )$(call mb_make_call,mb_make_check_variable,MB_I18N_PROJ_PHASEID_TEST) -$(MB__    )$(call mb_make_call,mb_make_check_variable,MB_I18N_PROJ_PHASEID_PACKAGE) -$(MB__    )$(call mb_make_call,mb_make_check_variable,MB_I18N_PROJ_PHASEID_PACKAGE_QA) -$(MB__    )$(call mb_make_call,mb_make_check_variable,MB_I18N_PROJ_PHASEID_PACKAGE_DEPLOY) -$(MB__    )$(eval $(call mb_make_call,__mb_proj_flow_setup_i18n)) -endef -#$(call mb_make_call,mb_doc_function_flow,_mb_proj_flow_setup_i18n,"Prints flow for i18n wrapper of project build cycle.") - diff --git a/lib/make/mb_tool.mk b/lib/make/mb_tool.mk deleted file mode 100644 index 4137913..0000000 --- a/lib/make/mb_tool.mk +++ /dev/null @@ -1,90 +0,0 @@ - -define __mb_tool_m80_flow_bdos_mono -.RECIPEPREFIX := $(MB__RECIPE) - -$(1): | @init -$(MB__RECIPE)$$(if $$(wildcard $(1)),,$$(call mb_make_call,mb_os_dir_create,$(1))) -$(MB__RECIPE)$$(if $$(wildcard $(1)/utils),,$$(call mb_make_call,mb_os_dir_create,$(1)/utils)) -$(MB__RECIPE)$$(call mb_make_call,mb_msxhub_get_macro80,$(1)/utils) -$(MB__RECIPE)$$(call mb_make_call,mb_msxhub_get_z80asmuk,$(1)/utils) -$(MB__    )$$(call mb_make_call,mb_doc_target_deep,$(1)) -$(MB__    )$$(call mb_make_call,mb_make_flag_phony,$(1)) - -$(1)/$(3).mac: $(2)/$(3).mac | $(1) -$(MB__RECIPE)$$(call mb_make_call,mb_conv_unix2dos,$(2)/$(3).mac,$(1)/$(3).mac) -$(MB__    )$$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).mac) -$(MB__    )$$(call mb_make_call,mb_proj_grow_deps_phase_process,$(1)/$(3).mac) - -$(1)/$(3).rel: $(1)/$(3).mac -$(MB__RECIPE)$$(call mb_make_call,mb_msxpipe_safe_cmd,$(1),m80 $$(MB_MAKE_EQUALS)$(3)/Z) -$(MB__    )$$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).rel) -$(MB__    )$$(call mb_make_call,mb_proj_grow_deps_phase_compile,$(1)/$(3).rel) - -$(1)/$(3).hex: $(1)/$(3).rel -$(MB__RECIPE)$$(call mb_make_call,mb_msxpipe_safe_cmd,$(1),l80 $(3)$$(MB_MAKE_COMMA)$(3)/N/X/Y/E) -$(MB__    )$$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).hex) -$(MB__    )$$(call mb_make_call,mb_proj_grow_deps_phase_link,$(1)/$(3).hex) - -$(1)/$(3).com: $(1)/$(3).hex -$(MB__RECIPE)$$(call mb_make_call,mb_msxpipe_safe_cmd,$(1),hextocom $(3)) -$(MB__    )$$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).com) -$(MB__    )$$(call mb_make_call,mb_proj_grow_deps_phase_build,$(1)/$(3).com) - -$(1)/@build: $(1)/$(3).com -$(MB__    )$$(call mb_make_call,mb_doc_target,$(1)/@build,"Builds the $(1) module.") -$(MB__    )$$(call mb_make_call,mb_make_flag_phony,$(1)/@build) - -$(1)/@run: $(1)/@build -$(MB__RECIPE)$$(call mb_make_call,mb_msxpipe_run_gui,$(1)) -$(MB__    )$$(call mb_make_call,mb_doc_target_run,$(1)/@run,"Run $(3).com manually with gui.") -$(MB__    )$$(call mb_make_call,mb_make_flag_phony,$(1)/@run) - -.RECIPEPREFIX := $(MB__RECIPEPREFIX) -endef -define mb_tool_m80_flow_bdos_mono -$(MB__    )$(call mb_make_call,mb_make_check_arg3,mb_tool_m80_flow_bdos_mono,$(1),$(2),$(3)) -$(MB__    )$(eval $(call mb_make_call,__mb_tool_m80_flow_bdos_mono,$(1),$(2),$(3))) -endef -$(call mb_make_call,mb_doc_function_flow,mb_tool_m80_flow_bdos_mono,"Prints flow of m80 toolchain bdos mono file module."," ") - - -define __mb_tool_sdcc_flow_bdos_mono -.RECIPEPREFIX := $(MB__RECIPE) - -$(1): | @init -$(MB__RECIPE)$$(if $$(wildcard $(1)),,$$(call mb_make_call,mb_os_dir_create,$(1))) -$(MB__    )$$(call mb_make_call,mb_doc_target_deep,$(1)) -$(MB__    )$$(call mb_make_call,mb_make_flag_phony,$(1)) - -$(1)/$(3).rel: $(2)/$(3).asm | $(1) -$(MB__RECIPE)$$(call mb_make_call,mb_sdcc_compile_asm,$$<,$$@) -$(MB__    )$$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).rel) -$(MB__    )$$(call mb_make_call,mb_proj_grow_deps_phase_compile,$(1)/$(3).rel) - -$(1)/$(3).hex: $(1)/$(3).rel -$(MB__RECIPE)$$(call mb_make_call,mb_sdcc_link_asm_bdos,$$<,$$@) -$(MB__    )$$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).hex) -$(MB__    )$$(call mb_make_call,mb_proj_grow_deps_phase_link,$(1)/$(3).hex) - -$(1)/$(3).com: $(1)/$(3).hex -$(MB__RECIPE)$$(call mb_make_call,mb_sdcc_objcopy,$$<,$$@) -$(MB__    )$$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).com) -$(MB__    )$$(call mb_make_call,mb_proj_grow_deps_phase_build,$(1)/$(3).com) - -$(1)/@build: $(1)/$(3).com -$(MB__    )$$(call mb_make_call,mb_doc_target,$(1)/@build,"Builds the $(1) module.") -$(MB__    )$$(call mb_make_call,mb_make_flag_phony,$(1)/@build) - -$(1)/@run: $(1)/@build -$(MB__RECIPE)$$(call mb_make_call,mb_msxpipe_run_gui,$(1)) -$(MB__    )$$(call mb_make_call,mb_doc_target_run,$(1)/@run,"Run $(3).com manually with gui.") -$(MB__    )$$(call mb_make_call,mb_make_flag_phony,$(1)/@run) - -.RECIPEPREFIX := $(MB__RECIPEPREFIX) -endef -define mb_tool_sdcc_flow_bdos_mono -$(MB__    )$(call mb_make_call,mb_make_check_arg3,mb_tool_sdcc_flow_bdos_mono,$(1),$(2),$(3)) -$(MB__    )$(eval $(call mb_make_call,__mb_tool_sdcc_flow_bdos_mono,$(1),$(2),$(3))) -endef -$(call mb_make_call,mb_doc_function_flow,mb_tool_sdcc_flow_bdos_mono,"Prints flow of sdcc toolchain bdos mono file module."," ") - diff --git a/lib/make/msxbuild-prolog.mk b/lib/make/msxbuild-prolog.mk index 77ea4f4..540aba5 100644 --- a/lib/make/msxbuild-prolog.mk +++ b/lib/make/msxbuild-prolog.mk @@ -10,9 +10,8 @@ MAKEFLAGS += --no-builtin-rules MAKEFLAGS += --no-builtin-variables MAKEFLAGS += --warn-undefined-variables .DELETE_ON_ERROR := -.RECIPEPREFIX := _ +.RECIPEPREFIX := $(if $(.RECIPEPREFIX),$(.RECIPEPREFIX),>) .SUFFIXES := -.PHONY := Makefile .ONESHELL := .SHELLFLAGS := -e -u -o pipefail -c SHELL := bash @@ -21,27 +20,6 @@ ifeq ($(origin .RECIPEPREFIX), undefined) endif # === Below here is optional === - -# -# Latin1 white space and latin1 tab space are not usable in makefiles. -# Setup private chinese white space -# -_XXX  := -_XXX   := -_XXX    := -_XXX     := -_XXX      := -_XXX       := -_XXX        := -_XXX         := -_XXX          := -_XXX           := -_XXX            := -_XXX             := -_XXX              := -_XXX               := -# TODO: Fix these white spaces will NOT work when put and the end of this file. - # # Configure verbose mode # diff --git a/lib/make/msxbuild.mk b/lib/make/msxbuild.mk index b2734a3..eff5e07 100644 --- a/lib/make/msxbuild.mk +++ b/lib/make/msxbuild.mk @@ -1,39 +1,78 @@ # # msxbuild.mk - Makefile helper to use with msx projects. # -MB__         := -MB__     := -MB__RECIPE := ] -MB__RECIPEPREFIX := $(.RECIPEPREFIX) -MB__BASEPATH := $(dir $(lastword $(MAKEFILE_LIST)))../.. -MB__BASEPATH_REAL := $(if $(realpath $(MB__BASEPATH)),$(realpath $(MB__BASEPATH)),$(MB__BASEPATH)) +MB_@RECIPE := ] +MB_@RECIPEPREFIX := $(.RECIPEPREFIX) +MB_@BASEPATH := $(dir $(lastword $(MAKEFILE_LIST)))../.. +MB_@BASEPATH_REAL := $(if $(realpath $(MB_@BASEPATH)),$(realpath $(MB_@BASEPATH)),$(MB_@BASEPATH)) +MB_@INCLUDE_CHAIN := +# Build multiple groups of chains of includes +define __mb_include +.PHONY: $(MB_@BASEPATH)/$(1) +include $(MB_@BASEPATH)/$(1) +$(if $(MB_@INCLUDE_CHAIN),$(eval $(MB_@BASEPATH)/$(1): $(MB_@INCLUDE_CHAIN))) +MB_@INCLUDE_CHAIN := $(MB_@BASEPATH)/$(1) +endef -include $(MB__BASEPATH)/lib/make/प्रणाली/mb_doc.mk -include $(MB__BASEPATH)/lib/make/प्रणाली/mb_make_call.mk -include $(MB__BASEPATH)/lib/make/प्रणाली/mb_make_xml.mk -include $(MB__BASEPATH)/lib/make/प्रणाली/mb_make.mk -include $(MB__BASEPATH)/lib/make/ᐊᑐᕐᓗᒍ/mb_os.mk -include $(MB__BASEPATH)/lib/make/ᐊᑐᕐᓗᒍ/mb_conv.mk -include $(MB__BASEPATH)/lib/make/ᐊᑐᕐᓗᒍ/mb_sdcc.mk -include $(MB__BASEPATH)/lib/make/ᐊᑐᕐᓗᒍ/mb_package.mk -include $(MB__BASEPATH)/lib/make/麥加系統擴大/mb_msxrom.mk -include $(MB__BASEPATH)/lib/make/麥加系統擴大/mb_msxhub.mk -include $(MB__BASEPATH)/lib/make/麥加系統擴大/mb_msxpipe.mk -include $(MB__BASEPATH)/lib/make/麥加系統擴大/mb_openmsx.mk -include $(MB__BASEPATH)/lib/make/麥加系統擴大/mb_autoexec.mk -include $(MB__BASEPATH)/lib/make/mb_flight.mk -include $(MB__BASEPATH)/lib/make/mb_assert.mk -include $(MB__BASEPATH)/lib/make/mb_tool.mk -include $(MB__BASEPATH)/lib/make/mb_proj.mk -include $(MB__BASEPATH)/lib/make/i18n/mb_i18n.mk +# Include full library grouped in functional blocks +MB_@INCLUDE_CHAIN := @@include-parent +$(eval $(call __mb_include,lib/make/प्रणाली/mb_xxx.mk)) +$(eval $(call __mb_include,lib/make/प्रणाली/mb_doc.mk)) +$(eval $(call __mb_include,lib/make/प्रणाली/mb_make_call.mk)) +$(eval $(call __mb_include,lib/make/प्रणाली/mb_make_check.mk)) +$(eval $(call __mb_include,lib/make/प्रणाली/mb_make_xml.mk)) +$(eval $(call __mb_include,lib/make/प्रणाली/mb_make.mk)) +@@include-libs:: $(MB_@INCLUDE_CHAIN) +MB_@INCLUDE_CHAIN := @@include-parent +$(eval $(call __mb_include,lib/make/ᐊᑐᕐᓗᒍ/mb_os.mk)) +$(eval $(call __mb_include,lib/make/ᐊᑐᕐᓗᒍ/mb_conv.mk)) +$(eval $(call __mb_include,lib/make/ᐊᑐᕐᓗᒍ/mb_sdcc.mk)) +$(eval $(call __mb_include,lib/make/ᐊᑐᕐᓗᒍ/mb_package.mk)) +@@include-libs:: $(MB_@INCLUDE_CHAIN) +MB_@INCLUDE_CHAIN := @@include-parent +$(eval $(call __mb_include,lib/make/麥加系統擴大/mb_msxrom.mk)) +$(eval $(call __mb_include,lib/make/麥加系統擴大/mb_msxhub.mk)) +$(eval $(call __mb_include,lib/make/麥加系統擴大/mb_msxpipe.mk)) +$(eval $(call __mb_include,lib/make/麥加系統擴大/mb_openmsx.mk)) +$(eval $(call __mb_include,lib/make/麥加系統擴大/mb_autoexec.mk)) +@@include-libs:: $(MB_@INCLUDE_CHAIN) +MB_@INCLUDE_CHAIN := @@include-parent +$(eval $(call __mb_include,lib/make/𓃬𓍄𓋹𓀭/mb_flow_0module.mk)) +$(eval $(call __mb_include,lib/make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk)) +$(eval $(call __mb_include,lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk)) +$(eval $(call __mb_include,lib/make/𓃬𓍄𓋹𓀭/mb_flow_m80.mk)) +$(eval $(call __mb_include,lib/make/𓃬𓍄𓋹𓀭/mb_flow_sdcc.mk)) +$(eval $(call __mb_include,lib/make/𓃬𓍄𓋹𓀭/mb_flow_clone.mk)) +@@include-libs:: $(MB_@INCLUDE_CHAIN) +MB_@INCLUDE_CHAIN := +$(eval $(call __mb_include,lib/make/mb_flight.mk)) +$(eval $(call __mb_include,lib/make/i18n/mb_i18n.mk)) ifneq ("$(MB_I18N)", "off") -$(if $(MB_I18N),$(if $(wildcard $(MB__BASEPATH)/lib/make/i18n/mb_i18n_$(MB_I18N).mk),,$(error Unsupported i18n language code: $(MB_I18N)))) -$(if $(MB_I18N),$(eval include $(MB__BASEPATH)/lib/make/i18n/mb_i18n_$(MB_I18N).mk)) +$(if $(MB_I18N),$(if $(wildcard $(MB_@BASEPATH)/lib/make/i18n/mb_i18n_$(MB_I18N).mk),,$(error Unsupported i18n language code: $(MB_I18N)))) +$(if $(MB_I18N),$(eval include $(MB_@BASEPATH)/lib/make/i18n/mb_i18n_$(MB_I18N).mk)) endif +@include:: $(MB_@INCLUDE_CHAIN) +MB_@INCLUDE_CHAIN := +# Glue phony targets to get internal @include tree (semi) correct (TODO: fix chain in: mb_flow_0module_setup) +.PHONY: @include @@include-root @@include-libs @@include-mods @@include-parent @@include-tree +@@include-parent:: @@include-mods +@include:: @@include-libs @@include-root -$(call mb_make_call,mb_doc_variable_rock,MB__RECIPEPREFIX,"Recipe prefix to restore to after flow eval.") -$(call mb_make_call,mb_doc_variable_rock,MB__BASEPATH,"Path where msxbuild lib folder is located.") -$(call mb_make_call,mb_doc_variable_rock,MB__BASEPATH_REAL,"Resolved real path of msxbuild.") +# Document our internal variables, now we have the functions loaded +$(call mb_make_call,mb_doc_variable_rock,MB_@RECIPE,"Recipe prefix to set and indent flow eval rules.") +$(call mb_make_call,mb_doc_variable_rock,MB_@RECIPEPREFIX,"Recipe prefix to restore to after flow eval rules.") +$(call mb_make_call,mb_doc_variable_rock,MB_@BASEPATH,"Path where msxbuild lib folder is located.") +$(call mb_make_call,mb_doc_variable_rock,MB_@BASEPATH_REAL,"Resolved real path of msxbuild.") + +# Provider+doc easy single function start point for user +define mb_setup_default +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg2,$(0),$(1),$(2)) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_flow_help) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_flow_0module_setup,$(2)) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_flow_proj_setup,$(1)) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_flight_proj_flow_video,$(1)) +endef +$(call mb_make_call,mb_doc_function,mb_setup_default,"Installs default extenstion to configure dynamic project flow."," ") diff --git a/lib/make/प्रणाली/mb_doc.mk b/lib/make/प्रणाली/mb_doc.mk index 8db9c3b..162a812 100644 --- a/lib/make/प्रणाली/mb_doc.mk +++ b/lib/make/प्रणाली/mb_doc.mk @@ -58,240 +58,240 @@ MB_DOC_FIRE_VARIABLE_DEEP += $(call mb_make_call,_mb_doc_variable4u_deep,MB_DOC_ define _escape -$(MB__    )$(subst <,"<",$(subst >,">",$(subst \\n,\\\n,$(subst ','"'"',$(1))))) +$(MB_ᕽᕽᕽ   )$(subst <,"<",$(subst >,">",$(subst \\n,\\\n,$(subst ','"'"',$(1))))) endef define _mb_doc_variable_txt -$(MB__    )"* "$(2)$(MB_MAKE_EQUALS)$(call mb_make_call,_escape,$(4))\\n$(if $(3),\\t$(3)\\n)\\n +$(MB_ᕽᕽᕽ   )"* "$(2)$(MB_MAKE_EQUALS)$(call mb_make_call,_escape,$(4))\\n$(if $(3),\\t$(3)\\n)\\n endef define _mb_doc_variable_xml -$(MB__    )\\n\\t$(call mb_make_call,mb_make_xml_open,variable,$(MB_DOC_XML_ATTR_NAME),$(2),$(MB_DOC_XML_ATTR_LEVEL),$(1))\\n\\t\\t$(call mb_make_call,mb_make_xml_tag_value,$(MB_DOC_XML_TAG_VALUE),$(4))\\n\\t\\t$(call mb_make_call,mb_make_xml_tag_value,$(MB_DOC_XML_TAG_DESC),$(3))\\n\\t$(call mb_make_call,mb_make_xml_close,variable) +$(MB_ᕽᕽᕽ   )\\n\\t$(call mb_make_call,mb_make_xml_open,variable,$(MB_DOC_XML_ATTR_NAME),$(2),$(MB_DOC_XML_ATTR_LEVEL),$(1))\\n\\t\\t$(call mb_make_call,mb_make_xml_tag_value,$(MB_DOC_XML_TAG_VALUE),$(4))\\n\\t\\t$(call mb_make_call,mb_make_xml_tag_value,$(MB_DOC_XML_TAG_DESC),$(3))\\n\\t$(call mb_make_call,mb_make_xml_close,variable) endef define _mb_doc_variable4u_deep -$(MB__    )$(call mb_make_call,_mb_doc_variable_$(MB_DOC_FORMAT),deep,$(1),$(2),$($(1))) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,_mb_doc_variable_$(MB_DOC_FORMAT),deep,$(1),$(2),$($(1))) endef define _mb_doc_variable4u_rock -$(MB__    )$(call mb_make_call,_mb_doc_variable_$(MB_DOC_FORMAT),rock,$(1),$(2),$($(1))) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,_mb_doc_variable_$(MB_DOC_FORMAT),rock,$(1),$(2),$($(1))) endef define _mb_doc_variable4u_flow -$(MB__    )$(call mb_make_call,_mb_doc_variable_$(MB_DOC_FORMAT),flow,$(1),$(2),$(words $($(1)))) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,_mb_doc_variable_$(MB_DOC_FORMAT),flow,$(1),$(2),$(words $($(1)))) endef define _mb_doc_variable4u_i18n -$(MB__    )$(call mb_make_call,_mb_doc_variable_$(MB_DOC_FORMAT),i18n,$(1),$(2),$($(1))) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,_mb_doc_variable_$(MB_DOC_FORMAT),i18n,$(1),$(2),$($(1))) endef define mb_doc_variable -$(MB__    )$(eval MB_DOC_FIRE_VARIABLE += $$(call mb_make_call,_mb_doc_variable_$$(MB_DOC_FORMAT),build,$(1),$(2),$($(1)))) +$(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_VARIABLE += $$(call mb_make_call,_mb_doc_variable_$$(MB_DOC_FORMAT),build,$(1),$(2),$($(1)))) endef MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_variable,"Saves formatted documention of an variable."," [desc]") define mb_doc_variable_deep -$(MB__    )$(eval MB_DOC_FIRE_VARIABLE_DEEP += $$(call mb_make_call,_mb_doc_variable4u_deep,$(1),$(2))) +$(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_VARIABLE_DEEP += $$(call mb_make_call,_mb_doc_variable4u_deep,$(1),$(2))) endef MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_variable_deep,"Saves formatted documention of an deep variable."," [desc]") define mb_doc_variable_rock -$(MB__    )$(eval MB_DOC_FIRE_VARIABLE_ROCK += $$(call mb_make_call,_mb_doc_variable4u_rock,$(1),$(2))) +$(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_VARIABLE_ROCK += $$(call mb_make_call,_mb_doc_variable4u_rock,$(1),$(2))) endef MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_variable_rock,"Saves formatted documention of an rock variable."," [desc]") define mb_doc_variable_flow -$(MB__    )$(eval MB_DOC_FIRE_VARIABLE_FLOW += $$(call mb_make_call,_mb_doc_variable4u_flow,$(1),$(2))) +$(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_VARIABLE_FLOW += $$(call mb_make_call,_mb_doc_variable4u_flow,$(1),$(2))) endef MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_variable_flow,"Saves formatted documention of an flow variable."," [desc]") define mb_doc_variable_i18n -$(MB__    )$(eval MB_DOC_FIRE_VARIABLE_I18N += $$(call mb_make_call,_mb_doc_variable4u_i18n,$(1),$(2))) +$(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_VARIABLE_I18N += $$(call mb_make_call,_mb_doc_variable4u_i18n,$(1),$(2))) endef MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_variable_i18n,"Saves formatted documention of an i18n variable."," [desc]") define _mb_doc_function_txt -$(MB__    )"* "$(2)$(if $(4), $(4))$(if $(3),\\n\\t$(3)\\n)\\n +$(MB_ᕽᕽᕽ   )"* "$(2)$(if $(4), $(4))$(if $(3),\\n\\t$(3)\\n)\\n endef define _mb_doc_function_xml -$(MB__    )\\n\\t$(call mb_make_call,mb_make_xml_open,function,$(MB_DOC_XML_ATTR_NAME),$(2),$(MB_DOC_XML_ATTR_LEVEL),$(1))\\n\\t\\t$(call mb_make_call,mb_make_xml_tag_value,$(MB_DOC_XML_TAG_ARGS),$(4))\\n\\t\\t$(call mb_make_call,mb_make_xml_tag_value,$(MB_DOC_XML_TAG_DESC),$(3))\\n\\t$(call mb_make_call,mb_make_xml_close,function) +$(MB_ᕽᕽᕽ   )\\n\\t$(call mb_make_call,mb_make_xml_open,function,$(MB_DOC_XML_ATTR_NAME),$(2),$(MB_DOC_XML_ATTR_LEVEL),$(1))\\n\\t\\t$(call mb_make_call,mb_make_xml_tag_value,$(MB_DOC_XML_TAG_ARGS),$(4))\\n\\t\\t$(call mb_make_call,mb_make_xml_tag_value,$(MB_DOC_XML_TAG_DESC),$(3))\\n\\t$(call mb_make_call,mb_make_xml_close,function) endef define _mb_doc_function4u_deep -$(MB__    )$(call mb_make_call,_mb_doc_function_$(MB_DOC_FORMAT),deep,$(1),$(2),$(3)) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,_mb_doc_function_$(MB_DOC_FORMAT),deep,$(1),$(2),$(3)) endef define _mb_doc_function4u_flow -$(MB__    )$(call mb_make_call,_mb_doc_function_$(MB_DOC_FORMAT),flow,$(1),$(2),$(3)) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,_mb_doc_function_$(MB_DOC_FORMAT),flow,$(1),$(2),$(3)) endef define mb_doc_function -$(MB__    )$(eval MB_DOC_FIRE_FUNCTION += $$(call mb_make_call,_mb_doc_function_$$(MB_DOC_FORMAT),build,$(1),$(2),$(3))) +$(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_FUNCTION += $$(call mb_make_call,_mb_doc_function_$$(MB_DOC_FORMAT),build,$(1),$(2),$(3))) endef MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_function,"Saves formatted documention of an function."," [desc] [args]") define mb_doc_function_deep -$(MB__    )$(eval MB_DOC_FIRE_FUNCTION_DEEP += $$(call mb_make_call,_mb_doc_function4u_deep,$(1),$(2),$(3))) +$(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_FUNCTION_DEEP += $$(call mb_make_call,_mb_doc_function4u_deep,$(1),$(2),$(3))) endef MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_function_deep,"Saves formatted documention of an deep function."," [desc] [args]") define mb_doc_function_flow -$(MB__    )$(eval MB_DOC_FIRE_FUNCTION_FLOW += $$(call mb_make_call,_mb_doc_function4u_flow,$(1),$(2),$(3))) +$(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_FUNCTION_FLOW += $$(call mb_make_call,_mb_doc_function4u_flow,$(1),$(2),$(3))) endef MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_function_flow,"Saves formatted documention of an flow function."," [desc] [args]") define _mb_doc_target_txt -$(MB__    )"* "$(2)$(if $(3),\\n\\t$(3))\\n\\n +$(MB_ᕽᕽᕽ   )"* "$(2)$(if $(3),\\n\\t$(3))\\n\\n endef define _mb_doc_target_xml -$(MB__    )\\n\\t$(call mb_make_call,mb_make_xml_open,target,$(MB_DOC_XML_ATTR_NAME),$(2),$(MB_DOC_XML_ATTR_LEVEL),$(1))$(if $3,\\n\\t\\t$(call mb_make_call,mb_make_xml_tag_value,$(MB_DOC_XML_TAG_DESC),$(3))\\n\\t$(call mb_make_call,mb_make_xml_close,target),\\n\\t$(call mb_make_call,mb_make_xml_close,target)) +$(MB_ᕽᕽᕽ   )\\n\\t$(call mb_make_call,mb_make_xml_open,target,$(MB_DOC_XML_ATTR_NAME),$(2),$(MB_DOC_XML_ATTR_LEVEL),$(1))$(if $3,\\n\\t\\t$(call mb_make_call,mb_make_xml_tag_value,$(MB_DOC_XML_TAG_DESC),$(3))\\n\\t$(call mb_make_call,mb_make_xml_close,target),\\n\\t$(call mb_make_call,mb_make_xml_close,target)) endef define mb_doc_target -$(MB__    )$(eval MB_DOC_FIRE_TARGET += $$(call mb_make_call,_mb_doc_target_$$(MB_DOC_FORMAT),build,$(1),$(2))) +$(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_TARGET += $$(call mb_make_call,_mb_doc_target_$$(MB_DOC_FORMAT),build,$(1),$(2))) endef MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_target,"Saves formatted documention of an target."," [desc]") define mb_doc_target_deep -$(MB__    )$(eval MB_DOC_FIRE_TARGET_DEEP += $$(call mb_make_call,_mb_doc_target_$$(MB_DOC_FORMAT),deep,$(1),$(2))) +$(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_TARGET_DEEP += $$(call mb_make_call,_mb_doc_target_$$(MB_DOC_FORMAT),deep,$(1),$(2))) endef MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_target_deep,"Saves formatted documention of an deep target."," [desc]") define mb_doc_target_help -$(MB__    )$(eval MB_DOC_FIRE_TARGET_HELP += $$(call mb_make_call,_mb_doc_target_$(MB_DOC_FORMAT),help,$(1),$(2))) +$(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_TARGET_HELP += $$(call mb_make_call,_mb_doc_target_$$(MB_DOC_FORMAT),help,$(1),$(2))) endef MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_target_help,"Saves formatted documention of an help target."," [desc]") define mb_doc_target_run -$(MB__    )$(eval MB_DOC_FIRE_TARGET_RUN += $$(call mb_make_call,_mb_doc_target_$$(MB_DOC_FORMAT),run,$(1),$(2))) +$(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_TARGET_RUN += $$(call mb_make_call,_mb_doc_target_$$(MB_DOC_FORMAT),run,$(1),$(2))) endef MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_target_run,"Saves formatted documention of an run target."," [desc]") define __mb_doc_flow_help -.RECIPEPREFIX := $(MB__RECIPE) +.RECIPEPREFIX := $(MB_@RECIPE) @help: -$(MB__RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),@echo "Welcome to the $$(if $(1),$(1),MSXBUILD) help system.\\n\\nFor detailed fire help use one of the following targets;") -$(MB__RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_xml_open,$$(MB_DOC_XML_ROOT))) -$(MB__RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_HELP) -$(MB__RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_xml_close,$$(MB_DOC_XML_ROOT))) -$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@help) +$(MB_@RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),@echo -e "Welcome to the $$(if $(1),$(1),MSXBUILD) help system.\\n\\nFor detailed fire help use one of the following targets;") +$(MB_@RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo -e $$(call mb_make_xml_open,$$(MB_DOC_XML_ROOT))) +$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_HELP) +$(MB_@RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo -e $$(call mb_make_xml_close,$$(MB_DOC_XML_ROOT))) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@help) @help-variable: -$(MB__RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),@echo "Documention of the make fire variables;") -$(MB__RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) -$(MB__RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE) -$(MB__RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) -$(MB__    )$$(call mb_make_call,mb_doc_target_help,@help-variable,"Lists build variables.") -$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@help-variable) +$(MB_@RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),@echo "Documention of the make fire variables;") +$(MB_@RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) +$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE) +$(MB_@RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@help-variable,"Lists build variables.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@help-variable) @help-variable-deep: -$(MB__RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),@echo "Documention of the deep make variables;") -$(MB__RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) -$(MB__RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE_DEEP) -$(MB__RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) -$(MB__    )$$(call mb_make_call,mb_doc_target_help,@help-variable-deep,"Lists deep variables.") -$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@help-variable-deep) +$(MB_@RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),@echo "Documention of the deep make variables;") +$(MB_@RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) +$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE_DEEP) +$(MB_@RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@help-variable-deep,"Lists deep variables.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@help-variable-deep) @help-variable-rock: -$(MB__RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),@echo "Documention of the rock make variables;") -$(MB__RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) -$(MB__RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE_ROCK) -$(MB__RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) -$(MB__    )$$(call mb_make_call,mb_doc_target_help,@help-variable-rock,"Lists rock variables.") -$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@help-variable-rock) +$(MB_@RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),@echo "Documention of the rock make variables;") +$(MB_@RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) +$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE_ROCK) +$(MB_@RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@help-variable-rock,"Lists rock variables.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@help-variable-rock) @help-variable-flow: -$(MB__RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),@echo "Documention of the flow make variables;") -$(MB__RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) -$(MB__RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE_FLOW) -$(MB__RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) -$(MB__    )$$(call mb_make_call,mb_doc_target_help,@help-variable-flow,"Lists flow variables.") -$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@help-variable-flow) +$(MB_@RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),@echo "Documention of the flow make variables;") +$(MB_@RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) +$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE_FLOW) +$(MB_@RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@help-variable-flow,"Lists flow variables.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@help-variable-flow) @help-variable-i18n: -$(MB__RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),@echo "Documention of the i18n make variables;") -$(MB__RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) -$(MB__RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE_I18N) -$(MB__RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) -$(MB__    )$$(call mb_make_call,mb_doc_target_help,@help-variable-i18n,"Lists i18n variables.") -$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@help-variable-i18n) +$(MB_@RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),@echo "Documention of the i18n make variables;") +$(MB_@RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) +$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE_I18N) +$(MB_@RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@help-variable-i18n,"Lists i18n variables.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@help-variable-i18n) @help-function: -$(MB__RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),@echo "Documention of the make fire functions;") -$(MB__RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) -$(MB__RECIPE)@echo -e $$(MB_DOC_FIRE_FUNCTION) -$(MB__RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) -$(MB__    )$$(call mb_make_call,mb_doc_target_help,@help-function,"Lists build functions.") -$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@help-function) +$(MB_@RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),@echo "Documention of the make fire functions;") +$(MB_@RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) +$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_FUNCTION) +$(MB_@RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@help-function,"Lists build functions.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@help-function) @help-function-deep: -$(MB__RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),@echo "Documention of the deep make functions;") -$(MB__RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) -$(MB__RECIPE)@echo -e $$(MB_DOC_FIRE_FUNCTION_DEEP) -$(MB__RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) -$(MB__    )$$(call mb_make_call,mb_doc_target_help,@help-function-deep,"Lists deep functions.") -$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@help-function-deep) +$(MB_@RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),@echo "Documention of the deep make functions;") +$(MB_@RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) +$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_FUNCTION_DEEP) +$(MB_@RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@help-function-deep,"Lists deep functions.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@help-function-deep) @help-function-flow: -$(MB__RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),@echo "Documention of the flow make functions;") -$(MB__RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) -$(MB__RECIPE)@echo -e $$(MB_DOC_FIRE_FUNCTION_FLOW) -$(MB__RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) -$(MB__    )$$(call mb_make_call,mb_doc_target_help,@help-function-flow,"Lists flow functions.") -$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@help-function-flow) +$(MB_@RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),@echo "Documention of the flow make functions;") +$(MB_@RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) +$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_FUNCTION_FLOW) +$(MB_@RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@help-function-flow,"Lists flow functions.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@help-function-flow) @help-target: -$(MB__RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),@echo "Build one of the following make fire targets;") -$(MB__RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) -$(MB__RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET) -$(MB__RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) -$(MB__    )$$(call mb_make_call,mb_doc_target_help,@help-target,"Lists build targets.") -$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@help-target) +$(MB_@RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),@echo "Build one of the following make fire targets;") +$(MB_@RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) +$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET) +$(MB_@RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@help-target,"Lists build targets.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@help-target) @help-target-deep: -$(MB__RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),@echo "Build one of the following deep make targets;") -$(MB__RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) -$(MB__RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_DEEP) -$(MB__RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) -$(MB__    )$$(call mb_make_call,mb_doc_target_help,@help-target-deep,"Lists deep targets.") -$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@help-target-deep) +$(MB_@RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),@echo "Build one of the following deep make targets;") +$(MB_@RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) +$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_DEEP) +$(MB_@RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@help-target-deep,"Lists deep targets.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@help-target-deep) @help-target-run: -$(MB__RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),@echo "Build one of the following run make targets;") -$(MB__RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) -$(MB__RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_RUN) -$(MB__RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) -$(MB__    )$$(call mb_make_call,mb_doc_target_help,@help-target-run,"Lists runnable targets.") -$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@help-target-run) +$(MB_@RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),@echo "Build one of the following run make targets;") +$(MB_@RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) +$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_RUN) +$(MB_@RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@help-target-run,"Lists runnable targets.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@help-target-run) @help-all: -$(MB__RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),@echo -e "Documention of all fire functions/variables/targets;\\n") -$(MB__RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) -$(MB__RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_os_echo_good,"Variables")) -$(MB__RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE) -$(MB__RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_os_echo_good,"Variables in the deep")) -$(MB__RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE_DEEP) -$(MB__RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_os_echo_good,"Variables hard as rock")) -$(MB__RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE_ROCK) -$(MB__RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_os_echo_good,"Variables defining flow")) -$(MB__RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE_FLOW) -$(MB__RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_os_echo_good,"Variables for i18n letters")) -$(MB__RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE_I18N) -$(MB__RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_os_echo_good,"Functions")) -$(MB__RECIPE)@echo -e $$(MB_DOC_FIRE_FUNCTION) -$(MB__RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_os_echo_good,"Functions in the deep")) -$(MB__RECIPE)@echo -e $$(MB_DOC_FIRE_FUNCTION_DEEP) -$(MB__RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_os_echo_good,"Functions building flow")) -$(MB__RECIPE)@echo -e $$(MB_DOC_FIRE_FUNCTION_FLOW) -$(MB__RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_os_echo_good,"Targets")) -$(MB__RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET) -$(MB__RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_os_echo_good,"Targets in the deep")) -$(MB__RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_DEEP) -$(MB__RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_os_echo_good,"Targets that run away")) -$(MB__RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_RUN) -$(MB__RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_os_echo_good,"Targets main project help")) -$(MB__RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_HELP) -$(MB__RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) -$(MB__RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_os_echo_good,OK)) -$(MB__    )$$(call mb_make_call,mb_doc_target_help,@help-all,"Lists all documented information.") -$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@help-all) +$(MB_@RECIPE)$$(eval MB_DOC_FORMAT := xml) +$(MB_@RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),@echo -e "Documention of all fire functions/variables/targets;\\n") +$(MB_@RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo -e $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) +$(MB_@RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_os_echo_good,"Variables")) +$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE) +$(MB_@RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_os_echo_good,"Variables in the deep")) +$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE_DEEP) +$(MB_@RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_os_echo_good,"Variables hard as rock")) +$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE_ROCK) +$(MB_@RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_os_echo_good,"Variables defining flow")) +$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE_FLOW) +$(MB_@RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_os_echo_good,"Variables for i18n letters")) +$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE_I18N) +$(MB_@RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_os_echo_good,"Functions")) +$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_FUNCTION) +$(MB_@RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_os_echo_good,"Functions in the deep")) +$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_FUNCTION_DEEP) +$(MB_@RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_os_echo_good,"Functions building flow")) +$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_FUNCTION_FLOW) +$(MB_@RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_os_echo_good,"Targets")) +$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET) +$(MB_@RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_os_echo_good,"Targets in the deep")) +$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_DEEP) +$(MB_@RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_os_echo_good,"Targets that run away")) +$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_RUN) +$(MB_@RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_os_echo_good,"Targets main project help")) +$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_HELP) +$(MB_@RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo -e $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) +$(MB_@RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_os_echo_good,OK)) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@help-all,"Lists all documented information.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@help-all) @help-firemake: -$(MB__RECIPE)MB_DOC_FORMAT$(MB_MAKE_EQUALS)xml $$(MAKE) -s @help-all -$(MB__    )$$(call mb_make_call,mb_doc_target_help,@help-firemake,"Output all documention in XML for IDE integration.") -$(MB__    )$$(call mb_make_call,mb_make_flag_phony,@help-firemake) +$(MB_@RECIPE)MB_DOC_FORMAT$(MB_MAKE_EQUALS)xml $$(MAKE) -s @help-all +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@help-firemake,"Output all documention in XML for IDE integration.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@help-firemake) -.RECIPEPREFIX := $(MB__RECIPEPREFIX) +.RECIPEPREFIX := $(MB_@RECIPEPREFIX) endef define mb_doc_flow_help -$(MB__    )$(if $(1),,$(error $(1) missing)) -$(MB__    )$(eval $(call mb_make_call,__mb_doc_flow_help,$(1))) -$(MB__    )$(if $(.DEFAULT_GOAL),,$(eval .DEFAULT_GOAL :$(MB_MAKE_EQUALS) @help)) +$(MB_ᕽᕽᕽ   )$(eval $(call mb_make_call,__$(0),$(1))) +$(MB_ᕽᕽᕽ   )$(if $(.DEFAULT_GOAL),,$(eval .DEFAULT_GOAL :$(MB_MAKE_EQUALS) @help)) endef -MB_DOC_FIRE_FUNCTION_FLOW += $(call mb_make_call,_mb_doc_function4u_flow,mb_doc_flow_help,"Prints flow of fire help target.","[project-name]") +MB_DOC_FIRE_FUNCTION_FLOW += $(call mb_make_call,_mb_doc_function4u_flow,mb_doc_flow_help,"Prints flow of fire help target.") diff --git a/lib/make/प्रणाली/mb_make.mk b/lib/make/प्रणाली/mb_make.mk index 0bad3af..b836185 100644 --- a/lib/make/प्रणाली/mb_make.mk +++ b/lib/make/प्रणाली/mb_make.mk @@ -18,7 +18,7 @@ MB_MAKE_DOLLAR := $$ $(call mb_make_call,mb_doc_variable_rock,MB_MAKE_DOLLAR,"Expanded special char; dollar.") -define mb_make_flag_phony +define mb_make_target_phony .PHONY: $(1) endef $(call mb_make_call,mb_doc_function_deep,mb_make_flag_phony,"Marks an target as phony.","") @@ -31,81 +31,25 @@ $(call mb_make_call,mb_doc_function_deep,mb_make_newline,"Prints a newline chara define mb_make_space2comma -$(MB__    )$(subst $(MB_MAKE_SPACE),$(MB_MAKE_COMMA),$1) +$(MB_ᕽᕽᕽ   )$(subst $(MB_MAKE_SPACE),$(MB_MAKE_COMMA),$1) endef $(call mb_make_call,mb_doc_function_deep,mb_make_space2comma,"Replaces all spaces witth comma's.") -define mb_make_rwildcard -$(MB__    )$(foreach d,$(wildcard $1*),$(call mb_make_rwildcard,$d/,$2) $(filter $(subst *,%,$2),$d)) +define mb_make_wildcard_treewalker +$(MB_ᕽᕽᕽ   )$(foreach _dir,$(wildcard $(1)*),$(call mb_make_wildcard_treewalker,$(_dir)/,$(2)) $(filter $(subst *,%,$(2)),$(_dir))) endef -$(call mb_make_call,mb_doc_function_deep,mb_make_rwildcard,"Recursive wildcard search."," ") +$(call mb_make_call,mb_doc_function_deep,mb_make_wildcard_treewalker,"Recursive wildcard search."," ") define mb_make_lowercase -$(MB__    )$(subst A,a,$(subst B,b,$(subst C,c,$(subst D,d,$(subst E,e,$(subst F,f,$(subst G,g,$(subst H,h,$(subst I,i,$(subst J,j,$(subst K,k,$(subst L,l,$(subst M,m,$(subst N,n,$(subst O,o,$(subst P,p,$(subst Q,q,$(subst R,r,$(subst S,s,$(subst T,t,$(subst U,u,$(subst V,v,$(subst W,w,$(subst X,x,$(subst Y,y,$(subst Z,z,$(1))))))))))))))))))))))))))) +$(MB_ᕽᕽᕽ   )$(subst A,a,$(subst B,b,$(subst C,c,$(subst D,d,$(subst E,e,$(subst F,f,$(subst G,g,$(subst H,h,$(subst I,i,$(subst J,j,$(subst K,k,$(subst L,l,$(subst M,m,$(subst N,n,$(subst O,o,$(subst P,p,$(subst Q,q,$(subst R,r,$(subst S,s,$(subst T,t,$(subst U,u,$(subst V,v,$(subst W,w,$(subst X,x,$(subst Y,y,$(subst Z,z,$(1))))))))))))))))))))))))))) endef $(call mb_make_call,mb_doc_function_deep,mb_make_lowercase,"Converts ascii string to lowercase.","") define mb_make_uppercase -$(MB__    )$(subst a,A,$(subst b,B,$(subst c,C,$(subst d,D,$(subst e,E,$(subst f,F,$(subst g,G,$(subst h,H,$(subst i,I,$(subst j,J,$(subst k,K,$(subst l,L,$(subst m,M,$(subst n,N,$(subst o,O,$(subst p,P,$(subst q,Q,$(subst r,R,$(subst s,S,$(subst t,T,$(subst u,U,$(subst v,V,$(subst w,W,$(subst x,X,$(subst y,Y,$(subst z,Z,$(1))))))))))))))))))))))))))) +$(MB_ᕽᕽᕽ   )$(subst a,A,$(subst b,B,$(subst c,C,$(subst d,D,$(subst e,E,$(subst f,F,$(subst g,G,$(subst h,H,$(subst i,I,$(subst j,J,$(subst k,K,$(subst l,L,$(subst m,M,$(subst n,N,$(subst o,O,$(subst p,P,$(subst q,Q,$(subst r,R,$(subst s,S,$(subst t,T,$(subst u,U,$(subst v,V,$(subst w,W,$(subst x,X,$(subst y,Y,$(subst z,Z,$(1))))))))))))))))))))))))))) endef $(call mb_make_call,mb_doc_function_deep,mb_make_uppercase,"Converts ascii string to uppercase.","") - -define __mb_make_flow_0module -include $$(call mb_make_call,mb_make_rwildcard,$(1),*/0module.mk) -endef -define mb_make_flow_0module -$(MB__    )$(call mb_make_call,mb_make_check_arg1,mb_make_flow_0module,$(1)) -$(MB__    )$(eval $(call mb_make_call,__mb_make_flow_0module,$(1))) -endef -$(call mb_make_call,mb_doc_function_flow,mb_make_flow_0module,"Prints flow of recursive 0module.mk include.","") - - -define mb_make_check_variable -$(MB__    )$(if $($(1)),,$(error $(1): Is empty)) -endef -$(call mb_make_call,mb_doc_function_deep,mb_make_check_variable,"Checks that a variable is not empty.","") - - -define mb_make_check_arg1 -$(MB__    )$(if $(2),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG1))) -endef -$(call mb_make_call,mb_doc_function_deep,mb_make_check_arg1,"Checks that a function has a given argument."," ") - - -define mb_make_check_arg2 -$(MB__    )$(if $(2),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG1))) \ -$(MB__    )$(if $(3),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG2))) -endef -$(call mb_make_call,mb_doc_function_deep,mb_make_check_arg2,"Checks that a function has the given arguments."," ") - - -define mb_make_check_arg3 -$(MB__    )$(if $(2),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG1))) \ -$(MB__    )$(if $(3),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG2))) \ -$(MB__    )$(if $(4),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG3))) -endef -$(call mb_make_call,mb_doc_function_deep,mb_make_check_arg3,"Checks that a function has the given arguments."," ") - - -define mb_make_check_arg4 -$(MB__    )$(if $(2),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG1))) \ -$(MB__    )$(if $(3),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG2))) \ -$(MB__    )$(if $(4),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG3))) \ -$(MB__    )$(if $(5),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG4))) -endef -$(call mb_make_call,mb_doc_function_deep,mb_make_check_arg4,"Checks that a function has the given arguments."," ") - - -define mb_make_check_arg5 -$(MB__    )$(if $(2),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG1))) \ -$(MB__    )$(if $(3),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG2))) \ -$(MB__    )$(if $(4),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG3))) \ -$(MB__    )$(if $(5),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG4))) \ -$(MB__    )$(if $(6),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG5))) -endef -$(call mb_make_call,mb_doc_function_deep,mb_make_check_arg5,"Checks that a function has the given arguments."," ") - diff --git a/lib/make/प्रणाली/mb_make_call.mk b/lib/make/प्रणाली/mb_make_call.mk index 780cd31..1ce9eee 100644 --- a/lib/make/प्रणाली/mb_make_call.mk +++ b/lib/make/प्रणाली/mb_make_call.mk @@ -13,10 +13,10 @@ MB_MAKE_CALL_DEBUG ?= off 9 := define _mb_make_call_debug -$(MB__    )$(if $(filter off,$(MB_MAKE_CALL_DEBUG)),,$(if $(findstring mb_doc_,$(1)),,$(warning DEBUG $(1) $(2) $(3) $(4) $(5) $(6) $(7) $(8) $(9)))) +$(MB_ᕽᕽᕽ   )$(if $(filter off,$(MB_MAKE_CALL_DEBUG)),,$(if $(findstring mb_doc_,$(1)),,$(warning DEBUG $(1) $(2) $(3) $(4) $(5) $(6) $(7) $(8) $(9)))) endef define mb_make_call -$(MB__    )$(call _mb_make_call_debug,$(1),$(2),$(3),$(4),$(5),$(6),$(7),$(8),$(9))$(if $(filter file,$(origin $(1))),$(call $(1),$(2),$(3),$(4),$(5),$(6),$(7),$(8),$(9)),$(error $(1) is not defined)) +$(MB_ᕽᕽᕽ   )$(call _mb_make_call_debug,$(1),$(2),$(3),$(4),$(5),$(6),$(7),$(8),$(9))$(if $(filter file,$(origin $(1))),$(call $(1),$(2),$(3),$(4),$(5),$(6),$(7),$(8),$(9)),$(error $(1) is not defined)) endef $(call mb_make_call,mb_doc_function_deep,mb_make_call,"Checked origin call function wrapper."," [args...]") diff --git a/lib/make/प्रणाली/mb_make_check.mk b/lib/make/प्रणाली/mb_make_check.mk new file mode 100644 index 0000000..9c4839b --- /dev/null +++ b/lib/make/प्रणाली/mb_make_check.mk @@ -0,0 +1,52 @@ + +define mb_make_check_variable +$(MB_ᕽᕽᕽ   )$(if $($(1)),,$(error $(1): Is empty)) +endef +$(call mb_make_call,mb_doc_function_deep,mb_make_check_variable,"Checks that a variable is not empty.","") + + +define mb_make_check_value_valid +$(MB_ᕽᕽᕽ   )$(if $(filter $(2),$(3)),,$(error $(1): $(2) Is not valid, options are: $(3))) +endef +$(call mb_make_call,mb_doc_function_deep,mb_make_check_value_valid,"Checks that a value is valid."," ") + + +define mb_make_check_arg1 +$(MB_ᕽᕽᕽ   )$(if $(2),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG1))) +endef +$(call mb_make_call,mb_doc_function_deep,mb_make_check_arg1,"Checks that a function has a given argument."," ") + + +define mb_make_check_arg2 +$(MB_ᕽᕽᕽ   )$(if $(2),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG1))) \ +$(MB_ᕽᕽᕽ   )$(if $(3),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG2))) +endef +$(call mb_make_call,mb_doc_function_deep,mb_make_check_arg2,"Checks that a function has the given arguments."," ") + + +define mb_make_check_arg3 +$(MB_ᕽᕽᕽ   )$(if $(2),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG1))) \ +$(MB_ᕽᕽᕽ   )$(if $(3),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG2))) \ +$(MB_ᕽᕽᕽ   )$(if $(4),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG3))) +endef +$(call mb_make_call,mb_doc_function_deep,mb_make_check_arg3,"Checks that a function has the given arguments."," ") + + +define mb_make_check_arg4 +$(MB_ᕽᕽᕽ   )$(if $(2),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG1))) \ +$(MB_ᕽᕽᕽ   )$(if $(3),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG2))) \ +$(MB_ᕽᕽᕽ   )$(if $(4),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG3))) \ +$(MB_ᕽᕽᕽ   )$(if $(5),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG4))) +endef +$(call mb_make_call,mb_doc_function_deep,mb_make_check_arg4,"Checks that a function has the given arguments."," ") + + +define mb_make_check_arg5 +$(MB_ᕽᕽᕽ   )$(if $(2),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG1))) \ +$(MB_ᕽᕽᕽ   )$(if $(3),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG2))) \ +$(MB_ᕽᕽᕽ   )$(if $(4),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG3))) \ +$(MB_ᕽᕽᕽ   )$(if $(5),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG4))) \ +$(MB_ᕽᕽᕽ   )$(if $(6),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG5))) +endef +$(call mb_make_call,mb_doc_function_deep,mb_make_check_arg5,"Checks that a function has the given arguments."," ") + diff --git a/lib/make/प्रणाली/mb_make_xml.mk b/lib/make/प्रणाली/mb_make_xml.mk index 8a666a0..24080f9 100644 --- a/lib/make/प्रणाली/mb_make_xml.mk +++ b/lib/make/प्रणाली/mb_make_xml.mk @@ -13,31 +13,31 @@ $(call mb_make_call,mb_doc_variable_rock,MB_MAKE_XML_CDATA_END,"Expanded special define _escape_xml_attr -$(MB__    )$(subst <,\u0026lt\u003B,$(subst >,\u003Cgt\u003B,$(subst $(MB_MAKE_XML_CDATA_START),,$(subst $(MB_MAKE_XML_CDATA_END),,$(1))))) +$(MB_ᕽᕽᕽ   )$(subst <,\u0026lt\u003B,$(subst >,\u003Cgt\u003B,$(subst $(MB_MAKE_XML_CDATA_START),,$(subst $(MB_MAKE_XML_CDATA_END),,$(1))))) endef define mb_make_xml_open -$(MB__    )"$(MB_MAKE_XML_LT)"$(1)$(if $(2), $(2)$(MB_MAKE_EQUALS)\"$(call mb_make_call,_escape_xml_value,$(3))\")$(if $(4), $(4)$(MB_MAKE_EQUALS)\"$(call mb_make_call,_escape_xml_attr,$(5))\")"$(MB_MAKE_XML_GT)" +$(MB_ᕽᕽᕽ   )"$(MB_MAKE_XML_LT)"$(1)$(if $(2), $(2)$(MB_MAKE_EQUALS)\"$(call mb_make_call,_escape_xml_value,$(3))\")$(if $(4), $(4)$(MB_MAKE_EQUALS)\"$(call mb_make_call,_escape_xml_attr,$(5))\")"$(MB_MAKE_XML_GT)" endef $(call mb_make_call,mb_doc_function_deep,mb_make_xml_open,"Print xml open tag."," [attr1-name] [attr1-value] [attr2-name] [attr2-value]") define mb_make_xml_close -$(MB__    )"$(MB_MAKE_XML_LT)"/$(1)"$(MB_MAKE_XML_GT)" +$(MB_ᕽᕽᕽ   )"$(MB_MAKE_XML_LT)"/$(1)"$(MB_MAKE_XML_GT)" endef $(call mb_make_call,mb_doc_function_deep,mb_make_xml_close,"Print xml close tag.","") define _escape_xml_value -$(MB__    )$(subst <,\u003C,$(subst >,\u003E,$(subst $(MB_MAKE_XML_CDATA_START),,$(subst $(MB_MAKE_XML_CDATA_END),,$(1))))) +$(MB_ᕽᕽᕽ   )$(subst <,\u003C,$(subst >,\u003E,$(subst $(MB_MAKE_XML_CDATA_START),,$(subst $(MB_MAKE_XML_CDATA_END),,$(1))))) endef define mb_make_xml_value -$(MB__    )"$(MB_MAKE_XML_CDATA_START)"$(call mb_make_call,_escape_xml_value,$(1))"$(MB_MAKE_XML_CDATA_END)" +$(MB_ᕽᕽᕽ   )"$(MB_MAKE_XML_CDATA_START)"$(call mb_make_call,_escape_xml_value,$(1))"$(MB_MAKE_XML_CDATA_END)" endef $(call mb_make_call,mb_doc_function_deep,mb_make_xml_value,"Print xml value in cdata wrapper.","") define mb_make_xml_tag_value -$(MB__    )$(if $(2),$(call mb_make_call,mb_make_xml_open,$(1))$(call mb_make_call,mb_make_xml_value,$(2))$(call mb_make_call,mb_make_xml_close,$(1))) +$(MB_ᕽᕽᕽ   )$(if $(2),$(call mb_make_call,mb_make_xml_open,$(1))$(call mb_make_call,mb_make_xml_value,$(2))$(call mb_make_call,mb_make_xml_close,$(1))) endef $(call mb_make_call,mb_doc_function_deep,mb_make_xml_tag_value,"Print xml tag with value inside."," [value]") diff --git a/lib/make/प्रणाली/mb_xxx.mk b/lib/make/प्रणाली/mb_xxx.mk new file mode 100644 index 0000000..285a418 --- /dev/null +++ b/lib/make/प्रणाली/mb_xxx.mk @@ -0,0 +1,19 @@ +# +# Latin1 white space and latin1 tab space are not usable in makefiles. +# Setup private chinese white space +# +MB_ᕽᕽᕽ  := +MB_ᕽᕽᕽ   := +MB_ᕽᕽᕽ    := +MB_ᕽᕽᕽ     := +MB_ᕽᕽᕽ      := +MB_ᕽᕽᕽ       := +MB_ᕽᕽᕽ        := +MB_ᕽᕽᕽ         := +MB_ᕽᕽᕽ          := +MB_ᕽᕽᕽ           := +MB_ᕽᕽᕽ            := +MB_ᕽᕽᕽ             := +MB_ᕽᕽᕽ              := +MB_ᕽᕽᕽ               := + diff --git a/lib/make/ᐊᑐᕐᓗᒍ/mb_conv.mk b/lib/make/ᐊᑐᕐᓗᒍ/mb_conv.mk index 2e60078..8000274 100644 --- a/lib/make/ᐊᑐᕐᓗᒍ/mb_conv.mk +++ b/lib/make/ᐊᑐᕐᓗᒍ/mb_conv.mk @@ -1,16 +1,16 @@ define mb_conv_unix2dos -$(MB__    )$(call mb_make_call,mb_make_check_arg2,mb_conv_unix2dos,$(1),$(2)) -$(MB__    )$(call mb_make_call,mb_os_echo_command,$(MB_I18N_CONV_UNIX2DOS) $(2)) -$(MB__    )unix2dos -q -n $(1) $(2) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg2,mb_conv_unix2dos,$(1),$(2)) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_os_echo_command,$(MB_I18N_CONV_UNIX2DOS) $(2)) +$(MB_ᕽᕽᕽ   )unix2dos -q -n $(1) $(2) endef $(call mb_make_call,mb_doc_function,mb_conv_unix2dos,"Converts an unix file to dos."," ") define mb_conv_dos2unix -$(MB__    )$(call mb_make_call,mb_make_check_arg2,mb_conv_dos2unix,$(1),$(2)) -$(MB__    )$(call mb_make_call,mb_os_echo_command,$(MB_I18N_CONV_DOS2UNIX) $(2)) -$(MB__    )dos2unix -q -n $(1) $(2) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg2,mb_conv_dos2unix,$(1),$(2)) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_os_echo_command,$(MB_I18N_CONV_DOS2UNIX) $(2)) +$(MB_ᕽᕽᕽ   )dos2unix -q -n $(1) $(2) endef $(call mb_make_call,mb_doc_function,mb_conv_dos2unix,"Converts an dos file to unix."," ") diff --git a/lib/make/ᐊᑐᕐᓗᒍ/mb_os.mk b/lib/make/ᐊᑐᕐᓗᒍ/mb_os.mk index ceb3ea5..8551b2b 100644 --- a/lib/make/ᐊᑐᕐᓗᒍ/mb_os.mk +++ b/lib/make/ᐊᑐᕐᓗᒍ/mb_os.mk @@ -29,81 +29,81 @@ $(call mb_make_call,mb_doc_variable_rock,MB_OS_TERM_COLORS,"Native OS terminal c ifdef OS ifeq ($(OS),Windows_NT) -$(MB__    )MB_OS_RM ?= del /F /Q -$(MB__    )MB_OS_RMDIR ?= RMDIR /S /Q -$(MB__    )MB_OS_MKDIR ?= mkdir -$(MB__    )MB_OS_COPY ?= copy -$(MB__    )MB_OS_STDOUT_IGNORE ?= >NUL -$(MB__    )MB_OS_STDERR_IGNORE ?= 2>NUL || true -$(MB__    )MB_OS_SEP ?=\ -$(MB__    )MB_OS_CACHE ?= %LOCALAPPDATA% -$(MB__    )MB_OS_TERM_COLORS ?= -1 +$(MB_ᕽᕽᕽ   )MB_OS_RM ?= del /F /Q +$(MB_ᕽᕽᕽ   )MB_OS_RMDIR ?= RMDIR /S /Q +$(MB_ᕽᕽᕽ   )MB_OS_MKDIR ?= mkdir +$(MB_ᕽᕽᕽ   )MB_OS_COPY ?= copy +$(MB_ᕽᕽᕽ   )MB_OS_STDOUT_IGNORE ?= >NUL +$(MB_ᕽᕽᕽ   )MB_OS_STDERR_IGNORE ?= 2>NUL || true +$(MB_ᕽᕽᕽ   )MB_OS_SEP ?=\ +$(MB_ᕽᕽᕽ   )MB_OS_CACHE ?= %LOCALAPPDATA% +$(MB_ᕽᕽᕽ   )MB_OS_TERM_COLORS ?= -1 endif endif define mb_os_dir_delete -$(MB__    )$(MB_OS_RMDIR) $(1) +$(MB_ᕽᕽᕽ   )$(MB_OS_RMDIR) $(1) endef $(call mb_make_call,mb_doc_function,mb_os_dir_delete,"Delete the full folder.","") define mb_os_dir_create -$(MB__    )$(MB_OS_MKDIR) $(1) +$(MB_ᕽᕽᕽ   )$(MB_OS_MKDIR) $(1) endef $(call mb_make_call,mb_doc_function,mb_os_dir_create,"Creates an folder.","") define mb_os_file_delete -$(MB__    )$(MB_OS_RM) $(1) +$(MB_ᕽᕽᕽ   )$(MB_OS_RM) $(1) endef $(call mb_make_call,mb_doc_function,mb_os_file_delete,"Deletes an file.","") define mb_os_file_copy -$(MB__    )$(MB_OS_COPY) $(1) $(2) +$(MB_ᕽᕽᕽ   )$(MB_OS_COPY) $(1) $(2) endef $(call mb_make_call,mb_doc_function,mb_os_file_copy,"Copy an file."," ") define mb_os_crayon_tagged_echo -$(MB__    )$(if $(filter -1,$(MB_OS_TERM_COLORS)),@echo $(MB_I18N_OS_CRAYON_TAG) $(2),@echo -e "\x1B[$(1)m$(MB_I18N_OS_CRAYON_TAG)\x1B[39m $(2)") +$(MB_ᕽᕽᕽ   )$(if $(filter -1,$(MB_OS_TERM_COLORS)),@echo $(MB_I18N_OS_CRAYON_TAG) $(2),@echo -e "\x1B[$(1)m$(MB_I18N_OS_CRAYON_TAG)\x1B[39m $(2)") endef $(call mb_make_call,mb_doc_function_deep,mb_os_crayon_tagged_echo,"Echo's an prefixed message to stdout with ansi color code."," ") define mb_os_echo_fail -$(MB__    )$(call mb_make_call,mb_os_crayon_tagged_echo,31,$(1)) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_os_crayon_tagged_echo,31,$(1)) endef $(call mb_make_call,mb_doc_function_deep,mb_os_echo_fail,"Echo's an message to stdout with 'red' crayon.","") define mb_os_echo_good -$(MB__    )$(call mb_make_call,mb_os_crayon_tagged_echo,32,$(1)) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_os_crayon_tagged_echo,32,$(1)) endef $(call mb_make_call,mb_doc_function_deep,mb_os_echo_good,"Echo's an message to stdout with 'green' crayon.","") define mb_os_echo_assert -$(MB__    )$(call mb_make_call,mb_os_crayon_tagged_echo,33,$(1)) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_os_crayon_tagged_echo,33,$(1)) endef $(call mb_make_call,mb_doc_function_deep,mb_os_echo_assert,"Echo's an message to stdout with 'yellow' crayon.","") define mb_os_echo_command -$(MB__    )$(call mb_make_call,mb_os_crayon_tagged_echo,34,$(1)) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_os_crayon_tagged_echo,34,$(1)) endef $(call mb_make_call,mb_doc_function_deep,mb_os_echo_command,"Echo's an message to stdout with 'blue' crayon.","") define mb_os_echo_phase -$(MB__    )$(call mb_make_call,mb_os_crayon_tagged_echo,35,$(1)) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_os_crayon_tagged_echo,35,$(1)) endef $(call mb_make_call,mb_doc_function_deep,mb_os_echo_phase,"Echo's an message to stdout with 'magenta' crayon.","") define mb_os_echo_remark -$(MB__    )$(call mb_make_call,mb_os_crayon_tagged_echo,36,$(1)) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_os_crayon_tagged_echo,36,$(1)) endef $(call mb_make_call,mb_doc_function_deep,mb_os_echo_alert,"Echo's an message to stdout with 'cyan' crayon.","") diff --git a/lib/make/ᐊᑐᕐᓗᒍ/mb_package.mk b/lib/make/ᐊᑐᕐᓗᒍ/mb_package.mk index e900a0c..a27520f 100644 --- a/lib/make/ᐊᑐᕐᓗᒍ/mb_package.mk +++ b/lib/make/ᐊᑐᕐᓗᒍ/mb_package.mk @@ -1,7 +1,7 @@ define mb_package_create_archive -$(MB__    )$(call mb_make_call,mb_os_echo_command,$(MB_I18N_PACKAGE_CREATE_ARCHIVE) $(2)) -$(MB__    )tar -czf $(2) -C $(1) `ls $(1)` +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_os_echo_command,$(MB_I18N_PACKAGE_CREATE_ARCHIVE) $(2)) +$(MB_ᕽᕽᕽ   )tar -czf $(2) -C $(1) `ls $(1)` endef $(call mb_make_call,mb_doc_function,mb_package_create_archive,"Create an distribution archive."," ") diff --git a/lib/make/ᐊᑐᕐᓗᒍ/mb_sdcc.mk b/lib/make/ᐊᑐᕐᓗᒍ/mb_sdcc.mk index fbe2dcb..6a6de97 100644 --- a/lib/make/ᐊᑐᕐᓗᒍ/mb_sdcc.mk +++ b/lib/make/ᐊᑐᕐᓗᒍ/mb_sdcc.mk @@ -24,76 +24,76 @@ $(call mb_make_call,mb_doc_variable_deep,MB_SDCC_AR_FLAGS,"The SDCC module archi define _mb_sdcc_path -$(MB__    )$(if $(MB_SDCC_PATH),$(MB_SDCC_PATH)/$(1),$(1)) +$(MB_ᕽᕽᕽ   )$(if $(MB_SDCC_PATH),$(MB_SDCC_PATH)/$(1),$(1)) endef define mb_sdcc_compile_asm -$(MB__    )$(call mb_make_call,mb_os_echo_command,$(MB_I18N_SDCC_COMPILE) $(2) - ASM) -$(MB__    )$(call mb_make_call,_mb_sdcc_path,sdasz80) $(MB_SDCC_ASZ80_FLAGS) $(2) $(1) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_os_echo_command,$(MB_I18N_SDCC_COMPILE) $(2) - ASM) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,_mb_sdcc_path,sdasz80) $(MB_SDCC_ASZ80_FLAGS) $(2) $(1) endef $(call mb_make_call,mb_doc_function,mb_sdcc_compile_asm,"Compiles asm source code."," ") define mb_sdcc_arlib_asm -$(MB__    )$(call mb_make_call,mb_os_echo_command,$(MB_I18N_SDCC_ARLIB) $(2)) -$(MB__    )$(call mb_make_call,_mb_sdcc_path,sdar) $(MB_SDCC_AR_FLAGS) $(1) $(2) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_os_echo_command,$(MB_I18N_SDCC_ARLIB) $(2)) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,_mb_sdcc_path,sdar) $(MB_SDCC_AR_FLAGS) $(1) $(2) endef $(call mb_make_call,mb_doc_function,mb_sdcc_arlib_asm,"Link asm lib module."," ") define mb_sdcc_link_asm -$(MB__    )$(call mb_make_call,mb_os_echo_command,$(MB_I18N_SDCC_LINK) $(2) - ASM @ $(3)) -$(MB__    )$(call mb_make_call,_mb_sdcc_path,sdcc) $(MB_SDCC_FLAG_CPU) $(MB_SDCC_CC_FLAGS) --no-std-crt0 --code-loc $(3) -o $(2) $(1) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_os_echo_command,$(MB_I18N_SDCC_LINK) $(2) - ASM @ $(3)) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,_mb_sdcc_path,sdcc) $(MB_SDCC_FLAG_CPU) $(MB_SDCC_CC_FLAGS) --no-std-crt0 --code-loc $(3) -o $(2) $(1) endef $(call mb_make_call,mb_doc_function,mb_sdcc_link_asm,"Links asm to custom code location."," ") define mb_sdcc_link_asm_0000 -$(MB__    )$(call mb_make_call,mb_sdcc_link_asm,$(1),$(2),0x0000) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_sdcc_link_asm,$(1),$(2),0x0000) endef $(call mb_make_call,mb_doc_function,mb_sdcc_link_asm_0000,"Links asm to 0x0000."," ") define mb_sdcc_link_asm_0100 -$(MB__    )$(call mb_make_call,mb_sdcc_link_asm,$(1),$(2),0x0100) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_sdcc_link_asm,$(1),$(2),0x0100) endef $(call mb_make_call,mb_doc_function,mb_sdcc_link_asm_0100,"Links asm to 0x0100."," ") define mb_sdcc_link_asm_1000 -$(MB__    )$(call mb_make_call,mb_sdcc_link_asm,$(1),$(2),0x1000) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_sdcc_link_asm,$(1),$(2),0x1000) endef $(call mb_make_call,mb_doc_function,mb_sdcc_link_asm_1000,"Links asm to 0x1000."," ") define mb_sdcc_link_asm_4000 -$(MB__    )$(call mb_make_call,mb_sdcc_link_asm,$(1),$(2),0x4000) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_sdcc_link_asm,$(1),$(2),0x4000) endef $(call mb_make_call,mb_doc_function,mb_sdcc_link_asm_4000,"Links asm to 0x4000."," ") define mb_sdcc_link_asm_8000 -$(MB__    )$(call mb_make_call,mb_sdcc_link_asm,$(1),$(2),0x8000) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_sdcc_link_asm,$(1),$(2),0x8000) endef $(call mb_make_call,mb_doc_function,mb_sdcc_link_asm_8000,"Links asm to 0x8000."," ") define mb_sdcc_link_asm_C000 -$(MB__    )$(call mb_make_call,mb_sdcc_link_asm,$(1),$(2),0xC000) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_sdcc_link_asm,$(1),$(2),0xC000) endef $(call mb_make_call,mb_doc_function,mb_sdcc_link_asm_C000,"Links asm to 0xC000."," ") define mb_sdcc_link_asm_bdos -$(MB__    )$(call mb_make_call,mb_sdcc_link_asm_0100,$(1),$(2)) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_sdcc_link_asm_0100,$(1),$(2)) endef $(call mb_make_call,mb_doc_function,mb_sdcc_link_asm_bdos,"Links asm to BDOS."," ") define mb_sdcc_objcopy -$(MB__    )$(call mb_make_call,mb_os_echo_command,$(MB_I18N_SDCC_OBJCOPY) $(2)) -$(MB__    )$(call mb_make_call,_mb_sdcc_path,sdobjcopy) -I ihex --output-target$(MB_MAKE_EQUALS)binary $(1) $(2) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_os_echo_command,$(MB_I18N_SDCC_OBJCOPY) $(2)) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,_mb_sdcc_path,sdobjcopy) -I ihex --output-target$(MB_MAKE_EQUALS)binary $(1) $(2) endef $(call mb_make_call,mb_doc_function,mb_sdcc_objcopy,"Converts an hex file to binary."," ") diff --git a/lib/make/麥加系統擴大/mb_autoexec.mk b/lib/make/麥加系統擴大/mb_autoexec.mk index 77d6796..05956c8 100644 --- a/lib/make/麥加系統擴大/mb_autoexec.mk +++ b/lib/make/麥加系統擴大/mb_autoexec.mk @@ -67,114 +67,114 @@ $(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_PROMPT_SPACE,"When on adds an wh define mb_autoexec_append_cmd -$(MB__    )echo -e "$(2)\r" >> $(1)/autoexec.bat +$(MB_ᕽᕽᕽ   )echo -e "$(2)\r" >> $(1)/autoexec.bat endef $(call mb_make_call,mb_doc_function,mb_autoexec_append_cmd,"Appends an command."," ") define mb_autoexec_append_echo -$(MB__    )$(call mb_make_call,mb_autoexec_append_cmd,$(1),echo $(subst >,>,$(2))) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_cmd,$(1),echo $(subst >,>,$(2))) endef $(call mb_make_call,mb_doc_function,mb_autoexec_append_echo,"Appends an echo message."," ") define mb_autoexec_append_rem -$(MB__    )$(call mb_make_call,mb_autoexec_append_cmd,$(1),rem $(2)) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_cmd,$(1),rem $(2)) endef $(call mb_make_call,mb_doc_function,mb_autoexec_append_rem,"Appends an script remark."," ") define mb_autoexec_append_show_gui -$(MB__    )$(call mb_make_call,mb_autoexec_append_cmd,$(1),omsxctl headless_show_gui) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_cmd,$(1),omsxctl headless_show_gui) endef $(call mb_make_call,mb_doc_function,mb_autoexec_append_show_gui,"Appends headless show gui command.","") define mb_autoexec_append_stop_fail -$(MB__    )$(call mb_make_call,mb_autoexec_append_cmd,$(1),omsxctl fail_after 0) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_cmd,$(1),omsxctl fail_after 0) endef $(call mb_make_call,mb_doc_function,mb_autoexec_append_stop_fail,"Appends stop automatic failure command.","") define mb_autoexec_append_exit -$(MB__    )$(if $(filter on,$(MB_FLIGHT_SCREEN)),$(call mb_make_call,mb_autoexec_append_save_screenshot,$(1))) -$(MB__    )$(call mb_make_call,mb_autoexec_append_cmd,$(1),omsxctl headless_exit) +$(MB_ᕽᕽᕽ   )$(if $(filter on,$(MB_FLIGHT_SCREEN)),$(call mb_make_call,mb_autoexec_append_save_screenshot,$(1))) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_cmd,$(1),omsxctl headless_exit) endef $(call mb_make_call,mb_doc_function,mb_autoexec_append_exit,"Appends exit emulation command.","") define mb_autoexec_append_plug_porta -$(MB__    )$(call mb_make_call,mb_autoexec_append_cmd,$(1),omsxctl boot_exec_plug_porta $(2)) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_cmd,$(1),omsxctl boot_exec_plug_porta $(2)) endef $(call mb_make_call,mb_doc_function,mb_autoexec_append_plug_porta,"Appends an plug device into joyporta action."," ") define mb_autoexec_append_plug_portb -$(MB__    )$(call mb_make_call,mb_autoexec_append_cmd,$(1),omsxctl boot_exec_plug_portb $(2)) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_cmd,$(1),omsxctl boot_exec_plug_portb $(2)) endef $(call mb_make_call,mb_doc_function,mb_autoexec_append_plug_portb,"Appends an plug device into joyportb action."," ") define mb_autoexec_append_save_screenshot -$(MB__    )$(call mb_make_call,mb_autoexec_append_cmd,$(1),omsxctl night_flight_save_screenshot $(2)) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_cmd,$(1),omsxctl night_flight_save_screenshot $(2)) endef $(call mb_make_call,mb_doc_function,mb_autoexec_append_save_screenshot,"Appends save screenshot command."," [prefix]") define mb_autoexec_append_save_video -$(MB__    )$(call mb_make_call,mb_autoexec_append_cmd,$(1),omsxctl night_flight_save_video $(2)) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_cmd,$(1),omsxctl night_flight_save_video $(2)) endef $(call mb_make_call,mb_doc_function,mb_autoexec_append_save_video,"Appends save video command."," [prefix]") define mb_autoexec_append_safe_test -$(MB__    )$(call mb_make_call,mb_autoexec_append_cmd,$(1),omsxctl fail_after $(if $(3),$(3),$(MB_AUTOEXEC_SAFE_TEST_TIMEOUT)) seconds err_test $(if $(4),$(4),$(MB_AUTOEXEC_SAFE_TEST_EXITCODE))) -$(MB__    )$(call mb_make_call,mb_autoexec_append_echo,$(1),mb::safe test $(2)) -$(MB__    )$(call mb_make_call,mb_autoexec_append_cmd,$(1),$(2)) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_cmd,$(1),omsxctl fail_after $(if $(3),$(3),$(MB_AUTOEXEC_SAFE_TEST_TIMEOUT)) seconds err_test $(if $(4),$(4),$(MB_AUTOEXEC_SAFE_TEST_EXITCODE))) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_echo,$(1),mb::safe test $(2)) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_cmd,$(1),$(2)) endef $(call mb_make_call,mb_doc_function,mb_autoexec_append_safe_test,"Appends running a safe test."," [timeout] [exit-code]") define mb_autoexec_append_safe_cmd -$(MB__    )$(call mb_make_call,mb_autoexec_append_cmd,$(1),omsxctl fail_after $(if $(3),$(3),$(MB_AUTOEXEC_SAFE_CMD_TIMEOUT)) seconds err_cmd $(if $(4),$(4),$(MB_AUTOEXEC_SAFE_CMD_EXITCODE))) -$(MB__    )$(call mb_make_call,mb_autoexec_append_echo,$(1),mb::safe command $(2)) -$(MB__    )$(call mb_make_call,mb_autoexec_append_cmd,$(1),$(2)) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_cmd,$(1),omsxctl fail_after $(if $(3),$(3),$(MB_AUTOEXEC_SAFE_CMD_TIMEOUT)) seconds err_cmd $(if $(4),$(4),$(MB_AUTOEXEC_SAFE_CMD_EXITCODE))) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_echo,$(1),mb::safe command $(2)) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_cmd,$(1),$(2)) endef $(call mb_make_call,mb_doc_function,mb_autoexec_append_safe_cmd,"Appends running a safe command."," [timeout] [exit-code]") define _mb_autoexec_write_preboot -$(MB__    )$(call mb_make_call,mb_autoexec_append_cmd,$(1),$(2)mbboot80) -$(MB__    )$(call mb_make_call,mb_autoexec_append_cmd,$(1),$(2)omsxctl boot_exec_setcolor $(if $(3),$(3),$(MB_AUTOEXEC_COLOR_FG)) $(if $(4),$(4),$(MB_AUTOEXEC_COLOR_BG))) -$(MB__    )$(call mb_make_call,mb_autoexec_append_cmd,$(1),$(2)omsxctl fail_after $(MB_AUTOEXEC_STARTUP_TIMEOUT) seconds err_exec $(MB_AUTOEXEC_STARTUP_EXITCODE)) -$(MB__    )$(if $(filter on,$(MB_AUTOEXEC_SHOW_INIT)),$(call mb_make_call,mb_autoexec_append_cmd,$(1),$(2)omsxctl boot_exec_show_init)) -$(MB__    )$(if $(filter on,$(MB_AUTOEXEC_SHOW_VERSION)),$(call mb_make_call,mb_autoexec_append_cmd,$(1),ver)) -$(MB__    )$(call mb_make_call,mb_autoexec_append_cmd,$(1),PATH + A:\;) -$(MB__    )$(if $(wildcard $(1)/utils),$(call mb_make_call,mb_autoexec_append_cmd,$(1),PATH + A:\UTILS)) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_cmd,$(1),$(2)mbboot80) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_cmd,$(1),$(2)omsxctl boot_exec_setcolor $(if $(3),$(3),$(MB_AUTOEXEC_COLOR_FG)) $(if $(4),$(4),$(MB_AUTOEXEC_COLOR_BG))) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_cmd,$(1),$(2)omsxctl fail_after $(MB_AUTOEXEC_STARTUP_TIMEOUT) seconds err_exec $(MB_AUTOEXEC_STARTUP_EXITCODE)) +$(MB_ᕽᕽᕽ   )$(if $(filter on,$(MB_AUTOEXEC_SHOW_INIT)),$(call mb_make_call,mb_autoexec_append_cmd,$(1),$(2)omsxctl boot_exec_show_init)) +$(MB_ᕽᕽᕽ   )$(if $(filter on,$(MB_AUTOEXEC_SHOW_VERSION)),$(call mb_make_call,mb_autoexec_append_cmd,$(1),ver)) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_cmd,$(1),PATH + A:\;) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)/utils),$(call mb_make_call,mb_autoexec_append_cmd,$(1),PATH + A:\UTILS)) endef define mb_autoexec_write_default -$(MB__    )$(call mb_make_call,mb_os_echo_remark,$(MB_I18N_AUTOEXEC_WRITE) $@) -$(MB__    )@echo -n "" > $(1)/autoexec.bat -$(MB__    )$(if $(wildcard $(1)/utils),$(call mb_make_call,_mb_autoexec_write_preboot,$(1),utils\,$(2),$(3)),$(call mb_make_call,_mb_autoexec_write_preboot,$(1),,$(2),$(3))) -$(MB__    )$(if $(filter on,$(MB_AUTOEXEC_SHOW_HOST)),$(call mb_make_call,mb_autoexec_append_cmd,$(1),omsxctl boot_exec_show_host)) -$(MB__    )$(if $(MB_AUTOEXEC_STARTUP_NOTE),$(call mb_make_call,mb_autoexec_append_echo,$(1),mb::note $(MB_AUTOEXEC_STARTUP_NOTE))) -$(MB__    )$(if $(filter on,$(MB_FLIGHT_VIDEO)),$(call mb_make_call,mb_autoexec_append_save_video,$(1))) -$(MB__    )echo -n -e "$(subst $(MB_MAKE_SPACE)set,set,$(foreach var,$(MB_AUTOEXEC_EMBED_VARS),set MSX_$(var)$(MB_MAKE_EQUALS)$($(var))\r\n))" >> $(1)/autoexec.bat -$(MB__    )echo -n -e "$(subst $(MB_MAKE_SPACE)set,set,$(foreach var,$(MB_AUTOEXEC_EMBED_VARS),echo MSX_$(var)$(MB_MAKE_EQUALS)%MSX_$(var)%\r\n))" >> $(1)/autoexec.bat -$(MB__    )$(call mb_make_call,mb_autoexec_append_cmd,$(1),set TIME$(MB_MAKE_EQUALS)$(MB_AUTOEXEC_TIME_FORMAT)) -$(MB__    )$(call mb_make_call,mb_autoexec_append_cmd,$(1),set DATE$(MB_MAKE_EQUALS)$(MB_AUTOEXEC_DATE_FORMAT)) -$(MB__    )$(if $(filter on,$(MB_AUTOEXEC_SHOW_PATH)),$(call mb_make_call,mb_autoexec_append_cmd,$(1),echo mb::path %PATH%)) -$(MB__    )$(call mb_make_call,mb_autoexec_append_cmd,$(1),set MB_SNAIL$(MB_MAKE_EQUALS)$(notdir $@)) -$(MB__    )$(call mb_make_call,mb_autoexec_append_cmd,$(1),set MB_USER$(MB_MAKE_EQUALS)$($(MB_AUTOEXEC_EMBED_USER))) -$(MB__    )$(if $(filter on,$(MB_AUTOEXEC_SHOW_USER)),$(call mb_make_call,mb_autoexec_append_echo,$(1),mb::user %MB_USER%)) -$(MB__    )$(call mb_make_call,mb_autoexec_append_cmd,$(1),set MB_SLIME$(MB_MAKE_EQUALS)$(1)) -$(MB__    )$(call mb_make_call,mb_autoexec_append_cmd,$(1),set MB_TARGET$(MB_MAKE_EQUALS)$@) -$(MB__    )$(if $(filter on,$(MB_AUTOEXEC_SHOW_FOLDER)),$(call mb_make_call,mb_autoexec_append_echo,$(1),mb::bath %MB_SLIME%)) -$(MB__    )$(if $(filter on,$(MB_AUTOEXEC_SHOW_TARGET)),$(call mb_make_call,mb_autoexec_append_echo,$(1),mb::pipe %MB_TARGET%)) -$(MB__    )$(if $(filter on,$(MB_AUTOEXEC_PROMPT_SPACE)),$(call mb_make_call,mb_autoexec_append_cmd,$(1),set PROMPT \"$(value MB_AUTOEXEC_PROMPT_FORMAT)$(MB_MAKE_SPACE)\")) -$(MB__    )$(if $(filter on,$(MB_AUTOEXEC_PROMPT_SPACE)),,$(call mb_make_call,mb_autoexec_append_cmd,$(1),,set PROMPT \"$(value MB_AUTOEXEC_PROMPT_FORMAT)\")) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_os_echo_remark,$(MB_I18N_AUTOEXEC_WRITE) $@) +$(MB_ᕽᕽᕽ   )@echo -n "" > $(1)/autoexec.bat +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)/utils),$(call mb_make_call,_mb_autoexec_write_preboot,$(1),utils\,$(2),$(3)),$(call mb_make_call,_mb_autoexec_write_preboot,$(1),,$(2),$(3))) +$(MB_ᕽᕽᕽ   )$(if $(filter on,$(MB_AUTOEXEC_SHOW_HOST)),$(call mb_make_call,mb_autoexec_append_cmd,$(1),omsxctl boot_exec_show_host)) +$(MB_ᕽᕽᕽ   )$(if $(MB_AUTOEXEC_STARTUP_NOTE),$(call mb_make_call,mb_autoexec_append_echo,$(1),mb::note $(MB_AUTOEXEC_STARTUP_NOTE))) +$(MB_ᕽᕽᕽ   )$(if $(filter on,$(MB_FLIGHT_VIDEO)),$(call mb_make_call,mb_autoexec_append_save_video,$(1))) +$(MB_ᕽᕽᕽ   )echo -n -e "$(subst $(MB_MAKE_SPACE)set,set,$(foreach var,$(MB_AUTOEXEC_EMBED_VARS),set MSX_$(var)$(MB_MAKE_EQUALS)$($(var))\r\n))" >> $(1)/autoexec.bat +$(MB_ᕽᕽᕽ   )echo -n -e "$(subst $(MB_MAKE_SPACE)set,set,$(foreach var,$(MB_AUTOEXEC_EMBED_VARS),echo MSX_$(var)$(MB_MAKE_EQUALS)%MSX_$(var)%\r\n))" >> $(1)/autoexec.bat +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_cmd,$(1),set TIME$(MB_MAKE_EQUALS)$(MB_AUTOEXEC_TIME_FORMAT)) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_cmd,$(1),set DATE$(MB_MAKE_EQUALS)$(MB_AUTOEXEC_DATE_FORMAT)) +$(MB_ᕽᕽᕽ   )$(if $(filter on,$(MB_AUTOEXEC_SHOW_PATH)),$(call mb_make_call,mb_autoexec_append_cmd,$(1),echo mb::path %PATH%)) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_cmd,$(1),set MB_SNAIL$(MB_MAKE_EQUALS)$(notdir $@)) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_cmd,$(1),set MB_USER$(MB_MAKE_EQUALS)$($(MB_AUTOEXEC_EMBED_USER))) +$(MB_ᕽᕽᕽ   )$(if $(filter on,$(MB_AUTOEXEC_SHOW_USER)),$(call mb_make_call,mb_autoexec_append_echo,$(1),mb::user %MB_USER%)) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_cmd,$(1),set MB_SLIME$(MB_MAKE_EQUALS)$(1)) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_cmd,$(1),set MB_TARGET$(MB_MAKE_EQUALS)$@) +$(MB_ᕽᕽᕽ   )$(if $(filter on,$(MB_AUTOEXEC_SHOW_FOLDER)),$(call mb_make_call,mb_autoexec_append_echo,$(1),mb::bath %MB_SLIME%)) +$(MB_ᕽᕽᕽ   )$(if $(filter on,$(MB_AUTOEXEC_SHOW_TARGET)),$(call mb_make_call,mb_autoexec_append_echo,$(1),mb::pipe %MB_TARGET%)) +$(MB_ᕽᕽᕽ   )$(if $(filter on,$(MB_AUTOEXEC_PROMPT_SPACE)),$(call mb_make_call,mb_autoexec_append_cmd,$(1),set PROMPT \"$(value MB_AUTOEXEC_PROMPT_FORMAT)$(MB_MAKE_SPACE)\")) +$(MB_ᕽᕽᕽ   )$(if $(filter on,$(MB_AUTOEXEC_PROMPT_SPACE)),,$(call mb_make_call,mb_autoexec_append_cmd,$(1),,set PROMPT \"$(value MB_AUTOEXEC_PROMPT_FORMAT)\")) endef $(call mb_make_call,mb_doc_function,mb_autoexec_write_default,"Write a default autoexec.bat file."," [fg-color] [bg-color]") diff --git a/lib/make/麥加系統擴大/mb_msxhub.mk b/lib/make/麥加系統擴大/mb_msxhub.mk index 449dc31..9dbb1bb 100644 --- a/lib/make/麥加系統擴大/mb_msxhub.mk +++ b/lib/make/麥加系統擴大/mb_msxhub.mk @@ -7,16 +7,16 @@ $(call mb_make_call,mb_doc_variable_deep,MB_MSXHUB_CACHE,"Cache storage location define _mb_msxhub_file_fetch -$(MB__    )$(call mb_make_call,mb_os_echo_command,$(MB_I18N_MSXHUB_FILE_FETCH) $(subst $(MB_MSXHUB_API)/,,$(1))) -$(MB__    )$(if $(wildcard $(dir $(MB_MSXHUB_CACHE)/$(subst $(MB_MSXHUB_API)/,,$(1)))),,$(call mb_make_call,mb_os_dir_create,$(dir $(MB_MSXHUB_CACHE)/$(subst $(MB_MSXHUB_API)/,,$(1))))) -$(MB__    )$(if $(wildcard $(MB_MSXHUB_CACHE)/$(subst $(MB_MSXHUB_API)/,,$(1))),,wget -O $(MB_MSXHUB_CACHE)/$(subst $(MB_MSXHUB_API)/,,$(1)) $(1)) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_os_echo_command,$(MB_I18N_MSXHUB_FILE_FETCH) $(subst $(MB_MSXHUB_API)/,,$(1))) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(dir $(MB_MSXHUB_CACHE)/$(subst $(MB_MSXHUB_API)/,,$(1)))),,$(call mb_make_call,mb_os_dir_create,$(dir $(MB_MSXHUB_CACHE)/$(subst $(MB_MSXHUB_API)/,,$(1))))) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(MB_MSXHUB_CACHE)/$(subst $(MB_MSXHUB_API)/,,$(1))),,wget -O $(MB_MSXHUB_CACHE)/$(subst $(MB_MSXHUB_API)/,,$(1)) $(1)) endef # NOTE: with HDD import/export we get duplicate files after openMSX run, so force to lowercase. define mb_msxhub_file -$(MB__    )$(if $(wildcard $(MB_MSXHUB_CACHE)/$(2)),,$(call mb_make_call,_mb_msxhub_file_fetch,$(MB_MSXHUB_API)/$(2))) -$(MB__    )$(if $(wildcard $(1)/$(call mb_make_call,mb_make_lowercase,$(notdir $(2)))),,$(call mb_make_call,mb_os_file_copy,$(MB_MSXHUB_CACHE)/$(2),$(1)/$(call mb_make_call,mb_make_lowercase,$(notdir $(2))))) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(MB_MSXHUB_CACHE)/$(2)),,$(call mb_make_call,_mb_msxhub_file_fetch,$(MB_MSXHUB_API)/$(2))) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)/$(call mb_make_call,mb_make_lowercase,$(notdir $(2)))),,$(call mb_make_call,mb_os_file_copy,$(MB_MSXHUB_CACHE)/$(2),$(1)/$(call mb_make_call,mb_make_lowercase,$(notdir $(2))))) endef $(call mb_make_call,mb_doc_function_deep,mb_msxhub_file,"Installs msxsub packages from slug into dir."," ") @@ -25,22 +25,22 @@ $(call mb_make_call,mb_doc_function_deep,mb_msxhub_file,"Installs msxsub package # Operating System (minimal) # define mb_msxhub_get_msxdos1_boot -$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS1/1.03-2/get/MSXDOS1/MSXDOS.SYS) -$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS1/1.03-2/get/MSXDOS1/COMMAND.COM) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS1/1.03-2/get/MSXDOS1/MSXDOS.SYS) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS1/1.03-2/get/MSXDOS1/COMMAND.COM) endef $(call mb_make_call,mb_doc_function_deep,mb_msxhub_get_msxdos1_boot,"Installs msxdos1 boot files into dir.","") define mb_msxhub_get_msxdos2_boot -$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/MSXDOS2.SYS) -$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/COMMAND2.COM) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/MSXDOS2.SYS) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/COMMAND2.COM) endef $(call mb_make_call,mb_doc_function_deep,mb_msxhub_get_msxdos2_boot,"Installs msxdos2 boot files into dir.","") define mb_msxhub_get_nextor_boot -$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/NEXTOR.SYS) -$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/COMMAND2.COM) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/NEXTOR.SYS) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/COMMAND2.COM) endef $(call mb_make_call,mb_doc_function_deep,mb_msxhub_get_nextor_boot,"Installs nextor boot files into dir.","") @@ -49,31 +49,31 @@ $(call mb_make_call,mb_doc_function_deep,mb_msxhub_get_nextor_boot,"Installs nex # Native OS utils # define mb_msxhub_get_msxdos2_utils -$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/CHKDSK.COM) -$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/DISKCOPY.COM) -$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/FIXDISK.COM) -$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/UNDEL.COM) -$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/XCOPY.COM) -$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/XDIR.COM) -$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/KMODE.COM) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/CHKDSK.COM) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/DISKCOPY.COM) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/FIXDISK.COM) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/UNDEL.COM) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/XCOPY.COM) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/XDIR.COM) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/KMODE.COM) endef $(call mb_make_call,mb_doc_function,mb_msxhub_get_msxdos2_utils,"Installs msxdos2 util files into dir.","") define mb_msxhub_get_nextor_utils -$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/DELALL.COM) -$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/DEVINFO.COM) -$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/DRIVERS.COM) -$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/DRVINFO.COM) -$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/FASTOUT.COM) -$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/LOCK.COM) -$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/MAPDRV.COM) -$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/EMUFILE.COM) -$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/RALLOC.COM) -$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/Z80MODE.COM) -$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/NSYSVER.COM) -$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/NEXBOOT.COM) -$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/CONCLUS.COM) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/DELALL.COM) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/DEVINFO.COM) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/DRIVERS.COM) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/DRVINFO.COM) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/FASTOUT.COM) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/LOCK.COM) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/MAPDRV.COM) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/EMUFILE.COM) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/RALLOC.COM) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/Z80MODE.COM) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/NSYSVER.COM) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/NEXBOOT.COM) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/CONCLUS.COM) endef $(call mb_make_call,mb_doc_function,mb_msxhub_get_nextor_utils,"Installs nextor util files into dir.","") @@ -82,39 +82,39 @@ $(call mb_make_call,mb_doc_function,mb_msxhub_get_nextor_utils,"Installs nextor # Compilers # define mb_msxhub_get_macro80 -$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),MACRO80/2.0-1/get/MACRO80/CREF80.COM) -$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),MACRO80/2.0-1/get/MACRO80/L80.COM) -$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),MACRO80/2.0-1/get/MACRO80/LIB80.COM) -$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),MACRO80/2.0-1/get/MACRO80/M80.COM) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MACRO80/2.0-1/get/MACRO80/CREF80.COM) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MACRO80/2.0-1/get/MACRO80/L80.COM) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MACRO80/2.0-1/get/MACRO80/LIB80.COM) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MACRO80/2.0-1/get/MACRO80/M80.COM) endef $(call mb_make_call,mb_doc_function,mb_msxhub_get_macro80,"Installs macro80 package into dir.","") define mb_msxhub_get_z80asmuk -$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/BINTOASM.COM) -$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/DUMP.COM) -$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/HEXTOCOM.COM) -$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/PRL.COM) -$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/PRNTOSYM.COM) -$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/RSX.COM) -$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/ZSM.COM) -$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/ZSMPP.COM) -$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/HEXTOBIN.COM) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/BINTOASM.COM) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/DUMP.COM) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/HEXTOCOM.COM) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/PRL.COM) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/PRNTOSYM.COM) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/RSX.COM) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/ZSM.COM) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/ZSMPP.COM) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/HEXTOBIN.COM) endef $(call mb_make_call,mb_doc_function,mb_msxhub_get_z80asmuk,"Installs z80asmuk package into dir.","") define mb_msxhub_get_wbass2 -$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),WBASS2/2.0-1/get/WBASS2/zoekram.bin) -$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),WBASS2/2.0-1/get/WBASS2/wbass2.bin) -$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),WBASS2/2.0-1/get/WBASS2/wbass2.bas) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),WBASS2/2.0-1/get/WBASS2/zoekram.bin) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),WBASS2/2.0-1/get/WBASS2/wbass2.bin) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),WBASS2/2.0-1/get/WBASS2/wbass2.bas) endef $(call mb_make_call,mb_doc_function,mb_msxhub_get_wbass2,"Installs wbass2 package into dir.","") define mb_msxhub_get_konpass -$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),KONPASS/1.3.0-1/get/KONPASS/KONPASS.COM) -$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),KONPASS/1.3.0-1/get/KONPASS/KONPASS.DAT) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),KONPASS/1.3.0-1/get/KONPASS/KONPASS.COM) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),KONPASS/1.3.0-1/get/KONPASS/KONPASS.DAT) endef $(call mb_make_call,mb_doc_function,mb_msxhub_get_konpass,"Installs konpass package into dir.","") @@ -123,42 +123,42 @@ $(call mb_make_call,mb_doc_function,mb_msxhub_get_konpass,"Installs konpass pack # Compressors # define mb_msxhub_get_pmarc -$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),PMARC/2.0-1/get/PMARC/PMARC.COM) -$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),PMARC/2.0-1/get/PMARC/PMCAT.COM) -$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),PMARC/2.0-1/get/PMARC/PMEXE2.COM) -$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),PMARC/2.0-1/get/PMARC/PMEXT.COM) -$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),PMARC/2.0-1/get/PMARC/PMSET.COM) -$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),PMARC/2.0-1/get/PMARC/PMSFX2.COM) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),PMARC/2.0-1/get/PMARC/PMARC.COM) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),PMARC/2.0-1/get/PMARC/PMCAT.COM) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),PMARC/2.0-1/get/PMARC/PMEXE2.COM) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),PMARC/2.0-1/get/PMARC/PMEXT.COM) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),PMARC/2.0-1/get/PMARC/PMSET.COM) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),PMARC/2.0-1/get/PMARC/PMSFX2.COM) endef $(call mb_make_call,mb_doc_function,mb_msxhub_get_pmarc,"Installs pmarc package into dir.","") define mb_msxhub_get_lhpack -$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),LHPACK/1.00-1/get/LHPACK/lhpack.com) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),LHPACK/1.00-1/get/LHPACK/lhpack.com) endef $(call mb_make_call,mb_doc_function,mb_msxhub_get_lhpack,"Installs lhpack package into dir.","") define mb_msxhub_get_lhext -$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),LHEXT/1.34-2/get/LHEXT/lhext.com) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),LHEXT/1.34-2/get/LHEXT/lhext.com) endef $(call mb_make_call,mb_doc_function,mb_msxhub_get_lhext,"Installs lhext package into dir.","") define mb_msxhub_get_gunzip -$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),GUNZIP/1.1-1/get/GUNZIP/gunzip.com) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),GUNZIP/1.1-1/get/GUNZIP/gunzip.com) endef $(call mb_make_call,mb_doc_function,mb_msxhub_get_gunzip,"Installs gunzip package into dir.","") define mb_msxhub_get_tunzip -$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),TUNZIP/0.91-1/get/TUNZIP/tunzip.com) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),TUNZIP/0.91-1/get/TUNZIP/tunzip.com) endef $(call mb_make_call,mb_doc_function,mb_msxhub_get_tunzip,"Installs tunzip package into dir.","") define mb_msxhub_get_popcom -$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),POPCOM/1.00-2/get/POPCOM/popcom.com) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),POPCOM/1.00-2/get/POPCOM/popcom.com) endef $(call mb_make_call,mb_doc_function,mb_msxhub_get_popcom,"Installs popcom package into dir.","") @@ -167,71 +167,71 @@ $(call mb_make_call,mb_doc_function,mb_msxhub_get_popcom,"Installs popcom packag # Tools # define mb_msxhub_get_make -$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),MAKE/2.00-1/get/MAKE/MAKE.COM) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MAKE/2.00-1/get/MAKE/MAKE.COM) endef $(call mb_make_call,mb_doc_function,mb_msxhub_get_make,"Installs make package into dir.","") define mb_msxhub_get_adir -$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),ADIR/1.3-1/get/ADIR/adir.com) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),ADIR/1.3-1/get/ADIR/adir.com) endef $(call mb_make_call,mb_doc_function,mb_msxhub_get_adir,"Installs adir package into dir.","") define mb_msxhub_get_turbo -$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),TURBO/2.1-1/get/TURBO/turbo.com) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),TURBO/2.1-1/get/TURBO/turbo.com) endef $(call mb_make_call,mb_doc_function,mb_msxhub_get_turbo,"Installs turbo package into dir.","") define mb_msxhub_get_baskom -$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),BASKOM/1.0-1/get/BASKOM/baskom.com) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),BASKOM/1.0-1/get/BASKOM/baskom.com) endef $(call mb_make_call,mb_doc_function,mb_msxhub_get_baskom,"Installs baskom package into dir.","") define mb_msxhub_get_binldr -$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),BINLDR/1.0.2-1/get/BINLDR/BINLDR.COM) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),BINLDR/1.0.2-1/get/BINLDR/BINLDR.COM) endef $(call mb_make_call,mb_doc_function,mb_msxhub_get_binldr,"Installs binldr package into dir.","") define mb_msxhub_get_dmphex -$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),DMPHEX/1.00-1/get/DMPHEX/dmphex.com) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),DMPHEX/1.00-1/get/DMPHEX/dmphex.com) endef $(call mb_make_call,mb_doc_function,mb_msxhub_get_dmphex,"Installs dmphex package into dir.","") define mb_msxhub_get_zd -$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),ZD/3.30-1/get/ZD/zd.com) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),ZD/3.30-1/get/ZD/zd.com) endef $(call mb_make_call,mb_doc_function,mb_msxhub_get_zd,"Installs zd package into dir.","") define mb_msxhub_get_msxdos2t -$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/ADDAUX.COM) -$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/BEEP.COM) -$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/BIO.COM) -$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/BODY.COM) -$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/BSAVE.COM) -$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/CAL.COM) -$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/CALC.COM) -$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/DUMP.COM) -$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/EXPAND.COM) -$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/GREP.COM) -$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/HEAD.COM) -$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/KEY.COM) -$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/LIST.COM) -$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/LS.COM) -$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/MENU.COM) -$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/MORE.COM) -$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/PATCH.COM) -$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/SLEEP.COM) -$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/TAIL.COM) -$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/TR.COM) -$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/UNIQ.COM) -$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/VIEW.COM) -$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/WC.COM) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/ADDAUX.COM) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/BEEP.COM) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/BIO.COM) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/BODY.COM) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/BSAVE.COM) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/CAL.COM) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/CALC.COM) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/DUMP.COM) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/EXPAND.COM) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/GREP.COM) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/HEAD.COM) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/KEY.COM) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/LIST.COM) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/LS.COM) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/MENU.COM) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/MORE.COM) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/PATCH.COM) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/SLEEP.COM) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/TAIL.COM) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/TR.COM) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/UNIQ.COM) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/VIEW.COM) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/WC.COM) endef $(call mb_make_call,mb_doc_function,mb_msxhub_get_msxdos2t,"Installs msxdos2t package into dir.","") @@ -240,7 +240,7 @@ $(call mb_make_call,mb_doc_function,mb_msxhub_get_msxdos2t,"Installs msxdos2t pa # Graphics editors # define mb_msxhub_get_gfxage -$(MB__    )$(call mb_make_call,mb_msxhub_file,$(1),GFXAGE/0.99-1/get/GFXAGE/gfxage.com) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),GFXAGE/0.99-1/get/GFXAGE/gfxage.com) endef $(call mb_make_call,mb_doc_function,mb_msxhub_get_gfxage,"Installs gfxage package into dir.","") diff --git a/lib/make/麥加系統擴大/mb_msxpipe.mk b/lib/make/麥加系統擴大/mb_msxpipe.mk index 67874cf..0c3f9e2 100644 --- a/lib/make/麥加系統擴大/mb_msxpipe.mk +++ b/lib/make/麥加系統擴大/mb_msxpipe.mk @@ -19,43 +19,43 @@ $(call mb_make_call,mb_doc_variable,MB_MSXPIPE_COLOR_BG_RUN_GUI,"Background colo define mb_msxpipe_safe_cmd -$(MB__    )$(call mb_make_call,mb_autoexec_write_default,$(1),$(MB_MSXPIPE_COLOR_FG_SAFE_CMD),$(MB_MSXPIPE_COLOR_BG_SAFE_CMD)) -$(MB__    )$(call mb_make_call,mb_autoexec_append_safe_cmd,$(1),$(2)) -$(MB__    )$(call mb_make_call,mb_autoexec_append_exit,$(1)) -$(MB__    )$(call mb_make_call,mb_openmsx_dosctl,$(1),$(3)) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_write_default,$(1),$(MB_MSXPIPE_COLOR_FG_SAFE_CMD),$(MB_MSXPIPE_COLOR_BG_SAFE_CMD)) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_safe_cmd,$(1),$(2)) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_exit,$(1)) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_openmsx_dosctl,$(1),$(3)) endef $(call mb_make_call,mb_doc_function,mb_msxpipe_safe_cmd,"Runs openMSX and safely executes one command."," [machine]") define mb_msxpipe_safe_test -$(MB__    )$(call mb_make_call,mb_autoexec_write_default,$(1),$(MB_MSXPIPE_COLOR_FG_SAFE_TEST),$(MB_MSXPIPE_COLOR_BG_SAFE_TEST)) -$(MB__    )$(call mb_make_call,mb_autoexec_append_safe_test,$(1),$(2)) -$(MB__    )$(call mb_make_call,mb_autoexec_append_exit,$(1)) -$(MB__    )$(call mb_make_call,mb_openmsx_dosctl,$(1),$(3)) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_write_default,$(1),$(MB_MSXPIPE_COLOR_FG_SAFE_TEST),$(MB_MSXPIPE_COLOR_BG_SAFE_TEST)) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_safe_test,$(1),$(2)) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_exit,$(1)) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_openmsx_dosctl,$(1),$(3)) endef $(call mb_make_call,mb_doc_function,mb_msxpipe_safe_test,"Runs openMSX and safely executes one test."," [machine]") define _mb_msxpipe_run_gui -$(MB__    )$(call mb_make_call,mb_autoexec_write_default,$(1),$(MB_MSXPIPE_COLOR_FG_RUN_GUI),$(MB_MSXPIPE_COLOR_BG_RUN_GUI)) -$(MB__    )$(if $(filter mouse,$(4)),$(call mb_make_call,mb_autoexec_append_plug_porta,$(1),$(4))) -$(MB__    )$(call mb_make_call,mb_autoexec_append_stop_fail,$(1)) -$(MB__    )$(call mb_make_call,mb_autoexec_append_show_gui,$(1)) -$(MB__    )$(call mb_make_call,mb_autoexec_append_echo,$(1),mb::help Run shutdown to exit) -$(MB__    )$(if $(2),$(call mb_make_call,mb_autoexec_append_echo,$(1),mb::auto command $(2))) -$(MB__    )$(if $(2),$(call mb_make_call,mb_autoexec_append_cmd,$(1),$(2))) -$(MB__    )$(call mb_make_call,mb_openmsx_dosctl,$(1),$(3)) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_write_default,$(1),$(MB_MSXPIPE_COLOR_FG_RUN_GUI),$(MB_MSXPIPE_COLOR_BG_RUN_GUI)) +$(MB_ᕽᕽᕽ   )$(if $(filter mouse,$(4)),$(call mb_make_call,mb_autoexec_append_plug_porta,$(1),$(4))) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_stop_fail,$(1)) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_show_gui,$(1)) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_echo,$(1),mb::help Run shutdown to exit) +$(MB_ᕽᕽᕽ   )$(if $(2),$(call mb_make_call,mb_autoexec_append_echo,$(1),mb::auto command $(2))) +$(MB_ᕽᕽᕽ   )$(if $(2),$(call mb_make_call,mb_autoexec_append_cmd,$(1),$(2))) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_openmsx_dosctl,$(1),$(3)) endef define mb_msxpipe_run_gui -$(MB__    )$(call mb_make_call,_mb_msxpipe_run_gui,$(1),$(2),$(3)) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,_mb_msxpipe_run_gui,$(1),$(2),$(3)) endef $(call mb_make_call,mb_doc_function,mb_msxpipe_run_gui,"Runs openMSX forever with renderer and optionals."," [cmd] [machine]") define mb_msxpipe_run_gui_mouse -$(MB__    )$(call mb_make_call,_mb_msxpipe_run_gui,$(1),$(2),$(3),mouse) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,_mb_msxpipe_run_gui,$(1),$(2),$(3),mouse) endef $(call mb_make_call,mb_doc_function,mb_msxpipe_run_gui_mouse,"Runs openMSX forever with renderer and mouse and optionals."," [cmd] [machine]") diff --git a/lib/make/麥加系統擴大/mb_msxrom.mk b/lib/make/麥加系統擴大/mb_msxrom.mk index 425ab44..8515417 100644 --- a/lib/make/麥加系統擴大/mb_msxrom.mk +++ b/lib/make/麥加系統擴大/mb_msxrom.mk @@ -8,181 +8,181 @@ $(call mb_make_call,mb_doc_variable_deep,MB_MSXROM_CACHE,"Cache storage location define _mb_msxrom_file_fetch -$(MB__    )$(call mb_make_call,mb_os_echo_command,$(MB_I18N_MSXROM_FILE_FETCH) $(subst $(MB_MSXROM_API)/,,$(1))) -$(MB__    )$(if $(wildcard $(dir $(MB_MSXROM_CACHE)/$(subst $(MB_MSXROM_API)/,,$(1)))),,$(call mb_make_call,mb_os_dir_create,$(dir $(MB_MSXROM_CACHE)/$(subst $(MB_MSXROM_API)/,,$(1))))) -$(MB__    )$(if $(wildcard $(MB_MSXROM_CACHE)/$(subst $(MB_MSXROM_API)/,,$(1))),,wget -O $(MB_MSXROM_CACHE)/$(subst $(MB_MSXROM_API)/,,$(1)) $(1)) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_os_echo_command,$(MB_I18N_MSXROM_FILE_FETCH) $(subst $(MB_MSXROM_API)/,,$(1))) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(dir $(MB_MSXROM_CACHE)/$(subst $(MB_MSXROM_API)/,,$(1)))),,$(call mb_make_call,mb_os_dir_create,$(dir $(MB_MSXROM_CACHE)/$(subst $(MB_MSXROM_API)/,,$(1))))) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(MB_MSXROM_CACHE)/$(subst $(MB_MSXROM_API)/,,$(1))),,wget -O $(MB_MSXROM_CACHE)/$(subst $(MB_MSXROM_API)/,,$(1)) $(1)) endef define mb_msxrom_file -$(MB__    )$(if $(wildcard $(MB_MSXROM_CACHE)/$(2)),,$(call mb_make_call,_mb_msxrom_file_fetch,$(MB_MSXROM_API)/$(2))) -$(MB__    )$(if $(wildcard $(1)/$(notdir $(2))),,$(call mb_make_call,mb_os_file_copy,$(MB_MSXROM_CACHE)/$(2),$(1)/$(notdir $(2)))) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(MB_MSXROM_CACHE)/$(2)),,$(call mb_make_call,_mb_msxrom_file_fetch,$(MB_MSXROM_API)/$(2))) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)/$(notdir $(2))),,$(call mb_make_call,mb_os_file_copy,$(MB_MSXROM_CACHE)/$(2),$(1)/$(notdir $(2)))) endef $(call mb_make_call,mb_doc_function_deep,mb_msxrom_file,"Installs msxroms from slug into an subdir."," ") define mb_msxrom_setup -$(MB__    )$(if $(wildcard $(1)-omsx),,$(call mb_make_call,mb_os_dir_create,$(1)-omsx)) -$(MB__    )$(if $(wildcard $(1)-omsx/share),,$(call mb_make_call,mb_os_dir_create,$(1)-omsx/share)) -$(MB__    )$(if $(wildcard $(1)-omsx/share/systemroms),,$(call mb_make_call,mb_os_dir_create,$(1)-omsx/share/systemroms)) -$(MB__    )$(if $(wildcard $(1)-omsx/share/systemroms/machines),,$(call mb_make_call,mb_os_dir_create,$(1)-omsx/share/systemroms/machines)) -$(MB__    )$(if $(wildcard $(1)-omsx/share/systemroms/extensions),,$(call mb_make_call,mb_os_dir_create,$(1)-omsx/share/systemroms/extensions)) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)-omsx),,$(call mb_make_call,mb_os_dir_create,$(1)-omsx)) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)-omsx/share),,$(call mb_make_call,mb_os_dir_create,$(1)-omsx/share)) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)-omsx/share/systemroms),,$(call mb_make_call,mb_os_dir_create,$(1)-omsx/share/systemroms)) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)-omsx/share/systemroms/machines),,$(call mb_make_call,mb_os_dir_create,$(1)-omsx/share/systemroms/machines)) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)-omsx/share/systemroms/extensions),,$(call mb_make_call,mb_os_dir_create,$(1)-omsx/share/systemroms/extensions)) endef $(call mb_make_call,mb_doc_function_deep,mb_msxrom_setup,"Creates needed systemrom folders.","") define mb_msxrom_extension_ide -$(MB__    )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/ide240.dat) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/ide240.dat) endef $(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_ide,"Installs sunrise IDE classic rom.","") define mb_msxrom_extension_ide_nextor -$(MB__    )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/Nextor-2.1.1.SunriseIDE.ROM) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/Nextor-2.1.1.SunriseIDE.ROM) endef $(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_ide_nextor,"Installs sunrise IDE nextor rom.","") define mb_msxrom_extension_scsi_novaxis -$(MB__    )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/novaxis.rom) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/novaxis.rom) endef $(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_scsi_novaxis,"Installs Gouda SCSI novaxis rom.","") define mb_msxrom_extension_msxdos22 -$(MB__    )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/msxdos22.rom) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/msxdos22.rom) endef $(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_msxdos22,"Installs msxdos 2.20 rom.","") define mb_msxrom_extension_rs232 -$(MB__    )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/rs232.rom) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/rs232.rom) endef $(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_rs232,"Installs rs232 rom.","") define mb_msxrom_extension_fmpac -$(MB__    )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/fmpac.rom) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/fmpac.rom) endef $(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_fmpac,"Installs fmpac rom.","") define mb_msxrom_extension_fmpac_en -$(MB__    )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/fmpac_en.rom) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/fmpac_en.rom) endef $(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_fmpac_en,"Installs fmpac english rom.","") define mb_msxrom_extension_moonsound -$(MB__    )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/yrw801.rom) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/yrw801.rom) endef $(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_moonsound,"Installs moonsound rom.","") define mb_msxrom_extension_basickun -$(MB__    )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,machines/sanyo/phc-70fd2_basickun.rom) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,machines/sanyo/phc-70fd2_basickun.rom) endef $(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_basickun,"Installs basickun compiler rom.","") define mb_msxrom_machine_Canon_V-20 -$(MB__    )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/canon/v-20_basic-bios1.rom) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/canon/v-20_basic-bios1.rom) endef $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Canon_V-20,"Installs machine roms.","") define mb_msxrom_machine_Mitsubishi_ML-F80 -$(MB__    )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/mitsubishi/ml-f80_basic-bios1.rom) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/mitsubishi/ml-f80_basic-bios1.rom) endef $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Mitsubishi_ML-F80,"Installs machine roms.","") define mb_msxrom_machine_Mitsubishi_ML-FX1 -$(MB__    )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/mitsubishi/ml-fx1_basic-bios1.rom) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/mitsubishi/ml-fx1_basic-bios1.rom) endef $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Mitsubishi_ML-FX1,"Installs machine roms.","") define mb_msxrom_machine_Mitsubishi_ML-G3_ES -$(MB__    )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/mitsubishi/ml-g3_es_basic-bios2.rom) -$(MB__    )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/mitsubishi/ml-g3_es_disk.rom) -$(MB__    )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/mitsubishi/ml-g3_es_msx2sub.rom) -$(MB__    )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/mitsubishi/ml-g3_es_rs232.rom) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/mitsubishi/ml-g3_es_basic-bios2.rom) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/mitsubishi/ml-g3_es_disk.rom) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/mitsubishi/ml-g3_es_msx2sub.rom) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/mitsubishi/ml-g3_es_rs232.rom) endef $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Mitsubishi_ML-G3_ES,"Installs machine roms.","") define mb_msxrom_machine_Philips_VG_8000 -$(MB__    )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/philips/vg8000_basic-bios1.rom) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/philips/vg8000_basic-bios1.rom) endef $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Philips_VG_8000,"Installs machine roms.","") define mb_msxrom_machine_Philips_VG_8230 -$(MB__    )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/philips/vg8230_basic-bios2.rom) -$(MB__    )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/philips/vg8230_disk.rom) -$(MB__    )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/philips/vg8230_msx2sub.rom) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/philips/vg8230_basic-bios2.rom) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/philips/vg8230_disk.rom) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/philips/vg8230_msx2sub.rom) endef $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Philips_VG_8230,"Installs machine roms.","") define mb_msxrom_machine_Philips_NMS_8250 -$(MB__    )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/philips/nms8250_basic-bios2.rom) -$(MB__    )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/philips/nms8250_msx2sub.rom) -$(MB__    )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/philips/nms8250_disk.rom) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/philips/nms8250_basic-bios2.rom) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/philips/nms8250_msx2sub.rom) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/philips/nms8250_disk.rom) endef $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Philips_NMS_8250,"Installs machine roms.","") define mb_msxrom_machine_Toshiba_HX-21 -$(MB__    )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/toshiba/HX21-IC2.BIN) -$(MB__    )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/toshiba/HX21-IC3.BIN) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/toshiba/HX21-IC2.BIN) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/toshiba/HX21-IC3.BIN) endef $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Toshiba_HX-21,"Installs machine roms.","") define mb_msxrom_machine_Yamaha_AX200 -$(MB__    )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/yamaha/ax200_basic-bios1.rom) -$(MB__    )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/yamaha/ax200_arabic.rom) -$(MB__    )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/yamaha/ax200_music.rom) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/yamaha/ax200_basic-bios1.rom) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/yamaha/ax200_arabic.rom) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/yamaha/ax200_music.rom) endef $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Yamaha_AX200,"Installs machine roms.","") define mb_msxrom_machine_Yamaha_YIS-503IIIR -$(MB__    )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/yamaha/yis503iii_basic-bios2.rom) -$(MB__    )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/yamaha/yis503iii_msx2sub.rom) -$(MB__    )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/yamaha/yis503iii_cpm.rom) -$(MB__    )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/yamaha/yis503iii_net_2.rom) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/yamaha/yis503iii_basic-bios2.rom) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/yamaha/yis503iii_msx2sub.rom) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/yamaha/yis503iii_cpm.rom) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/yamaha/yis503iii_net_2.rom) endef $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Yamaha_YIS-503IIIR,"Installs machine roms.","") define mb_msxrom_machine_Panasonic_FS-A1WSX -$(MB__    )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/panasonic/fs-a1wsx_basic-bios2p.rom) -$(MB__    )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/panasonic/fs-a1wsx_disk.rom) -$(MB__    )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/panasonic/fs-a1wsx_firmware.rom) -$(MB__    )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/panasonic/fs-a1wsx_fmbasic.rom) -$(MB__    )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/panasonic/fs-a1wsx_kanjibasic.rom) -$(MB__    )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/panasonic/fs-a1wsx_kanjifont.rom) -$(MB__    )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/panasonic/fs-a1wsx_msx2psub.rom) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/panasonic/fs-a1wsx_basic-bios2p.rom) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/panasonic/fs-a1wsx_disk.rom) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/panasonic/fs-a1wsx_firmware.rom) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/panasonic/fs-a1wsx_fmbasic.rom) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/panasonic/fs-a1wsx_kanjibasic.rom) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/panasonic/fs-a1wsx_kanjifont.rom) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/panasonic/fs-a1wsx_msx2psub.rom) endef $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Panasonic_FS-A1WSX,"Installs machine roms.","") define mb_msxrom_machine_Boosted_MSX2_EN -$(MB__    )$(call mb_make_call,mb_msxrom_machine_Philips_NMS_8250,$(1)) -$(MB__    )$(call mb_make_call,mb_msxrom_extension_fmpac,$(1)) -$(MB__    )$(call mb_make_call,mb_msxrom_extension_moonsound,$(1)) -$(MB__    )$(call mb_make_call,mb_msxrom_extension_basickun,$(1)) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_machine_Philips_NMS_8250,$(1)) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_extension_fmpac,$(1)) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_extension_moonsound,$(1)) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_extension_basickun,$(1)) endef $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Boosted_MSX2_EN,"Installs machine and extensions roms.","") define mb_msxrom_machine_Boosted_MSX2+_JP -$(MB__    )$(call mb_make_call,mb_msxrom_machine_Panasonic_FS-A1WSX,$(1)) -$(MB__    )$(call mb_make_call,mb_msxrom_extension_moonsound,$(1)) -$(MB__    )$(call mb_make_call,mb_msxrom_extension_basickun,$(1)) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_machine_Panasonic_FS-A1WSX,$(1)) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_extension_moonsound,$(1)) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_extension_basickun,$(1)) endef $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Boosted_MSX2+_JP,"Installs machine and extensions roms.","") diff --git a/lib/make/麥加系統擴大/mb_openmsx.mk b/lib/make/麥加系統擴大/mb_openmsx.mk index ffca6d0..0334c29 100644 --- a/lib/make/麥加系統擴大/mb_openmsx.mk +++ b/lib/make/麥加系統擴大/mb_openmsx.mk @@ -9,7 +9,7 @@ MB_OPENMSX_BOOT_OS ?= nextor $(call mb_make_call,mb_doc_variable,MB_OPENMSX_BOOT_OS,"The default OS to run$$(MB_MAKE_COMMA) valid values are; nextor$$(MB_MAKE_COMMA)msxdos1$$(MB_MAKE_COMMA)msxdos2") MB_OPENMSX_MACHINE ?= Philips_NMS_8250 - $(call mb_make_call,mb_doc_variable,MB_OPENMSX_MACHINE,"The default MSX machine to use.",$(MB_OPENMSX_MACHINE)) +$(call mb_make_call,mb_doc_variable,MB_OPENMSX_MACHINE,"The default MSX machine to use.",$(MB_OPENMSX_MACHINE)) MB_OPENMSX_MACHINE_RAM ?= ram1mb $(call mb_make_call,mb_doc_variable,MB_OPENMSX_MACHINE_RAM,"Extra ram extension for machine allowed: off$$(MB_MAKE_COMMA)ram16k$$(MB_MAKE_COMMA)ram64k$$(MB_MAKE_COMMA)ram512k$$(MB_MAKE_COMMA)ram1mb$$(MB_MAKE_COMMA)ram2mb$$(MB_MAKE_COMMA)ram4mb") @@ -43,80 +43,82 @@ $(call mb_make_call,mb_doc_variable_deep,MB_OPENMSX_STDERR_IGNORE,"Kills logging define mb_openmsx_setup -$(MB__    )$(if $(wildcard $(1)-omsx),,$(call mb_make_call,mb_os_dir_create,$(1)-omsx)) -$(MB__    )$(if $(wildcard $(1)-omsx/stdio.xml),,$(call mb_make_call,mb_os_file_copy,$(MB__BASEPATH_REAL)/lib/openmsx/stdio.xml,$(1)-omsx)) -$(MB__    )$(if $(wildcard $(1)-omsx/share),,$(call mb_make_call,mb_os_dir_create,$(1)-omsx/share)) -$(MB__    )$(if $(wildcard $(1)-omsx/share/settings.xml),,$(call mb_make_call,mb_os_file_copy,$(MB__BASEPATH_REAL)/lib/openmsx/share/settings.xml,$(1)-omsx/share)) -$(MB__    )$(if $(wildcard $(1)-omsx/share/scripts),,$(call mb_make_call,mb_os_dir_create,$(1)-omsx/share/scripts)) -$(MB__    )$(if $(wildcard $(1)-omsx/share/scripts/boot_exec.tcl),,$(call mb_make_call,mb_os_file_copy,$(MB__BASEPATH_REAL)/lib/openmsx/share/scripts/boot_exec.tcl,$(1)-omsx/share/scripts)) -$(MB__    )$(if $(wildcard $(1)-omsx/share/scripts/fail_after.tcl),,$(call mb_make_call,mb_os_file_copy,$(MB__BASEPATH_REAL)/lib/openmsx/share/scripts/fail_after.tcl,$(1)-omsx/share/scripts)) -$(MB__    )$(if $(wildcard $(1)-omsx/share/scripts/fire_hdd.tcl),,$(call mb_make_call,mb_os_file_copy,$(MB__BASEPATH_REAL)/lib/openmsx/share/scripts/fire_hdd.tcl,$(1)-omsx/share/scripts)) -$(MB__    )$(if $(wildcard $(1)-omsx/share/scripts/headless.tcl),,$(call mb_make_call,mb_os_file_copy,$(MB__BASEPATH_REAL)/lib/openmsx/share/scripts/headless.tcl,$(1)-omsx/share/scripts)) -$(MB__    )$(if $(wildcard $(1)-omsx/share/scripts/night_flight.tcl),,$(call mb_make_call,mb_os_file_copy,$(MB__BASEPATH_REAL)/lib/openmsx/share/scripts/night_flight.tcl,$(1)-omsx/share/scripts)) -$(MB__    )$(if $(wildcard $(1)-omsx/share/scripts/omsxctl.tcl),,$(call mb_msxhub_file,$(1)-omsx/share/scripts,OMSXCTL/1.0-1/get/OMSXCTL/omsxctl.tcl)) -$(MB__    )$(if $(wildcard $(1)-omsx/share/extensions),,$(call mb_make_call,mb_os_dir_create,$(1)-omsx/share/extensions)) -$(MB__    )$(if $(wildcard $(1)-omsx/share/extensions/fire-hdd.xml),,$(call mb_make_call,mb_os_file_copy,$(MB__BASEPATH_REAL)/lib/openmsx/share/extensions/fire-hdd.xml,$(1)-omsx/share/extensions)) -$(MB__    )$(call mb_make_call,mb_msxrom_setup,$(1)) -$(MB__    )$(call mb_make_call,mb_msxrom_extension_ide_nextor,$(1)) -$(MB__    )$(call mb_make_call,mb_msxrom_machine_$(2),$(1)) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg2,$(0),$(1),$(2)) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)-omsx),,$(call mb_make_call,mb_os_dir_create,$(1)-omsx)) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)-omsx/stdio.xml),,$(call mb_make_call,mb_os_file_copy,$(MB_@BASEPATH_REAL)/lib/openmsx/stdio.xml,$(1)-omsx)) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)-omsx/share),,$(call mb_make_call,mb_os_dir_create,$(1)-omsx/share)) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)-omsx/share/settings.xml),,$(call mb_make_call,mb_os_file_copy,$(MB_@BASEPATH_REAL)/lib/openmsx/share/settings.xml,$(1)-omsx/share)) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)-omsx/share/scripts),,$(call mb_make_call,mb_os_dir_create,$(1)-omsx/share/scripts)) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)-omsx/share/scripts/boot_exec.tcl),,$(call mb_make_call,mb_os_file_copy,$(MB_@BASEPATH_REAL)/lib/openmsx/share/scripts/boot_exec.tcl,$(1)-omsx/share/scripts)) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)-omsx/share/scripts/fail_after.tcl),,$(call mb_make_call,mb_os_file_copy,$(MB_@BASEPATH_REAL)/lib/openmsx/share/scripts/fail_after.tcl,$(1)-omsx/share/scripts)) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)-omsx/share/scripts/fire_hdd.tcl),,$(call mb_make_call,mb_os_file_copy,$(MB_@BASEPATH_REAL)/lib/openmsx/share/scripts/fire_hdd.tcl,$(1)-omsx/share/scripts)) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)-omsx/share/scripts/headless.tcl),,$(call mb_make_call,mb_os_file_copy,$(MB_@BASEPATH_REAL)/lib/openmsx/share/scripts/headless.tcl,$(1)-omsx/share/scripts)) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)-omsx/share/scripts/night_flight.tcl),,$(call mb_make_call,mb_os_file_copy,$(MB_@BASEPATH_REAL)/lib/openmsx/share/scripts/night_flight.tcl,$(1)-omsx/share/scripts)) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)-omsx/share/scripts/omsxctl.tcl),,$(call mb_msxhub_file,$(1)-omsx/share/scripts,OMSXCTL/1.0-1/get/OMSXCTL/omsxctl.tcl)) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)-omsx/share/extensions),,$(call mb_make_call,mb_os_dir_create,$(1)-omsx/share/extensions)) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)-omsx/share/extensions/fire-hdd.xml),,$(call mb_make_call,mb_os_file_copy,$(MB_@BASEPATH_REAL)/lib/openmsx/share/extensions/fire-hdd.xml,$(1)-omsx/share/extensions)) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_setup,$(1)) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_extension_ide_nextor,$(1)) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_machine_$(2),$(1)) endef $(call mb_make_call,mb_doc_function_deep,mb_openmsx_setup,"Setup openMSX local build home folder for machine."," ") define _mb_openmsx_path -$(MB__    )$(if $(MB_OPENMSX_PATH),$(MB_OPENMSX_PATH)/$(1),$(1)) +$(MB_ᕽᕽᕽ   )$(if $(MB_OPENMSX_PATH),$(MB_OPENMSX_PATH)/$(1),$(1)) endef define _mb_openmsx_run -$(MB__    )$(call mb_make_call,mb_os_echo_command,$(MB_I18N_OPENMSX_STARTUP) $@ - $(2)) -$(MB__    )$(if $(wildcard $(1)-omsx/persistent/fire-hdd/untitled1/sync),,$(call mb_make_call,mb_os_dir_create,$(1)-omsx/persistent/fire-hdd/untitled1/sync)) -$(MB__    )BOOT_WATCHDOG=$(MB_OPENMSX_BOOT_TIMEOUT) \ -$(MB__    )FIRE_HDD_PATH="$(1)" \ -$(MB__    )FIRE_HDD_SIZE=$(MB_OPENMSX_HDD_SIZE) \ -$(MB__    )FIRE_HDD_IMAGE="$(1)-omsx/persistent/fire-hdd/untitled1/image-hda.dsk" \ -$(MB__    )FIRE_HDD_PATH_EXPORT="$(1)-omsx/persistent/fire-hdd/untitled1/sync" \ -$(MB__    )SCALE_FACTOR=$(MB_OPENMSX_SCALE_FACTOR) \ -$(MB__    )SPEED=$(MB_OPENMSX_SPEED) \ -$(MB__    )HEADLESS=$(MB_OPENMSX_HEADLESS) \ -$(MB__    )RENDERER=$(MB_OPENMSX_RENDERER) \ -$(MB__    )THROTTLE=$(MB_OPENMSX_THROTTLE) \ -$(MB__    )NF_PREFIX=$(MB_FLIGHT_PREFIX) \ -$(MB__    )NF_SEPERATOR=$(MB_FLIGHT_SEPERATOR) \ -$(MB__    )NF_RECORD_FLAG=$(MB_FLIGHT_RECORD_FLAG) \ -$(MB__    )OPENMSX_HOME="$(1)-omsx" \ -$(MB__    )$(call mb_make_call,_mb_openmsx_path,openmsx) \ -$(MB__        )-machine $(2) \ -$(MB__        )-ext slotexpander \ -$(MB__        )-ext fire-hdd \ -$(MB__        )$(if $(filter ram16k,$(3)),-ext ram16k) \ -$(MB__        )$(if $(filter ram64k,$(3)),-ext ram64k) \ -$(MB__        )$(if $(filter ram512k,$(3)),-ext ram512k) \ -$(MB__        )$(if $(filter ram1mb,$(3)),-ext ram1mb) \ -$(MB__        )$(if $(filter ram4mb,$(3)),-ext ram2mb) \ -$(MB__        )$(if $(filter ram4mb,$(3)),-ext ram4mb) \ -$(MB__        )$(MB_OPENMSX_ARGS) \ -$(MB__        )-control stdio < $(1)-omsx/stdio.xml \ -$(MB__        )$(if $(filter on,$(MB_OPENMSX_STDOUT_IGNORE)),$(MB_OS_STDOUT_IGNORE)) \ -$(MB__        )$(if $(filter on,$(MB_OPENMSX_STDERR_IGNORE)),$(MB_OS_STDERR_IGNORE)) -$(MB__    )rsync --checksum --recursive "$(1)-omsx/persistent/fire-hdd/untitled1/sync/" "$(1)/" +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_os_echo_command,$(MB_I18N_OPENMSX_STARTUP) $@ - $(2)) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)-omsx/persistent/fire-hdd/untitled1/sync),,$(call mb_make_call,mb_os_dir_create,$(1)-omsx/persistent/fire-hdd/untitled1/sync)) +$(MB_ᕽᕽᕽ   )BOOT_WATCHDOG=$(MB_OPENMSX_BOOT_TIMEOUT) \ +$(MB_ᕽᕽᕽ   )FIRE_HDD_PATH="$(1)" \ +$(MB_ᕽᕽᕽ   )FIRE_HDD_SIZE=$(MB_OPENMSX_HDD_SIZE) \ +$(MB_ᕽᕽᕽ   )FIRE_HDD_IMAGE="$(1)-omsx/persistent/fire-hdd/untitled1/image-hda.dsk" \ +$(MB_ᕽᕽᕽ   )FIRE_HDD_PATH_EXPORT="$(1)-omsx/persistent/fire-hdd/untitled1/sync" \ +$(MB_ᕽᕽᕽ   )SCALE_FACTOR=$(MB_OPENMSX_SCALE_FACTOR) \ +$(MB_ᕽᕽᕽ   )SPEED=$(MB_OPENMSX_SPEED) \ +$(MB_ᕽᕽᕽ   )HEADLESS=$(MB_OPENMSX_HEADLESS) \ +$(MB_ᕽᕽᕽ   )RENDERER=$(MB_OPENMSX_RENDERER) \ +$(MB_ᕽᕽᕽ   )THROTTLE=$(MB_OPENMSX_THROTTLE) \ +$(MB_ᕽᕽᕽ   )NF_PREFIX=$(MB_FLIGHT_PREFIX) \ +$(MB_ᕽᕽᕽ   )NF_SEPERATOR=$(MB_FLIGHT_SEPERATOR) \ +$(MB_ᕽᕽᕽ   )NF_RECORD_FLAG=$(MB_FLIGHT_RECORD_FLAG) \ +$(MB_ᕽᕽᕽ   )OPENMSX_HOME="$(1)-omsx" \ +$(MB_ᕽᕽᕽ   )$(call mb_make_call,_mb_openmsx_path,openmsx) \ +$(MB_ᕽᕽᕽ      )-machine $(2) \ +$(MB_ᕽᕽᕽ      )-ext slotexpander \ +$(MB_ᕽᕽᕽ      )-ext fire-hdd \ +$(MB_ᕽᕽᕽ      )$(if $(filter ram16k,$(3)),-ext ram16k) \ +$(MB_ᕽᕽᕽ      )$(if $(filter ram64k,$(3)),-ext ram64k) \ +$(MB_ᕽᕽᕽ      )$(if $(filter ram512k,$(3)),-ext ram512k) \ +$(MB_ᕽᕽᕽ      )$(if $(filter ram1mb,$(3)),-ext ram1mb) \ +$(MB_ᕽᕽᕽ      )$(if $(filter ram4mb,$(3)),-ext ram2mb) \ +$(MB_ᕽᕽᕽ      )$(if $(filter ram4mb,$(3)),-ext ram4mb) \ +$(MB_ᕽᕽᕽ      )$(MB_OPENMSX_ARGS) \ +$(MB_ᕽᕽᕽ      )-control stdio < $(1)-omsx/stdio.xml \ +$(MB_ᕽᕽᕽ      )$(if $(filter on,$(MB_OPENMSX_STDOUT_IGNORE)),$(MB_OS_STDOUT_IGNORE)) \ +$(MB_ᕽᕽᕽ      )$(if $(filter on,$(MB_OPENMSX_STDERR_IGNORE)),$(MB_OS_STDERR_IGNORE)) +$(MB_ᕽᕽᕽ   )rsync --checksum --recursive "$(1)-omsx/persistent/fire-hdd/untitled1/sync/" "$(1)/" endef define _mb_openmsx_dosctl_bat -$(MB__    )$(if $(wildcard $(1)/z80.bat),,$(call mb_make_call,mb_os_file_copy,$(MB__BASEPATH_REAL)/lib/emuctl/z80.bat,$(1))) -$(MB__    )$(if $(wildcard $(1)/reboot.bat),,$(call mb_make_call,mb_os_file_copy,$(MB__BASEPATH_REAL)/lib/emuctl/reboot.bat,$(1))) -$(MB__    )$(if $(wildcard $(1)/shutdown.bat),,$(call mb_make_call,mb_os_file_copy,$(MB__BASEPATH_REAL)/lib/emuctl/shutdown.bat,$(1))) -$(MB__    )$(if $(wildcard $(1)/mbboot80.com),,$(call mb_make_call,mb_os_file_copy,$(MB__BASEPATH_REAL)/lib/emuctl/mbboot80.com,$(1))) -$(MB__    )$(if $(wildcard $(1)/omsxctl.com),,$(call mb_make_call,mb_msxhub_file,$(1),OMSXCTL/1.0-1/get/OMSXCTL/omsxctl.com)) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)/z80.bat),,$(call mb_make_call,mb_os_file_copy,$(MB_@BASEPATH_REAL)/lib/emuctl/z80.bat,$(1))) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)/reboot.bat),,$(call mb_make_call,mb_os_file_copy,$(MB_@BASEPATH_REAL)/lib/emuctl/reboot.bat,$(1))) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)/shutdown.bat),,$(call mb_make_call,mb_os_file_copy,$(MB_@BASEPATH_REAL)/lib/emuctl/shutdown.bat,$(1))) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)/mbboot80.com),,$(call mb_make_call,mb_os_file_copy,$(MB_@BASEPATH_REAL)/lib/emuctl/mbboot80.com,$(1))) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)/omsxctl.com),,$(call mb_make_call,mb_msxhub_file,$(1),OMSXCTL/1.0-1/get/OMSXCTL/omsxctl.com)) endef define mb_openmsx_dosctl -$(MB__    )$(call mb_make_call,mb_openmsx_setup,$(1),$(if $(2),$(2),$(MB_OPENMSX_MACHINE))) -$(MB__    )$(if $(wildcard $(1)/utils),$(call mb_make_call,_mb_openmsx_dosctl_bat,$(1)/utils),$(call mb_make_call,_mb_openmsx_dosctl_bat,$(1))) -$(MB__    )$(if $(wildcard $(1)/command.com),,$(if $(wildcard $(1)/command2.com),,$(call mb_make_call,mb_msxhub_get_$(MB_OPENMSX_BOOT_OS)_boot,$(1)))) -$(MB__    )$(call mb_make_call,_mb_openmsx_run,$(1),$(if $(2),$(2),$(MB_OPENMSX_MACHINE)),$(if $(3),$(3),$(MB_OPENMSX_MACHINE_RAM))) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg1,$(0),$(1)) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_openmsx_setup,$(1),$(if $(2),$(2),$(MB_OPENMSX_MACHINE))) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)/utils),$(call mb_make_call,_mb_openmsx_dosctl_bat,$(1)/utils),$(call mb_make_call,_mb_openmsx_dosctl_bat,$(1))) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)/command.com),,$(if $(wildcard $(1)/command2.com),,$(call mb_make_call,mb_msxhub_get_$(MB_OPENMSX_BOOT_OS)_boot,$(1)))) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,_mb_openmsx_run,$(1),$(if $(2),$(2),$(MB_OPENMSX_MACHINE)),$(if $(3),$(3),$(MB_OPENMSX_MACHINE_RAM))) endef $(call mb_make_call,mb_doc_function,mb_openmsx_dosctl,"Starts openMSX with dos controller."," [machine] [mem-ext]") diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_0module.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_0module.mk new file mode 100644 index 0000000..4c82c3e --- /dev/null +++ b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_0module.mk @@ -0,0 +1,13 @@ + +define __mb_flow_0module_setup +$(MB_ᕽᕽᕽ   ).PHONY: $(1) +$(MB_ᕽᕽᕽ   )include $(1) +$(MB_ᕽᕽᕽ   )@@include-mods:: $(1) +$(MB_ᕽᕽᕽ   )$(1): @@include-tree +endef +define mb_flow_0module_setup +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg1,$(0),$(1)) +$(MB_ᕽᕽᕽ   )$(foreach _mod,$(call mb_make_call,mb_make_wildcard_treewalker,$(1),*/0module.mk),$(eval $(call mb_make_call,__$(0),$(_mod)))) +endef +$(call mb_make_call,mb_doc_function_flow,mb_flow_0module_setup,"Prints flow of recursive 0module.mk include.","") + diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk new file mode 100644 index 0000000..607ec0e --- /dev/null +++ b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk @@ -0,0 +1,41 @@ + +define __mb_assert_flow_grep_binary +.RECIPEPREFIX := $(MB_@RECIPE) +$(1)/@assert-$(2): $(1)/@build +$(MB_@RECIPE)grep -q -U $(4) $(1)/$(3) +$(MB_@RECIPE)$$(call mb_make_call,mb_os_echo_assert,$$(MB_I18N_ASSERT_SUCCESS) @assert-$(2)) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target,$(1)/@assert-$(2),"Asserts that $(3) output matches.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(1)/@assert-$(2)) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_proj_grow_deps_phase,@test,$(1)/@assert-$(2)) +.RECIPEPREFIX := $(MB_@RECIPEPREFIX) +endef + +define mb_assert_flow_grep_binary +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg4,mb_assert_flow_grep_binary,$(1),$(2),$(3),$(4)) +$(MB_ᕽᕽᕽ   )$(eval $(call mb_make_call,__mb_assert_flow_grep_binary,$(1),$(2),$(3),$(4))) +endef +$(call mb_make_call,mb_doc_function_flow,mb_assert_flow_grep_binary,"Prints flow of single binary grep assert."," ") + +#$$(call mb_delete,$(1)/$(3).out) +define __mb_assert_flow_bdos_grep +.RECIPEPREFIX := $(MB_@RECIPE) +MB__TARGET := $(1)-test-$(2)/@assert +$(1)-test-$(2)/@assert: $(1)/@build +$(MB_@RECIPE)$$(call mb_make_call,mb_os_dir_create,$(1)-test-$(2)) +$(MB_@RECIPE)$$(call mb_make_call,mb_os_file_copy,$(1)/$(3).com,$(1)-test-$(2)) +$(MB_@RECIPE)$$(call mb_make_call,mb_msxpipe_safe_test,$(1)-test-$(2),$(3) > $(3).out,$(5)) +$(MB_@RECIPE)grep -q $(4) $(1)-test-$(2)/$(3).out +$(MB_@RECIPE)$$(call mb_make_call,mb_os_echo_assert,$$(MB_I18N_ASSERT_SUCCESS) $(1)-test-$(2)/@assert) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target,$(1)-test-$(2)/@assert,"Asserts that $(3) output matches.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(1)-test-$(2)/@assert) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_proj_grow_deps_phase,@test,$(1)-test-$(2)/@assert) +.RECIPEPREFIX := $(MB_@RECIPEPREFIX) +endef + +define mb_assert_flow_bdos_grep +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg4,mb_assert_flow_bdos_grep,$(1),$(2),$(3),$(4)) +$(MB_ᕽᕽᕽ   )$(eval $(call mb_make_call,__mb_assert_flow_bdos_grep,$(1),$(2),$(3),$(4),$(5))) +endef +$(call mb_make_call,mb_doc_function_flow,mb_assert_flow_bdos_grep,"Prints flow of single grep assert."," [machine]") + + diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_clone.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_clone.mk new file mode 100644 index 0000000..49ff830 --- /dev/null +++ b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_clone.mk @@ -0,0 +1,41 @@ + +define __mb_tool_clone_flow_deps +.RECIPEPREFIX := $(MB_@RECIPE) + +$(1)/@clean: @@clean +$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_run_clean,$(1)) +$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_deep,$(1)/@clean) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(1)/@clean) + +$(1): | @init +$(MB_@RECIPE)$$(if $$(wildcard $(1)),,$$(call mb_make_call,mb_os_dir_create,$(1))) +$(MB_@RECIPE)$$(if $(6),$$(call mb_make_call,mb_msxhub_get_$(6)_boot,$(1))) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_deep,$(1)) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(1)) + +$(1)/@prepare: $(3) | $(1) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_deep,$(1)/@prepare) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(1)/@prepare) + +$(1)/%: $(2)/% $(1)/@prepare +$(MB_@RECIPE)$$(call mb_make_call,mb_os_file_copy,$$<,$$@) + +$(1)/@build: $(4) +$(MB_@RECIPE)$$(call mb_make_call,mb_os_echo_remark,$(MB_I18N_FLOW_CLONE_REPORT) $$(words $$^)) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target,$(1)/@build,"Builds the $(1) module.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(1)/@build) + +$(1)/@run: $(1)/@build +$(MB_@RECIPE)$$(call mb_make_call,mb_msxpipe_run_gui,$(1),,$(5)) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_run,$(1)/@run,"Run $(3).com manually with gui.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(1)/@run) + +.RECIPEPREFIX := $(MB_@RECIPEPREFIX) +endef +define mb_tool_clone_flow_deps +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg3,mb_tool_clone_flow_deps,$(1),$(2),$(3)) +$(MB_ᕽᕽᕽ   )$(eval $(call mb_make_call,__mb_tool_clone_flow_deps,$(1),$(2),$(3),$(call mb_make_call,mb_proj_module_local_deps,$(1),$(3)),$(4),$(5))) +endef +$(call mb_make_call,mb_doc_function_flow,mb_tool_clone_flow_deps,"Prints flow of file copy toolchain module."," [machine] [os-version]") + diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_m80.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_m80.mk new file mode 100644 index 0000000..ae24a86 --- /dev/null +++ b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_m80.mk @@ -0,0 +1,49 @@ + +define __mb_tool_m80_flow_bdos_mono +.RECIPEPREFIX := $(MB_@RECIPE) + +$(1): | @init +$(MB_@RECIPE)$$(if $$(wildcard $(1)),,$$(call mb_make_call,mb_os_dir_create,$(1))) +$(MB_@RECIPE)$$(if $$(wildcard $(1)/utils),,$$(call mb_make_call,mb_os_dir_create,$(1)/utils)) +$(MB_@RECIPE)$$(call mb_make_call,mb_msxhub_get_macro80,$(1)/utils) +$(MB_@RECIPE)$$(call mb_make_call,mb_msxhub_get_z80asmuk,$(1)/utils) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_deep,$(1)) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(1)) + +$(1)/$(3).mac: $(2)/$(3).mac | $(1) +$(MB_@RECIPE)$$(call mb_make_call,mb_conv_unix2dos,$(2)/$(3).mac,$(1)/$(3).mac) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).mac) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_proj_grow_deps_phase,@process,$(1)/$(3).mac) + +$(1)/$(3).rel: $(1)/$(3).mac +$(MB_@RECIPE)$$(call mb_make_call,mb_msxpipe_safe_cmd,$(1),m80 $$(MB_MAKE_EQUALS)$(3)/Z) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).rel) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_proj_grow_deps_phase,@compile,$(1)/$(3).rel) + +$(1)/$(3).hex: $(1)/$(3).rel +$(MB_@RECIPE)$$(call mb_make_call,mb_msxpipe_safe_cmd,$(1),l80 $(3)$$(MB_MAKE_COMMA)$(3)/N/X/Y/E) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).hex) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_proj_grow_deps_phase,@link,$(1)/$(3).hex) + +$(1)/$(3).com: $(1)/$(3).hex +$(MB_@RECIPE)$$(call mb_make_call,mb_msxpipe_safe_cmd,$(1),hextocom $(3)) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).com) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_proj_grow_deps_phase,@build,$(1)/$(3).com) + +$(1)/@build: $(1)/$(3).com +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target,$(1)/@build,"Builds the $(1) module.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(1)/@build) + +$(1)/@run: $(1)/@build +$(MB_@RECIPE)$$(call mb_make_call,mb_msxpipe_run_gui,$(1)) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_run,$(1)/@run,"Run $(3).com manually with gui.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(1)/@run) + +.RECIPEPREFIX := $(MB_@RECIPEPREFIX) +endef +define mb_tool_m80_flow_bdos_mono +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg3,$(0),$(1),$(2),$(3)) +$(MB_ᕽᕽᕽ   )$(eval $(call mb_make_call,__$(0),$(1),$(2),$(3))) +endef +$(call mb_make_call,mb_doc_function_flow,mb_tool_m80_flow_bdos_mono,"Prints flow of m80 toolchain bdos mono file module."," ") + diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk new file mode 100644 index 0000000..e93a755 --- /dev/null +++ b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk @@ -0,0 +1,288 @@ + +MB_PROJ_META_GROUP_ID ?= +$(call mb_make_call,mb_doc_variable,MB_PROJ_META_GROUP_ID,"The project group id.") + +MB_PROJ_META_ARTIFACT_ID ?= +$(call mb_make_call,mb_doc_variable,MB_PROJ_META_ARTIFACT_ID,"The project artifact id.") + +MB_PROJ_META_VERSION ?= +$(call mb_make_call,mb_doc_variable,MB_PROJ_META_VERSION,"The project version.") + +MB_PROJ_META_NAME ?= +$(call mb_make_call,mb_doc_variable,MB_PROJ_META_NAME,"The project name.") + +MB_PROJ_META_DESCRIPTION ?= +$(call mb_make_call,mb_doc_variable,MB_PROJ_META_DESCRIPTION,"The project description.") + +MB_PROJ_META_WEBSITE ?= +$(call mb_make_call,mb_doc_variable,MB_PROJ_META_WEBSITE,"The project website location.") + +MB_PROJ_PHASE_ALL = @clean @init @process @compile @link @build @test @package @package-qa @package-deploy +$(call mb_make_call,mb_doc_variable_rock,MB_PROJ_PHASE_ALL,"All the phases of an project with flow steps.") + + +define mb_proj_module_path_src +$(MB_ᕽᕽᕽ   )$(1)/$(subst /,,$(subst $(1),,$(dir $(lastword $(MAKEFILE_LIST))))) +endef +$(call mb_make_call,mb_doc_function_deep,mb_proj_module_path_src,"Convert current location folder as src folder.","") + + +define mb_proj_module_path_bin +$(MB_ᕽᕽᕽ   )$(2)/$(subst /,,$(subst $(1),,$(dir $(lastword $(MAKEFILE_LIST))))) +endef +$(call mb_make_call,mb_doc_function_deep,mb_proj_module_path_src,"Convert current location folder as bin folder."," ") + + +define mb_proj_module_local_deps +$(MB_ᕽᕽᕽ   )$(foreach _dep,$(2),$(1)/$(notdir $(_dep))) +endef +$(call mb_make_call,mb_doc_function_deep,mb_proj_module_deps,"Convert other files to local deps."," ") + + +define mb_proj_grow_deps_phase +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg2,$(0),$(1),$(2)) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_value_valid,$(0),$(1),$(MB_PROJ_PHASE_ALL)) +$(MB_ᕽᕽᕽ   )$(eval @$(1)-deps:: $(2)) +endef +$(call mb_make_call,mb_doc_function_deep,mb_proj_grow_deps_phase_clean,"Grow the deps of the selected phase.."," ") + + +define _mb_proj_flow_step_before +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_os_echo_phase,$(MB_I18N_PROJ_STEP_BEFORE) $@) +endef +define _mb_proj_flow_step_done +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_os_echo_phase,$(MB_I18N_PROJ_STEP_AFTER) $@) +$(MB_ᕽᕽᕽ   )$(if $(value MAKECMDGOALS),$(if $(filter $@,$(MAKECMDGOALS)),$(call mb_make_call,mb_os_echo_good,$(MB_I18N_PROJ_STEP_DONE) $@))) +endef +define _mb_proj_run_clean +$(MB_ᕽᕽᕽ   )$(foreach folder,$(1),$(if $(wildcard $(folder)),$(call mb_make_call,mb_os_echo_command,$(MB_I18N_PROJ_DIR_DELETE) $(folder));)) +$(MB_ᕽᕽᕽ   )$(foreach folder,$(1),$(if $(wildcard $(folder)),$(call mb_make_call,mb_os_dir_delete,$(folder)))) +endef +define _mb_proj_run_init +$(MB_ᕽᕽᕽ   )$(foreach folder,$(1),$(if $(wildcard $(folder)),,$(call mb_make_call,mb_os_echo_command,$(MB_I18N_PROJ_DIR_CREATE) $(folder));)) +$(MB_ᕽᕽᕽ   )$(foreach folder,$(1),$(if $(wildcard $(folder)),,$(call mb_make_call,mb_os_dir_create,$(folder)))) +endef + + +define __mb_flow_proj_setup +.RECIPEPREFIX := $(MB_@RECIPE) + +@@clean: @include +$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_before) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@@clean) +@@clean-deps:: @include +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@@clean-deps) +@clean: @@clean @@clean-deps +$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_run_clean,$(1)) +$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@clean,"Clean's the project build folders.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@clean) + +@@init: @include +$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_before) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@@init) +@@init-deps:: @include +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@@init-deps) +@init: @@init @@init-deps +$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_run_init,$(1)) +$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@init,"Create the project output folders.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@init) + +@@prepare: @init +$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_before) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@@prepare) +@@prepare-deps:: +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@@prepare-deps) +@prepare: @@prepare @@prepare-deps +$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@prepare,"Prepare sources before processing.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@prepare) + +@@process: @prepare +$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_before) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@@process) +@@process-deps:: +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@@process-deps) +@process: @@process @@process-deps +$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@process,"Process sources before compiling.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@process) + +@@compile: @process +$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_before) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@@compile) +@@compile-deps:: +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@@compile-deps) +@compile: @@compile @@compile-deps +$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@compile,"Compiles all project sources.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@compile) + +@@link: @compile +$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_before) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@@link) +@@link-deps:: +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@@link-deps) +@link: @@link @@link-deps +$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@link,"Link all project intermediate files.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@link) + +@@build: @link +$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_before) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@@build) +@@build-deps:: +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@@build-deps) +@build: @@build @@build-deps +$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@build,"Build all project artifacts.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@build) + +@@test: @build +$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_before) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@@test) +@@test-deps:: +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@@test-deps) +@test: @@test @@test-deps +$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@test,"Run all assertion tests.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@test) + +@@package: @test +$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_before) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@@package) +@@package-deps:: +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@@package-deps) +@package: @@package @@package-deps +$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@package,"Create all packages of project.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@package) + +@@package-qa: @package +$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_before) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@@package-qa) +@@package-qa-deps:: +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@@package-qa-deps) +@package-qa: @@package-qa @@package-qa-deps +$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@package-qa,"Run all packages QA of project.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@package-qa) + +@@package-deploy: @package-qa +$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_before) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@@package-deploy) +@@package-deploy-deps:: +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@@package-deploy-deps) +@package-deploy: @@package-deploy @@package-deploy-deps +$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@package-deploy,"Deploy all the packages.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@package-deploy) + +@@all: @package-qa +$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_before) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@@all) +@@all-deps:: +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@@all-deps) +@all: @@all @@all-deps +$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@all,"Run full build and package QA tests.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@all) + +.RECIPEPREFIX := $(MB_@RECIPEPREFIX) +endef +define mb_flow_proj_setup +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg1,$(0),$(1)) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_variable,MB_PROJ_META_GROUP_ID) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_variable,MB_PROJ_META_ARTIFACT_ID) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_variable,MB_PROJ_META_VERSION) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_variable,MB_PROJ_META_NAME) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_variable,MB_PROJ_META_DESCRIPTION) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_variable,MB_PROJ_META_WEBSITE) +$(MB_ᕽᕽᕽ   )$(eval $(call mb_make_call,__$(0),$(1))) +$(MB_ᕽᕽᕽ   )$(if $(MB_I18N),$(if $(filter off,$(MB_I18N)),,$(call mb_make_call,_$(0)_i18n))) +endef +$(call mb_make_call,mb_doc_function_flow,mb_flow_proj_setup,"Prints flow of abstract project build cycle.","") + + +define __mb_flow_proj_setup_i18n +.RECIPEPREFIX := $(MB_@RECIPE) + +@$$(MB_I18N_PROJ_PHASEID_CLEAN): @clean +$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@$$(MB_I18N_PROJ_PHASEID_CLEAN),$$(MB_I18N_PROJ_PHASEDOC_CLEAN)) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@$$(MB_I18N_PROJ_PHASEID_CLEAN)) + +@$$(MB_I18N_PROJ_PHASEID_INIT): @init +$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@$$(MB_I18N_PROJ_PHASEID_INIT),$$(MB_I18N_PROJ_PHASEDOC_INIT)) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@$$(MB_I18N_PROJ_PHASEID_INIT)) + +@$$(MB_I18N_PROJ_PHASEID_PREPARE): @$$(MB_I18N_PROJ_PHASEID_PREPARE) @prepare +$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@$$(MB_I18N_PROJ_PHASEID_PREPARE),$$(MB_I18N_PROJ_PHASEDOC_PREPARE)) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@$$(MB_I18N_PROJ_PHASEID_PREPARE)) + +@$$(MB_I18N_PROJ_PHASEID_PROCESS): @$$(MB_I18N_PROJ_PHASEID_INIT) @process +$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@$$(MB_I18N_PROJ_PHASEID_PROCESS),$$(MB_I18N_PROJ_PHASEDOC_PROCESS)) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@$$(MB_I18N_PROJ_PHASEID_PROCESS)) + +@$$(MB_I18N_PROJ_PHASEID_COMPILE): @$$(MB_I18N_PROJ_PHASEID_PROCESS) @compile +$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@$$(MB_I18N_PROJ_PHASEID_COMPILE),$$(MB_I18N_PROJ_PHASEDOC_COMPILE)) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@$$(MB_I18N_PROJ_PHASEID_COMPILE)) + +@$$(MB_I18N_PROJ_PHASEID_LINK): @$$(MB_I18N_PROJ_PHASEID_COMPILE) @link +$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@$$(MB_I18N_PROJ_PHASEID_LINK),$$(MB_I18N_PROJ_PHASEDOC_LINK)) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@$$(MB_I18N_PROJ_PHASEID_LINK)) + +@$$(MB_I18N_PROJ_PHASEID_BUILD): @$$(MB_I18N_PROJ_PHASEID_LINK) @build +$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@$$(MB_I18N_PROJ_PHASEID_BUILD),$$(MB_I18N_PROJ_PHASEDOC_BUILD)) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@$$(MB_I18N_PROJ_PHASEID_BUILD)) + +@$$(MB_I18N_PROJ_PHASEID_TEST): @$$(MB_I18N_PROJ_PHASEID_BUILD) @test +$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@$$(MB_I18N_PROJ_PHASEID_TEST),$$(MB_I18N_PROJ_PHASEDOC_TEST)) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@$$(MB_I18N_PROJ_PHASEID_TEST)) + +@$$(MB_I18N_PROJ_PHASEID_PACKAGE): @$$(MB_I18N_PROJ_PHASEID_TEST) @package +$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@$$(MB_I18N_PROJ_PHASEID_PACKAGE),$$(MB_I18N_PROJ_PHASEDOC_PACKAGE)) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@$$(MB_I18N_PROJ_PHASEID_PACKAGE)) + +@$$(MB_I18N_PROJ_PHASEID_PACKAGE_QA): @$$(MB_I18N_PROJ_PHASEID_PACKAGE) @package-qa +$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@$$(MB_I18N_PROJ_PHASEID_PACKAGE_QA),$$(MB_I18N_PROJ_PHASEDOC_PACKAGE_QA)) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@$$(MB_I18N_PROJ_PHASEID_PACKAGE_QA)) + +@$$(MB_I18N_PROJ_PHASEID_PACKAGE_DEPLOY): @$$(MB_I18N_PROJ_PHASEID_PACKAGE_QA) @package-deploy +$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@$$(MB_I18N_PROJ_PHASEID_PACKAGE_DEPLOY),$$(MB_I18N_PROJ_PHASEDOC_PACKAGE_DEPLOY)) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@$$(MB_I18N_PROJ_PHASEID_PACKAGE_DEPLOY)) + +@$$(MB_I18N_PROJ_PHASEID_ALL): @$$(MB_I18N_PROJ_PHASEID_ALL) @all +$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@$$(MB_I18N_PROJ_PHASEID_ALL),$$(MB_I18N_PROJ_PHASEDOC_ALL)) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@$$(MB_I18N_PROJ_PHASEID_ALL)) + +.RECIPEPREFIX := $(MB_@RECIPEPREFIX) +endef +define _mb_flow_proj_setup_i18n +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_variable,MB_I18N_PROJ_PHASEID_CLEAN) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_variable,MB_I18N_PROJ_PHASEID_INIT) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_variable,MB_I18N_PROJ_PHASEID_PREPARE) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_variable,MB_I18N_PROJ_PHASEID_PROCESS) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_variable,MB_I18N_PROJ_PHASEID_COMPILE) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_variable,MB_I18N_PROJ_PHASEID_LINK) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_variable,MB_I18N_PROJ_PHASEID_BUILD) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_variable,MB_I18N_PROJ_PHASEID_TEST) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_variable,MB_I18N_PROJ_PHASEID_PACKAGE) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_variable,MB_I18N_PROJ_PHASEID_PACKAGE_QA) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_variable,MB_I18N_PROJ_PHASEID_PACKAGE_DEPLOY) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_variable,MB_I18N_PROJ_PHASEID_ALL) +$(MB_ᕽᕽᕽ   )$(eval $(call mb_make_call,_$(0))) +endef + diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_sdcc.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_sdcc.mk new file mode 100644 index 0000000..4e4d339 --- /dev/null +++ b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_sdcc.mk @@ -0,0 +1,41 @@ + +define __mb_tool_sdcc_flow_bdos_mono +.RECIPEPREFIX := $(MB_@RECIPE) + +$(1): | @init +$(MB_@RECIPE)$$(if $$(wildcard $(1)),,$$(call mb_make_call,mb_os_dir_create,$(1))) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_deep,$(1)) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(1)) + +$(1)/$(3).rel: $(2)/$(3).asm | $(1) +$(MB_@RECIPE)$$(call mb_make_call,mb_sdcc_compile_asm,$$<,$$@) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).rel) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_proj_grow_deps_phase,@compile,$(1)/$(3).rel) + +$(1)/$(3).hex: $(1)/$(3).rel +$(MB_@RECIPE)$$(call mb_make_call,mb_sdcc_link_asm_bdos,$$<,$$@) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).hex) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_proj_grow_deps_phase,@link,$(1)/$(3).hex) + +$(1)/$(3).com: $(1)/$(3).hex +$(MB_@RECIPE)$$(call mb_make_call,mb_sdcc_objcopy,$$<,$$@) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).com) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_proj_grow_deps_phase,@build,$(1)/$(3).com) + +$(1)/@build: $(1)/$(3).com +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target,$(1)/@build,"Builds the $(1) module.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(1)/@build) + +$(1)/@run: $(1)/@build +$(MB_@RECIPE)$$(call mb_make_call,mb_msxpipe_run_gui,$(1)) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_run,$(1)/@run,"Run $(3).com manually with gui.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(1)/@run) + +.RECIPEPREFIX := $(MB_@RECIPEPREFIX) +endef +define mb_tool_sdcc_flow_bdos_mono +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg3,$(0),$(1),$(2),$(3)) +$(MB_ᕽᕽᕽ   )$(eval $(call mb_make_call,__$(0),$(1),$(2),$(3))) +endef +$(call mb_make_call,mb_doc_function_flow,mb_tool_sdcc_flow_bdos_mono,"Prints flow of sdcc toolchain bdos mono file module."," ") + diff --git a/src/dist-qa-dos1/0module.mk b/src/dist-qa-dos1/0module.mk index 546da34..880d553 100644 --- a/src/dist-qa-dos1/0module.mk +++ b/src/dist-qa-dos1/0module.mk @@ -1,31 +1,19 @@ DIST_QA_DOS1_SRC := $(call mb_make_call,mb_proj_module_path_src,$(PATH_SRC)) DIST_QA_DOS1_BIN := $(call mb_make_call,mb_proj_module_path_bin,$(PATH_SRC),$(PATH_BIN)) -DIST_QA_DOS1_DEPS := $(call mb_make_call,mb_proj_module_local_deps,$(DIST_QA_DOS1_BIN),$(DIST_DEPS)) - -$(DIST_QA_DOS1_BIN): | @init -_$(_XXX    )$(if $(wildcard $(DIST_QA_DOS1_BIN)),,$(call mb_make_call,mb_os_dir_create,$(DIST_QA_DOS1_BIN))) -_$(_XXX    )$(call mb_make_call,mb_msxhub_get_msxdos1_boot,$(DIST_QA_DOS1_BIN)) -$(DIST_QA_DOS1_BIN)/%: $(DIST_BIN)/% $(DIST_DEPS) | $(DIST_QA_DOS1_BIN) -_$(_XXX    )$(call mb_make_call,mb_os_file_copy,$<,$@) +$(call mb_make_call,mb_tool_clone_flow_deps,$(DIST_QA_DOS1_BIN),$(DIST_BIN),$(DIST_DEPS),,msxdos1) -$(DIST_QA_DOS1_BIN)/@run: $(DIST_QA_DOS1_DEPS) -_$(_XXX    )$(call mb_make_call,mb_msxpipe_run_gui,$(DIST_QA_DOS1_BIN)) -$(_XXX    )$(call mb_make_call,mb_doc_target_run,$(DIST_QA_DOS1_BIN)/@run,"Run binaries on msxdos1 machine.") -$(_XXX    )$(call mb_make_call,mb_make_flag_phony,$(DIST_QA_DOS1_BIN)/@run) - - -$(DIST_QA_DOS1_BIN)/@assert: $(DIST_QA_DOS1_DEPS) -_$(_XXX    )$(call mb_make_call,mb_autoexec_write_default,$(DIST_QA_DOS1_BIN)) -_$(_XXX    )$(call mb_make_call,mb_autoexec_append_safe_test,$(DIST_QA_DOS1_BIN),ahello1) -_$(_XXX    )$(call mb_make_call,mb_autoexec_append_safe_test,$(DIST_QA_DOS1_BIN),ahello2) -_$(_XXX    )$(call mb_make_call,mb_autoexec_append_exit,$(DIST_QA_DOS1_BIN)) -_$(_XXX    )$(call mb_make_call,mb_openmsx_dosctl,$(DIST_QA_DOS1_BIN)) -_$(_XXX    )$(call mb_make_call,mb_os_echo_assert,$(MB_I18N_ASSERT_SUCCESS) $(DIST_QA_DOS1_BIN)/@assert) -$(_XXX    )$(call mb_make_call,mb_doc_target,$(DIST_QA_DOS1_BIN)/@assert,"Assert binaries on msxdos1.") -$(_XXX    )$(call mb_make_call,mb_proj_grow_deps_phase_package_qa,$(DIST_QA_DOS1_BIN)/@assert) -$(_XXX    )$(call mb_make_call,mb_make_flag_phony,$(DIST_QA_DOS1_BIN)/@assert) +$(DIST_QA_DOS1_BIN)/@assert: $(DIST_QA_DOS1_BIN)/@build +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_write_default,$(DIST_QA_DOS1_BIN)) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_test,$(DIST_QA_DOS1_BIN),ahello1) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_test,$(DIST_QA_DOS1_BIN),ahello2) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_exit,$(DIST_QA_DOS1_BIN)) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_openmsx_dosctl,$(DIST_QA_DOS1_BIN)) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_echo_assert,$(MB_I18N_ASSERT_SUCCESS) $(DIST_QA_DOS1_BIN)/@assert) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target,$(DIST_QA_DOS1_BIN)/@assert,"Assert binaries on msxdos1.") +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_proj_grow_deps_phase,@package-qa,$(DIST_QA_DOS1_BIN)/@assert) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_target_phony,$(DIST_QA_DOS1_BIN)/@assert) diff --git a/src/dist-qa-dos2/0module.mk b/src/dist-qa-dos2/0module.mk index b144e09..89ae37c 100644 --- a/src/dist-qa-dos2/0module.mk +++ b/src/dist-qa-dos2/0module.mk @@ -1,36 +1,23 @@ DIST_QA_DOS2_SRC := $(call mb_make_call,mb_proj_module_path_src,$(PATH_SRC)) DIST_QA_DOS2_BIN := $(call mb_make_call,mb_proj_module_path_bin,$(PATH_SRC),$(PATH_BIN)) -DIST_QA_DOS2_DEPS := $(call mb_make_call,mb_proj_module_local_deps,$(DIST_QA_DOS2_BIN),$(DIST_DEPS)) -$(DIST_QA_DOS2_BIN): | @init -_$(_XXX    )$(if $(wildcard $(DIST_QA_DOS2_BIN)),,$(call mb_make_call,mb_os_dir_create,$(DIST_QA_DOS2_BIN))) -_$(_XXX    )$(call mb_make_call,mb_msxhub_get_msxdos2_boot,$(DIST_QA_DOS2_BIN)) +$(call mb_make_call,mb_tool_clone_flow_deps,$(DIST_QA_DOS2_BIN),$(DIST_BIN),$(DIST_DEPS),,msxdos2) -$(DIST_QA_DOS2_BIN)/%: $(DIST_BIN)/% $(DIST_DEPS) | $(DIST_QA_DOS2_BIN) -_$(_XXX    )$(call mb_make_call,mb_os_file_copy,$<,$@) - - -$(DIST_QA_DOS2_BIN)/@run: | $(DIST_QA_DOS2_DEPS) -_$(_XXX    )$(call mb_make_call,mb_msxpipe_run_gui,$(DIST_QA_DOS2_BIN)) -$(_XXX    )$(call mb_make_call,mb_doc_target_run,$(DIST_QA_DOS2_BIN)/@run,"Run binaries on msxdos2 machine.") -$(_XXX    )$(call mb_make_call,mb_make_flag_phony,$(DIST_QA_DOS2_BIN)/@run) - - -$(DIST_QA_DOS2_BIN)/@assert: | $(DIST_QA_DOS2_DEPS) -_$(_XXX    )$(call mb_make_call,mb_os_file_delete,$(DIST_QA_DOS2_BIN)/ahello1.out) -_$(_XXX    )$(call mb_make_call,mb_os_file_delete,$(DIST_QA_DOS2_BIN)/ahello2.out) -_$(_XXX    )$(call mb_make_call,mb_autoexec_write_default,$(DIST_QA_DOS2_BIN)) -_$(_XXX    )$(call mb_make_call,mb_autoexec_append_safe_test,$(DIST_QA_DOS2_BIN),ahello1 > ahello1.out) -_$(_XXX    )$(call mb_make_call,mb_autoexec_append_safe_test,$(DIST_QA_DOS2_BIN),ahello2 > ahello2.out) -_$(_XXX    )$(call mb_make_call,mb_autoexec_append_exit,$(DIST_QA_DOS2_BIN)) -_$(_XXX    )$(call mb_make_call,mb_openmsx_dosctl,$(DIST_QA_DOS2_BIN)) -_$(_XXX    )grep -q "M80: Hello world..." $(DIST_QA_DOS2_BIN)/ahello1.out -_$(_XXX    )grep -q "SDCC: Hello world..." $(DIST_QA_DOS2_BIN)/ahello2.out -_$(_XXX    )$(call mb_make_call,mb_os_echo_assert,$(MB_I18N_ASSERT_SUCCESS) $(DIST_QA_DOS2_BIN)/@assert) -$(_XXX    )$(call mb_make_call,mb_doc_target,$(DIST_QA_DOS2_BIN)/@assert,"Assert binaries on msxdos2.") -$(_XXX    )$(call mb_make_call,mb_proj_grow_deps_phase_package_qa,$(DIST_QA_DOS2_BIN)/@assert) -$(_XXX    )$(call mb_make_call,mb_make_flag_phony,$(DIST_QA_DOS2_BIN)/@assert) +$(DIST_QA_DOS2_BIN)/@assert: $(DIST_QA_DOS2_BIN)/@build +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_file_delete,$(DIST_QA_DOS2_BIN)/ahello1.out) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_file_delete,$(DIST_QA_DOS2_BIN)/ahello2.out) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_write_default,$(DIST_QA_DOS2_BIN)) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_test,$(DIST_QA_DOS2_BIN),ahello1 > ahello1.out) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_test,$(DIST_QA_DOS2_BIN),ahello2 > ahello2.out) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_exit,$(DIST_QA_DOS2_BIN)) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_openmsx_dosctl,$(DIST_QA_DOS2_BIN)) +_$(MB_ᕽᕽᕽ  )grep -q "M80: Hello world..." $(DIST_QA_DOS2_BIN)/ahello1.out +_$(MB_ᕽᕽᕽ  )grep -q "SDCC: Hello world..." $(DIST_QA_DOS2_BIN)/ahello2.out +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_echo_assert,$(MB_I18N_ASSERT_SUCCESS) $(DIST_QA_DOS2_BIN)/@assert) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target,$(DIST_QA_DOS2_BIN)/@assert,"Assert binaries on msxdos2.") +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_proj_grow_deps_phase,@package-qa,$(DIST_QA_DOS2_BIN)/@assert) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_target_phony,$(DIST_QA_DOS2_BIN)/@assert) diff --git a/src/dist-qa-msx1/0module.mk b/src/dist-qa-msx1/0module.mk index c753aaa..b0d66a7 100644 --- a/src/dist-qa-msx1/0module.mk +++ b/src/dist-qa-msx1/0module.mk @@ -1,38 +1,26 @@ DIST_QA_MSX1_SRC := $(call mb_make_call,mb_proj_module_path_src,$(PATH_SRC)) DIST_QA_MSX1_BIN := $(call mb_make_call,mb_proj_module_path_bin,$(PATH_SRC),$(PATH_BIN)) -DIST_QA_MSX1_DEPS := $(call mb_make_call,mb_proj_module_local_deps,$(DIST_QA_MSX1_BIN),$(DIST_DEPS)) +#DIST_QA_MSX1_DEPS := $(call mb_make_call,mb_proj_module_local_deps,$(DIST_QA_MSX1_BIN),$(DIST_DEPS)) DIST_QA_MSX1_MACHINE ?= Canon_V-20 $(call mb_make_call,mb_doc_variable,DIST_QA_MSX1_MACHINE,"The machine to run the msx1 qa module on.") -$(DIST_QA_MSX1_BIN): | @init -_$(_XXX    )$(if $(wildcard $(DIST_QA_MSX1_BIN)),,$(call mb_make_call,mb_os_dir_create,$(DIST_QA_MSX1_BIN))) +$(call mb_make_call,mb_tool_clone_flow_deps,$(DIST_QA_MSX1_BIN),$(DIST_BIN),$(DIST_DEPS),$(DIST_QA_MSX1_MACHINE)) - -$(DIST_QA_MSX1_BIN)/%: $(DIST_BIN)/% $(DIST_DEPS) | $(DIST_QA_MSX1_BIN) -_$(_XXX    )$(call mb_make_call,mb_os_file_copy,$<,$@) - - -$(DIST_QA_MSX1_BIN)/@run: | $(DIST_QA_MSX1_DEPS) -_$(_XXX    )$(call mb_make_call,mb_msxpipe_run_gui,$(DIST_QA_MSX1_BIN),,$(DIST_QA_MSX1_MACHINE)) -$(_XXX    )$(call mb_make_call,mb_doc_target_run,$(DIST_QA_MSX1_BIN)/@run,"Run binaries on MSX1 machine.") -$(_XXX    )$(call mb_make_call,mb_make_flag_phony,$(DIST_QA_MSX1_BIN)/@run) - - -$(DIST_QA_MSX1_BIN)/@assert: | $(DIST_QA_MSX1_DEPS) -_$(_XXX    )$(call mb_make_call,mb_os_file_delete,$(DIST_QA_MSX1_BIN)/ahello1.out) -_$(_XXX    )$(call mb_make_call,mb_os_file_delete,$(DIST_QA_MSX1_BIN)/ahello2.out) -_$(_XXX    )$(call mb_make_call,mb_autoexec_write_default,$(DIST_QA_MSX1_BIN),40) -_$(_XXX    )$(call mb_make_call,mb_autoexec_append_safe_test,$(DIST_QA_MSX1_BIN),ahello1 > ahello1.out,33) -_$(_XXX    )$(call mb_make_call,mb_autoexec_append_safe_test,$(DIST_QA_MSX1_BIN),ahello2 > ahello2.out,66,99) -_$(_XXX    )$(call mb_make_call,mb_autoexec_append_exit,$(DIST_QA_MSX1_BIN)) -_$(_XXX    )$(call mb_make_call,mb_openmsx_dosctl,$(DIST_QA_MSX1_BIN),$(DIST_QA_MSX1_MACHINE)) -_$(_XXX    )grep -q "M80: Hello world..." $(DIST_QA_MSX1_BIN)/ahello1.out -_$(_XXX    )grep -q "SDCC: Hello world..." $(DIST_QA_MSX1_BIN)/ahello2.out -_$(_XXX    )$(call mb_make_call,mb_os_echo_assert,$(MB_I18N_ASSERT_SUCCESS) $(DIST_QA_MSX1_BIN)/@assert) -$(_XXX    )$(call mb_make_call,mb_doc_target,$(DIST_QA_MSX1_BIN)/@assert,"Assert binaries on MSX1 machine.") -$(_XXX    )$(call mb_make_call,mb_proj_grow_deps_phase_package_qa,$(DIST_QA_MSX1_BIN)/@assert) -$(_XXX    )$(call mb_make_call,mb_make_flag_phony,$(DIST_QA_MSX1_BIN)/@assert) +$(DIST_QA_MSX1_BIN)/@assert: $(DIST_QA_MSX1_BIN)/@build +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_file_delete,$(DIST_QA_MSX1_BIN)/ahello1.out) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_file_delete,$(DIST_QA_MSX1_BIN)/ahello2.out) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_write_default,$(DIST_QA_MSX1_BIN),40) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_test,$(DIST_QA_MSX1_BIN),ahello1 > ahello1.out,33) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_test,$(DIST_QA_MSX1_BIN),ahello2 > ahello2.out,66,99) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_exit,$(DIST_QA_MSX1_BIN)) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_openmsx_dosctl,$(DIST_QA_MSX1_BIN),$(DIST_QA_MSX1_MACHINE)) +_$(MB_ᕽᕽᕽ  )grep -q "M80: Hello world..." $(DIST_QA_MSX1_BIN)/ahello1.out +_$(MB_ᕽᕽᕽ  )grep -q "SDCC: Hello world..." $(DIST_QA_MSX1_BIN)/ahello2.out +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_echo_assert,$(MB_I18N_ASSERT_SUCCESS) $(DIST_QA_MSX1_BIN)/@assert) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target,$(DIST_QA_MSX1_BIN)/@assert,"Assert binaries on MSX1 machine.") +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_proj_grow_deps_phase,@package-qa,$(DIST_QA_MSX1_BIN)/@assert) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_target_phony,$(DIST_QA_MSX1_BIN)/@assert) diff --git a/src/dist-qa-msxhub/0module.mk b/src/dist-qa-msxhub/0module.mk index 31e00c0..5c6dcbe 100644 --- a/src/dist-qa-msxhub/0module.mk +++ b/src/dist-qa-msxhub/0module.mk @@ -8,57 +8,57 @@ $(call mb_make_call,mb_doc_variable,DIST_QA_MSXHUB_MACHINE,"The machine to run t $(DIST_QA_MSXHUB_BIN): | @init -_$(_XXX    )$(if $(wildcard $(DIST_QA_MSXHUB_BIN)),,$(call mb_make_call,mb_os_dir_create,$(DIST_QA_MSXHUB_BIN))) -_$(_XXX    )$(if $(wildcard $(DIST_QA_MSXHUB_BIN)/utils),,$(call mb_make_call,mb_os_dir_create,$(DIST_QA_MSXHUB_BIN)/utils)) -_$(_XXX    )$(call mb_make_call,mb_msxhub_get_msxdos2_utils,$(DIST_QA_MSXHUB_BIN)/utils) -_$(_XXX    )$(call mb_make_call,mb_msxhub_get_nextor_utils,$(DIST_QA_MSXHUB_BIN)/utils) -_$(_XXX    )$(call mb_make_call,mb_msxhub_get_macro80,$(DIST_QA_MSXHUB_BIN)/utils) -_$(_XXX    )$(call mb_make_call,mb_msxhub_get_z80asmuk,$(DIST_QA_MSXHUB_BIN)/utils) -_$(_XXX    )$(call mb_make_call,mb_msxhub_get_wbass2,$(DIST_QA_MSXHUB_BIN)/utils) -_$(_XXX    )$(call mb_make_call,mb_msxhub_get_konpass,$(DIST_QA_MSXHUB_BIN)/utils) -_$(_XXX    )$(call mb_make_call,mb_msxhub_get_pmarc,$(DIST_QA_MSXHUB_BIN)/utils) -_$(_XXX    )$(call mb_make_call,mb_msxhub_get_lhpack,$(DIST_QA_MSXHUB_BIN)/utils) -_$(_XXX    )$(call mb_make_call,mb_msxhub_get_lhext,$(DIST_QA_MSXHUB_BIN)/utils) -_$(_XXX    )$(call mb_make_call,mb_msxhub_get_gunzip,$(DIST_QA_MSXHUB_BIN)/utils) -_$(_XXX    )$(call mb_make_call,mb_msxhub_get_tunzip,$(DIST_QA_MSXHUB_BIN)/utils) -_$(_XXX    )$(call mb_make_call,mb_msxhub_get_popcom,$(DIST_QA_MSXHUB_BIN)/utils) -_$(_XXX    )$(call mb_make_call,mb_msxhub_get_make,$(DIST_QA_MSXHUB_BIN)/utils) -_$(_XXX    )$(call mb_make_call,mb_msxhub_get_adir,$(DIST_QA_MSXHUB_BIN)/utils) -_$(_XXX    )$(call mb_make_call,mb_msxhub_get_turbo,$(DIST_QA_MSXHUB_BIN)/utils) -_$(_XXX    )$(call mb_make_call,mb_msxhub_get_baskom,$(DIST_QA_MSXHUB_BIN)/utils) -_$(_XXX    )$(call mb_make_call,mb_msxhub_get_binldr,$(DIST_QA_MSXHUB_BIN)/utils) -_$(_XXX    )$(call mb_make_call,mb_msxhub_get_dmphex,$(DIST_QA_MSXHUB_BIN)/utils) -_$(_XXX    )$(call mb_make_call,mb_msxhub_get_zd,$(DIST_QA_MSXHUB_BIN)/utils) -_$(_XXX    )$(call mb_make_call,mb_msxhub_get_msxdos2t,$(DIST_QA_MSXHUB_BIN)/utils) -_$(_XXX    )$(call mb_make_call,mb_msxhub_get_gfxage,$(DIST_QA_MSXHUB_BIN)/utils) +_$(MB_ᕽᕽᕽ  )$(if $(wildcard $(DIST_QA_MSXHUB_BIN)),,$(call mb_make_call,mb_os_dir_create,$(DIST_QA_MSXHUB_BIN))) +_$(MB_ᕽᕽᕽ  )$(if $(wildcard $(DIST_QA_MSXHUB_BIN)/utils),,$(call mb_make_call,mb_os_dir_create,$(DIST_QA_MSXHUB_BIN)/utils)) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_msxdos2_utils,$(DIST_QA_MSXHUB_BIN)/utils) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_nextor_utils,$(DIST_QA_MSXHUB_BIN)/utils) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_macro80,$(DIST_QA_MSXHUB_BIN)/utils) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_z80asmuk,$(DIST_QA_MSXHUB_BIN)/utils) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_wbass2,$(DIST_QA_MSXHUB_BIN)/utils) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_konpass,$(DIST_QA_MSXHUB_BIN)/utils) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_pmarc,$(DIST_QA_MSXHUB_BIN)/utils) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_lhpack,$(DIST_QA_MSXHUB_BIN)/utils) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_lhext,$(DIST_QA_MSXHUB_BIN)/utils) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_gunzip,$(DIST_QA_MSXHUB_BIN)/utils) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_tunzip,$(DIST_QA_MSXHUB_BIN)/utils) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_popcom,$(DIST_QA_MSXHUB_BIN)/utils) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_make,$(DIST_QA_MSXHUB_BIN)/utils) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_adir,$(DIST_QA_MSXHUB_BIN)/utils) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_turbo,$(DIST_QA_MSXHUB_BIN)/utils) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_baskom,$(DIST_QA_MSXHUB_BIN)/utils) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_binldr,$(DIST_QA_MSXHUB_BIN)/utils) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_dmphex,$(DIST_QA_MSXHUB_BIN)/utils) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_zd,$(DIST_QA_MSXHUB_BIN)/utils) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_msxdos2t,$(DIST_QA_MSXHUB_BIN)/utils) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_gfxage,$(DIST_QA_MSXHUB_BIN)/utils) $(DIST_QA_MSXHUB_BIN)/%: $(DIST_BIN)/% $(DIST_DEPS) | $(DIST_QA_MSXHUB_BIN) -_$(_XXX    )$(call mb_make_call,mb_os_file_copy,$<,$@) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_file_copy,$<,$@) $(DIST_QA_MSXHUB_BIN)/@run: | $(DIST_QA_MSXHUB_DEPS) -_$(_XXX    )$(call mb_make_call,mb_msxpipe_run_gui,$(DIST_QA_MSXHUB_BIN),,$(DIST_QA_MSXHUB_MACHINE)) -$(_XXX    )$(call mb_make_call,mb_doc_target_run,$(DIST_QA_MSXHUB_BIN)/@run,"Run the dist with all msxhub packages.") -$(_XXX    )$(call mb_make_call,mb_make_flag_phony,$(DIST_QA_MSXHUB_BIN)/@run) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxpipe_run_gui,$(DIST_QA_MSXHUB_BIN),,$(DIST_QA_MSXHUB_MACHINE)) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target_run,$(DIST_QA_MSXHUB_BIN)/@run,"Run the dist with all msxhub packages.") +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_target_phony,$(DIST_QA_MSXHUB_BIN)/@run) $(DIST_QA_MSXHUB_BIN)/@assert: | $(DIST_QA_MSXHUB_DEPS) -_$(_XXX    )$(call mb_make_call,mb_os_file_delete,$(DIST_QA_MSXHUB_BIN)/ahello1.out) -_$(_XXX    )$(call mb_make_call,mb_os_file_delete,$(DIST_QA_MSXHUB_BIN)/ahello2.out) -_$(_XXX    )$(call mb_make_call,mb_os_file_delete,$(DIST_QA_MSXHUB_BIN)/utils.out) -_$(_XXX    )$(call mb_make_call,mb_autoexec_write_default,$(DIST_QA_MSXHUB_BIN)) -_$(_XXX    )$(call mb_make_call,mb_autoexec_append_safe_test,$(DIST_QA_MSXHUB_BIN),ahello1 > ahello1.out) -_$(_XXX    )$(call mb_make_call,mb_autoexec_append_safe_test,$(DIST_QA_MSXHUB_BIN),ahello2 > ahello2.out) -_$(_XXX    )$(call mb_make_call,mb_autoexec_append_safe_test,$(DIST_QA_MSXHUB_BIN),dir utils > utils.out) -_$(_XXX    )$(call mb_make_call,mb_autoexec_append_exit,$(DIST_QA_MSXHUB_BIN)) -_$(_XXX    )$(call mb_make_call,mb_openmsx_dosctl,$(DIST_QA_MSXHUB_BIN),$(DIST_QA_MSXHUB_MACHINE)) -_$(_XXX    )grep -q "M80: Hello world..." $(DIST_QA_MSXHUB_BIN)/ahello1.out -_$(_XXX    )grep -q "SDCC: Hello world..." $(DIST_QA_MSXHUB_BIN)/ahello2.out -_$(_XXX    )grep -q "KONPASS COM" $(DIST_QA_MSXHUB_BIN)/utils.out -_$(_XXX    )grep -q "MAKE COM" $(DIST_QA_MSXHUB_BIN)/utils.out -_$(_XXX    )$(call mb_make_call,mb_os_echo_assert,$(MB_I18N_ASSERT_SUCCESS) $(DIST_QA_MSXHUB_BIN)/@assert) -$(_XXX    )$(call mb_make_call,mb_doc_target,$(DIST_QA_MSXHUB_BIN)/@assert,"Asserts that all dist artifacts execute results.") -$(_XXX    )$(call mb_make_call,mb_proj_grow_deps_phase_package_qa,$(DIST_QA_MSXHUB_BIN)/@assert) -$(_XXX    )$(call mb_make_call,mb_make_flag_phony,$(DIST_QA_MSXHUB_BIN)/@assert) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_file_delete,$(DIST_QA_MSXHUB_BIN)/ahello1.out) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_file_delete,$(DIST_QA_MSXHUB_BIN)/ahello2.out) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_file_delete,$(DIST_QA_MSXHUB_BIN)/utils.out) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_write_default,$(DIST_QA_MSXHUB_BIN)) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_test,$(DIST_QA_MSXHUB_BIN),ahello1 > ahello1.out) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_test,$(DIST_QA_MSXHUB_BIN),ahello2 > ahello2.out) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_test,$(DIST_QA_MSXHUB_BIN),dir utils > utils.out) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_exit,$(DIST_QA_MSXHUB_BIN)) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_openmsx_dosctl,$(DIST_QA_MSXHUB_BIN),$(DIST_QA_MSXHUB_MACHINE)) +_$(MB_ᕽᕽᕽ  )grep -q "M80: Hello world..." $(DIST_QA_MSXHUB_BIN)/ahello1.out +_$(MB_ᕽᕽᕽ  )grep -q "SDCC: Hello world..." $(DIST_QA_MSXHUB_BIN)/ahello2.out +_$(MB_ᕽᕽᕽ  )grep -q "KONPASS COM" $(DIST_QA_MSXHUB_BIN)/utils.out +_$(MB_ᕽᕽᕽ  )grep -q "MAKE COM" $(DIST_QA_MSXHUB_BIN)/utils.out +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_echo_assert,$(MB_I18N_ASSERT_SUCCESS) $(DIST_QA_MSXHUB_BIN)/@assert) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target,$(DIST_QA_MSXHUB_BIN)/@assert,"Asserts that all dist artifacts execute results.") +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_proj_grow_deps_phase,@package-qa,$(DIST_QA_MSXHUB_BIN)/@assert) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_target_phony,$(DIST_QA_MSXHUB_BIN)/@assert) diff --git a/src/dist/0module.mk b/src/dist/0module.mk index 65e749d..120227f 100644 --- a/src/dist/0module.mk +++ b/src/dist/0module.mk @@ -6,24 +6,24 @@ DIST_OUT := $(PATH_BIN)/$(DIST_MOD).tar.gz DIST_DEPS := $(DIST_BIN)/readme.txt $(DIST_BIN)/ahello1.com $(DIST_BIN)/ahello2.com $(DIST_BIN): | @init -_$(_XXX    )$(if $(wildcard $(DIST_BIN)),,$(call mb_make_call,mb_os_dir_create,$(DIST_BIN))) +_$(MB_ᕽᕽᕽ  )$(if $(wildcard $(DIST_BIN)),,$(call mb_make_call,mb_os_dir_create,$(DIST_BIN))) $(DIST_BIN)/readme.txt: $(DIST_SRC)/readme.txt | $(DIST_BIN) -_$(_XXX    )$(call mb_make_call,mb_conv_unix2dos,$<,$@) -$(call mb_make_call,mb_proj_grow_deps_phase_process,$(DIST_BIN)/readme.txt) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_conv_unix2dos,$<,$@) +$(call mb_make_call,mb_proj_grow_deps_phase,@process,$(DIST_BIN)/readme.txt) $(DIST_BIN)/ahello1.com: bin/ahello-m80/ahello.com | $(DIST_BIN) -_$(_XXX    )$(call mb_make_call,mb_os_file_copy,$<,$@) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_file_copy,$<,$@) $(DIST_BIN)/ahello2.com: bin/ahello-sdcc/ahello.com | $(DIST_BIN) -_$(_XXX    )$(call mb_make_call,mb_os_file_copy,$<,$@) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_file_copy,$<,$@) $(DIST_BIN)/@prepare: | $(DIST_DEPS) -$(_XXX    )$(call mb_make_call,mb_doc_target,$(DIST_BIN)/@prepare,"Prepare folder with binaries for distribution.") -$(_XXX    )$(call mb_make_call,mb_make_flag_phony,$(DIST_BIN)/@prepare) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target,$(DIST_BIN)/@prepare,"Prepare folder with binaries for distribution.") +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_target_phony,$(DIST_BIN)/@prepare) $(DIST_OUT): | $(DIST_BIN)/@prepare -_$(_XXX    )$(call mb_make_call,mb_package_create_archive,$(DIST_BIN),$@) -$(_XXX    )$(call mb_make_call,mb_doc_target,$(DIST_OUT),"Build archive distribution artifact.") -$(_XXX    )$(call mb_make_call,mb_proj_grow_deps_phase_package,$(DIST_OUT)) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_package_create_archive,$(DIST_BIN),$@) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target,$(DIST_OUT),"Build archive distribution artifact.") +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_proj_grow_deps_phase,@package,$(DIST_OUT)) diff --git a/src/make-on-msx/0module.mk b/src/make-on-msx/0module.mk index 86305b6..0228dcf 100644 --- a/src/make-on-msx/0module.mk +++ b/src/make-on-msx/0module.mk @@ -4,48 +4,48 @@ MAKE_ON_MSX_BIN := $(call mb_make_call,mb_proj_module_path_bin,$(PATH_SRC),$(P $(MAKE_ON_MSX_BIN): | @init -_$(_XXX    )$(if $(wildcard $(MAKE_ON_MSX_BIN)),,$(call mb_make_call,mb_os_dir_create,$(MAKE_ON_MSX_BIN))) -_$(_XXX    )$(if $(wildcard $(MAKE_ON_MSX_BIN)/utils),,$(call mb_make_call,mb_os_dir_create,$(MAKE_ON_MSX_BIN)/utils)) -_$(_XXX    )$(call mb_make_call,mb_msxhub_get_make,$(MAKE_ON_MSX_BIN)/utils) -_$(_XXX    )$(call mb_make_call,mb_msxhub_get_macro80,$(MAKE_ON_MSX_BIN)/utils) -_$(_XXX    )$(call mb_make_call,mb_msxhub_get_z80asmuk,$(MAKE_ON_MSX_BIN)/utils) -$(_XXX    )$(call mb_make_call,mb_doc_target_deep,$(MAKE_ON_MSX_BIN)) -$(_XXX    )$(call mb_make_call,mb_make_flag_phony,$(MAKE_ON_MSX_BIN)) +_$(MB_ᕽᕽᕽ  )$(if $(wildcard $(MAKE_ON_MSX_BIN)),,$(call mb_make_call,mb_os_dir_create,$(MAKE_ON_MSX_BIN))) +_$(MB_ᕽᕽᕽ  )$(if $(wildcard $(MAKE_ON_MSX_BIN)/utils),,$(call mb_make_call,mb_os_dir_create,$(MAKE_ON_MSX_BIN)/utils)) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_make,$(MAKE_ON_MSX_BIN)/utils) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_macro80,$(MAKE_ON_MSX_BIN)/utils) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_z80asmuk,$(MAKE_ON_MSX_BIN)/utils) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target_deep,$(MAKE_ON_MSX_BIN)) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_target_phony,$(MAKE_ON_MSX_BIN)) $(MAKE_ON_MSX_BIN)/nfbsshot.mac: $(MAKE_ON_MSX_SRC)/nfbsshot.mac | $(MAKE_ON_MSX_BIN) -_$(_XXX    )$(call mb_make_call,mb_conv_unix2dos,$<,$@) -$(_XXX    )$(call mb_make_call,mb_doc_target_deep,$(MAKE_ON_MSX_BIN)/nfbsshot.mac) -$(_XXX    )$(call mb_make_call,mb_proj_grow_deps_phase_process,$(MAKE_ON_MSX_BIN)/nfbsshot.mac) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_conv_unix2dos,$<,$@) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target_deep,$(MAKE_ON_MSX_BIN)/nfbsshot.mac) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_proj_grow_deps_phase,@process,$(MAKE_ON_MSX_BIN)/nfbsshot.mac) $(MAKE_ON_MSX_BIN)/nfbsshot.mf: $(MAKE_ON_MSX_SRC)/nfbsshot.mf $(MAKE_ON_MSX_BIN)/nfbsshot.mac -_$(_XXX    )$(call mb_make_call,mb_conv_unix2dos,$<,$@) -$(_XXX    )$(call mb_make_call,mb_doc_target_deep,$(MAKE_ON_MSX_BIN)/nfbsshot.mf) -$(_XXX    )$(call mb_make_call,mb_proj_grow_deps_phase_process,$(MAKE_ON_MSX_BIN)/nfbsshot.mf) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_conv_unix2dos,$<,$@) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target_deep,$(MAKE_ON_MSX_BIN)/nfbsshot.mf) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_proj_grow_deps_phase,@process,$(MAKE_ON_MSX_BIN)/nfbsshot.mf) $(MAKE_ON_MSX_BIN)/build.bat: $(MAKE_ON_MSX_SRC)/build.bat $(MAKE_ON_MSX_BIN)/nfbsshot.mf -_$(_XXX    )$(call mb_make_call,mb_conv_unix2dos,$<,$@) -$(_XXX    )$(call mb_make_call,mb_doc_target_deep,$(MAKE_ON_MSX_BIN)/build.bat) -$(_XXX    )$(call mb_make_call,mb_proj_grow_deps_phase_process,$(MAKE_ON_MSX_BIN)/build.bat) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_conv_unix2dos,$<,$@) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target_deep,$(MAKE_ON_MSX_BIN)/build.bat) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_proj_grow_deps_phase,@process,$(MAKE_ON_MSX_BIN)/build.bat) $(MAKE_ON_MSX_BIN)/nfbsshot.bin: $(MAKE_ON_MSX_BIN)/build.bat -_$(_XXX    )$(call mb_make_call,mb_msxpipe_safe_cmd,$(MAKE_ON_MSX_BIN),build shutdown) -$(_XXX    )$(call mb_make_call,mb_doc_target_deep,$(MAKE_ON_MSX_BIN)/nfbsshot.bin) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxpipe_safe_cmd,$(MAKE_ON_MSX_BIN),build shutdown) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target_deep,$(MAKE_ON_MSX_BIN)/nfbsshot.bin) $(MAKE_ON_MSX_BIN)/@build: $(MAKE_ON_MSX_BIN)/nfbsshot.bin -$(_XXX    )$(call mb_make_call,mb_doc_target,$(MAKE_ON_MSX_BIN)/@build,"Compiles binary with make on msx.") -$(_XXX    )$(call mb_make_call,mb_proj_grow_deps_phase_build,$(MAKE_ON_MSX_BIN)/@build) -$(_XXX    )$(call mb_make_call,mb_make_flag_phony,$(MAKE_ON_MSX_BIN)/@build) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target,$(MAKE_ON_MSX_BIN)/@build,"Compiles binary with make on msx.") +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_proj_grow_deps_phase,@build,$(MAKE_ON_MSX_BIN)/@build) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_target_phony,$(MAKE_ON_MSX_BIN)/@build) $(MAKE_ON_MSX_BIN)/@run: $(MAKE_ON_MSX_BIN)/@build -_$(_XXX    )$(call mb_make_call,mb_msxpipe_run_gui,$(MAKE_ON_MSX_BIN)) -$(_XXX    )$(call mb_make_call,mb_doc_target_run,$(MAKE_ON_MSX_BIN)/@run,"Run the make build manually.") -$(_XXX    )$(call mb_make_call,mb_make_flag_phony,$(MAKE_ON_MSX_BIN)/@run) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxpipe_run_gui,$(MAKE_ON_MSX_BIN)) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target_run,$(MAKE_ON_MSX_BIN)/@run,"Run the make build manually.") +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_target_phony,$(MAKE_ON_MSX_BIN)/@run) $(call mb_make_call,mb_assert_flow_grep_binary,$(MAKE_ON_MSX_BIN),check-bin,nfbsshot.bin,screenshot) #$(MAKE_ON_MSX_BIN)/@assert-check-bin: $(MAKE_ON_MSX_BIN)/@build diff --git a/src/mbboot80/0module.mk b/src/mbboot80/0module.mk index 7828cfd..74d0fe2 100644 --- a/src/mbboot80/0module.mk +++ b/src/mbboot80/0module.mk @@ -8,7 +8,7 @@ $(call mb_make_call,mb_assert_flow_bdos_grep,$(MBBOOT80_BIN),check-boot80,mbboot $(MBBOOT80_BIN)/@release-local: | $(MBBOOT80_BIN)/@build -_$(_XXX    )$(call mb_make_call,mb_os_file_copy,$(MBBOOT80_BIN)/mbboot80.com,lib/emuctl/mbboot80.com) -$(_XXX    )$(call mb_make_call,mb_doc_target,$(MBBOOT80_BIN)/@release-local,"Updates binary copy in lib/emuctl folder.") -$(_XXX    )$(call mb_make_call,mb_make_flag_phony,$(MBBOOT80_BIN)/@release-local) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_file_copy,$(MBBOOT80_BIN)/mbboot80.com,lib/emuctl/mbboot80.com) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target,$(MBBOOT80_BIN)/@release-local,"Updates binary copy in lib/emuctl folder.") +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_target_phony,$(MBBOOT80_BIN)/@release-local) From 89e94e59f1c400c381ad3ec338162f224a55eec1 Mon Sep 17 00:00:00 2001 From: Willem Date: Fri, 12 Jul 2024 18:30:53 +0200 Subject: [PATCH 184/274] Fixed default goal to new all target. --- .forgejo/workflows/run-test-asserts.yaml | 4 +- Makefile | 9 +- lib/make/msxbuild.mk | 3 +- lib/make/प्रणाली/mb_doc.mk | 149 +---------------------- lib/make/𓃬𓍄𓋹𓀭/mb_flow_help.mk | 132 ++++++++++++++++++++ 5 files changed, 143 insertions(+), 154 deletions(-) create mode 100644 lib/make/𓃬𓍄𓋹𓀭/mb_flow_help.mk diff --git a/.forgejo/workflows/run-test-asserts.yaml b/.forgejo/workflows/run-test-asserts.yaml index 3f3c10a..579ad58 100644 --- a/.forgejo/workflows/run-test-asserts.yaml +++ b/.forgejo/workflows/run-test-asserts.yaml @@ -7,7 +7,7 @@ on: env: VERBOSE: off DEBUG: off - MB_I18N: BASIC + MB_I18N: iu MB_OPENMSX_STDOUT_IGNORE: on MB_OPENMSX_STDERR_IGNORE: on MB_AUTOEXEC_EMBED_USER: GITHUB_ACTOR @@ -19,4 +19,4 @@ jobs: - name: Check out uses: actions/checkout@v3 - name: Run asserts - run: make -j1 @package-qa + run: make diff --git a/Makefile b/Makefile index 14de65b..aacce19 100644 --- a/Makefile +++ b/Makefile @@ -8,9 +8,10 @@ MB_PROJ_META_GROUP_ID ?= love.distributedrebirth.msx4.firemake.make4.msxbuild MB_PROJ_META_ARTIFACT_ID ?= msxbuild MB_PROJ_META_VERSION ?= 1.0.0 MB_PROJ_META_NAME ?= MSXBUILD -MB_PROJ_META_DESCRIPTION ?= Utils for openMSX in build pipeline. +MB_PROJ_META_DESCRIPTION ?= Library to use openMSX in build pipeline. MB_PROJ_META_WEBSITE ?= https://code.distributedrebirth.love/arch-msx/msxbuild .RECIPEPREFIX := _ +.DEFAULT_GOAL := @all -include Makelocal.mk include $(PATH_MSXBUILD)/lib/make/msxbuild-prolog.mk include $(PATH_MSXBUILD)/lib/make/msxbuild.mk @@ -21,8 +22,8 @@ $(call mb_make_call,mb_setup_default,$(PATH_BIN),$(PATH_SRC)) .PHONY: Makelocal.mk .PHONY: $(PATH_MSXBUILD)/lib/make/msxbuild-prolog.mk .PHONY: $(PATH_MSXBUILD)/lib/make/msxbuild.mk -Makefile: Makelocal.mk -Makefile: $(PATH_MSXBUILD)/lib/make/msxbuild-prolog.mk -Makefile: $(PATH_MSXBUILD)/lib/make/msxbuild.mk +Makefile:: Makelocal.mk +Makefile:: $(PATH_MSXBUILD)/lib/make/msxbuild-prolog.mk +Makefile:: $(PATH_MSXBUILD)/lib/make/msxbuild.mk @@include-root:: Makefile diff --git a/lib/make/msxbuild.mk b/lib/make/msxbuild.mk index eff5e07..8a0c35c 100644 --- a/lib/make/msxbuild.mk +++ b/lib/make/msxbuild.mk @@ -39,6 +39,7 @@ $(eval $(call __mb_include,lib/make/麥加系統擴大/mb_autoexec.mk)) @@include-libs:: $(MB_@INCLUDE_CHAIN) MB_@INCLUDE_CHAIN := @@include-parent $(eval $(call __mb_include,lib/make/𓃬𓍄𓋹𓀭/mb_flow_0module.mk)) +$(eval $(call __mb_include,lib/make/𓃬𓍄𓋹𓀭/mb_flow_help.mk)) $(eval $(call __mb_include,lib/make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk)) $(eval $(call __mb_include,lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk)) $(eval $(call __mb_include,lib/make/𓃬𓍄𓋹𓀭/mb_flow_m80.mk)) @@ -69,7 +70,7 @@ $(call mb_make_call,mb_doc_variable_rock,MB_@BASEPATH_REAL,"Resolved real path o # Provider+doc easy single function start point for user define mb_setup_default $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg2,$(0),$(1),$(2)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_flow_help) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_flow_doc_help) $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_flow_0module_setup,$(2)) $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_flow_proj_setup,$(1)) $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_flight_proj_flow_video,$(1)) diff --git a/lib/make/प्रणाली/mb_doc.mk b/lib/make/प्रणाली/mb_doc.mk index 162a812..8242f8c 100644 --- a/lib/make/प्रणाली/mb_doc.mk +++ b/lib/make/प्रणाली/mb_doc.mk @@ -53,8 +53,9 @@ MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,_mb_doc_variable4u_rock,MB_DOC_ MB_DOC_XML_TAG_VALUE := value MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,_mb_doc_variable4u_rock,MB_DOC_XML_TAG_VALUE,"XML value tag for firemake output.") +# rename now internal: _MB_DOC_FORMAT MB_DOC_FORMAT ?= txt -MB_DOC_FIRE_VARIABLE_DEEP += $(call mb_make_call,_mb_doc_variable4u_deep,MB_DOC_FORMAT,"Output format of documention$(MB_MAKE_COMMA) only 'txt' or 'xml' is supported.") +#MB_DOC_FIRE_VARIABLE_DEEP += $(call mb_make_call,_mb_doc_variable4u_deep,MB_DOC_FORMAT,"Output format of documention$(MB_MAKE_COMMA) only 'txt' or 'xml' is supported.") define _escape @@ -149,149 +150,3 @@ $(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_TARGET_RUN += $$(call mb_make_call,_m endef MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_target_run,"Saves formatted documention of an run target."," [desc]") - -define __mb_doc_flow_help -.RECIPEPREFIX := $(MB_@RECIPE) - -@help: -$(MB_@RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),@echo -e "Welcome to the $$(if $(1),$(1),MSXBUILD) help system.\\n\\nFor detailed fire help use one of the following targets;") -$(MB_@RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo -e $$(call mb_make_xml_open,$$(MB_DOC_XML_ROOT))) -$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_HELP) -$(MB_@RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo -e $$(call mb_make_xml_close,$$(MB_DOC_XML_ROOT))) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@help) - -@help-variable: -$(MB_@RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),@echo "Documention of the make fire variables;") -$(MB_@RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) -$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE) -$(MB_@RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@help-variable,"Lists build variables.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@help-variable) - -@help-variable-deep: -$(MB_@RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),@echo "Documention of the deep make variables;") -$(MB_@RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) -$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE_DEEP) -$(MB_@RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@help-variable-deep,"Lists deep variables.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@help-variable-deep) - -@help-variable-rock: -$(MB_@RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),@echo "Documention of the rock make variables;") -$(MB_@RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) -$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE_ROCK) -$(MB_@RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@help-variable-rock,"Lists rock variables.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@help-variable-rock) - -@help-variable-flow: -$(MB_@RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),@echo "Documention of the flow make variables;") -$(MB_@RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) -$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE_FLOW) -$(MB_@RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@help-variable-flow,"Lists flow variables.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@help-variable-flow) - -@help-variable-i18n: -$(MB_@RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),@echo "Documention of the i18n make variables;") -$(MB_@RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) -$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE_I18N) -$(MB_@RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@help-variable-i18n,"Lists i18n variables.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@help-variable-i18n) - -@help-function: -$(MB_@RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),@echo "Documention of the make fire functions;") -$(MB_@RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) -$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_FUNCTION) -$(MB_@RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@help-function,"Lists build functions.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@help-function) - -@help-function-deep: -$(MB_@RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),@echo "Documention of the deep make functions;") -$(MB_@RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) -$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_FUNCTION_DEEP) -$(MB_@RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@help-function-deep,"Lists deep functions.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@help-function-deep) - - -@help-function-flow: -$(MB_@RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),@echo "Documention of the flow make functions;") -$(MB_@RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) -$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_FUNCTION_FLOW) -$(MB_@RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@help-function-flow,"Lists flow functions.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@help-function-flow) - -@help-target: -$(MB_@RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),@echo "Build one of the following make fire targets;") -$(MB_@RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) -$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET) -$(MB_@RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@help-target,"Lists build targets.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@help-target) - -@help-target-deep: -$(MB_@RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),@echo "Build one of the following deep make targets;") -$(MB_@RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) -$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_DEEP) -$(MB_@RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@help-target-deep,"Lists deep targets.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@help-target-deep) - -@help-target-run: -$(MB_@RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),@echo "Build one of the following run make targets;") -$(MB_@RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) -$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_RUN) -$(MB_@RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@help-target-run,"Lists runnable targets.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@help-target-run) - -@help-all: -$(MB_@RECIPE)$$(eval MB_DOC_FORMAT := xml) -$(MB_@RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),@echo -e "Documention of all fire functions/variables/targets;\\n") -$(MB_@RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo -e $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) -$(MB_@RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_os_echo_good,"Variables")) -$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE) -$(MB_@RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_os_echo_good,"Variables in the deep")) -$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE_DEEP) -$(MB_@RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_os_echo_good,"Variables hard as rock")) -$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE_ROCK) -$(MB_@RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_os_echo_good,"Variables defining flow")) -$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE_FLOW) -$(MB_@RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_os_echo_good,"Variables for i18n letters")) -$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE_I18N) -$(MB_@RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_os_echo_good,"Functions")) -$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_FUNCTION) -$(MB_@RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_os_echo_good,"Functions in the deep")) -$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_FUNCTION_DEEP) -$(MB_@RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_os_echo_good,"Functions building flow")) -$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_FUNCTION_FLOW) -$(MB_@RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_os_echo_good,"Targets")) -$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET) -$(MB_@RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_os_echo_good,"Targets in the deep")) -$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_DEEP) -$(MB_@RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_os_echo_good,"Targets that run away")) -$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_RUN) -$(MB_@RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_os_echo_good,"Targets main project help")) -$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_HELP) -$(MB_@RECIPE)$$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo -e $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) -$(MB_@RECIPE)$$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_os_echo_good,OK)) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@help-all,"Lists all documented information.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@help-all) - -@help-firemake: -$(MB_@RECIPE)MB_DOC_FORMAT$(MB_MAKE_EQUALS)xml $$(MAKE) -s @help-all -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@help-firemake,"Output all documention in XML for IDE integration.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@help-firemake) - -.RECIPEPREFIX := $(MB_@RECIPEPREFIX) -endef -define mb_doc_flow_help -$(MB_ᕽᕽᕽ   )$(eval $(call mb_make_call,__$(0),$(1))) -$(MB_ᕽᕽᕽ   )$(if $(.DEFAULT_GOAL),,$(eval .DEFAULT_GOAL :$(MB_MAKE_EQUALS) @help)) -endef -MB_DOC_FIRE_FUNCTION_FLOW += $(call mb_make_call,_mb_doc_function4u_flow,mb_doc_flow_help,"Prints flow of fire help target.") - diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_help.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_help.mk new file mode 100644 index 0000000..f994c73 --- /dev/null +++ b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_help.mk @@ -0,0 +1,132 @@ + +define __mb_flow_doc_help +.RECIPEPREFIX := $(MB_@RECIPE) + +@help: +$(MB_@RECIPE)@echo -e "Welcome to the $$(if $$(MB_PROJ_META_NAME),$$(MB_PROJ_META_NAME),MSXBUILD) help system.\\n\\nFor detailed fire help use one of the following targets;" +$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_HELP) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@help) + +@help-variable: +$(MB_@RECIPE)@echo -e "Documention of the make fire variables;" +$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@help-variable,"Lists build variables.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@help-variable) + +@help-variable-deep: +$(MB_@RECIPE)@echo "Documention of the deep make variables;" +$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE_DEEP) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@help-variable-deep,"Lists deep variables.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@help-variable-deep) + +@help-variable-rock: +$(MB_@RECIPE)@echo -e "Documention of the rock make variables;" +$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE_ROCK) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@help-variable-rock,"Lists rock variables.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@help-variable-rock) + +@help-variable-flow: +$(MB_@RECIPE)@echo -e "Documention of the flow make variables;" +$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE_FLOW) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@help-variable-flow,"Lists flow variables.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@help-variable-flow) + +@help-variable-i18n: +$(MB_@RECIPE)@echo -e "Documention of the i18n make variables;" +$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE_I18N) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@help-variable-i18n,"Lists i18n variables.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@help-variable-i18n) + +@help-function: +$(MB_@RECIPE)@echo -e "Documention of the make fire functions;" +$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_FUNCTION) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@help-function,"Lists build functions.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@help-function) + +@help-function-deep: +$(MB_@RECIPE)@echo -e "Documention of the deep make functions;" +$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_FUNCTION_DEEP) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@help-function-deep,"Lists deep functions.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@help-function-deep) + + +@help-function-flow: +$(MB_@RECIPE)@echo -e "Documention of the flow make functions;" +$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_FUNCTION_FLOW) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@help-function-flow,"Lists flow functions.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@help-function-flow) + +@help-target: +$(MB_@RECIPE)@echo -e "Build one of the following make fire targets;" +$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@help-target,"Lists build targets.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@help-target) + +@help-target-deep: +$(MB_@RECIPE)@echo -e "Build one of the following deep make targets;" +$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_DEEP) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@help-target-deep,"Lists deep targets.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@help-target-deep) + +@help-target-run: +$(MB_@RECIPE)@echo -e "Build one of the following run make targets;" +$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_RUN) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@help-target-run,"Lists runnable targets.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@help-target-run) + +@help-all: +$(MB_@RECIPE)@echo -e "Documention of all fire functions/variables/targets;\\n" +$(MB_@RECIPE)$$(call mb_make_call,mb_os_echo_good,"Variables") +$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE) +$(MB_@RECIPE)$$(call mb_make_call,mb_os_echo_good,"Variables in the deep") +$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE_DEEP) +$(MB_@RECIPE)$$(call mb_make_call,mb_os_echo_good,"Variables hard as rock") +$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE_ROCK) +$(MB_@RECIPE)$$(call mb_make_call,mb_os_echo_good,"Variables defining flow") +$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE_FLOW) +$(MB_@RECIPE)$$(call mb_make_call,mb_os_echo_good,"Variables for i18n letters") +$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE_I18N) +$(MB_@RECIPE)$$(call mb_make_call,mb_os_echo_good,"Functions") +$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_FUNCTION) +$(MB_@RECIPE)$$(call mb_make_call,mb_os_echo_good,"Functions in the deep") +$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_FUNCTION_DEEP) +$(MB_@RECIPE)$$(call mb_make_call,mb_os_echo_good,"Functions building flow") +$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_FUNCTION_FLOW) +$(MB_@RECIPE)$$(call mb_make_call,mb_os_echo_good,"Targets") +$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET) +$(MB_@RECIPE)$$(call mb_make_call,mb_os_echo_good,"Targets in the deep") +$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_DEEP) +$(MB_@RECIPE)$$(call mb_make_call,mb_os_echo_good,"Targets that run away") +$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_RUN) +$(MB_@RECIPE)$$(call mb_make_call,mb_os_echo_good,"Targets main project help") +$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_HELP) +$(MB_@RECIPE)$$(call mb_make_call,mb_os_echo_good,OK) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@help-all,"Lists all documented information.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@help-all) + +@help-firemake: +$(MB_@RECIPE)$$(eval MB_DOC_FORMAT :$(MB_MAKE_EQUALS) xml) +$(MB_@RECIPE)@echo -e $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT)) +$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE) +$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE_DEEP) +$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE_ROCK) +$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE_FLOW) +$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE_I18N) +$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_FUNCTION) +$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_FUNCTION_DEEP) +$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_FUNCTION_FLOW) +$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET) +$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_DEEP) +$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_RUN) +$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_HELP) +$(MB_@RECIPE)@echo -e $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT)) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@help-firemake,"Output all documention in XML for IDE integration.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@help-firemake) + +.RECIPEPREFIX := $(MB_@RECIPEPREFIX) +endef +define mb_flow_doc_help +$(MB_ᕽᕽᕽ   )$(eval $(call mb_make_call,__$(0),$(1))) +endef +$(call mb_make_call,mb_doc_function_flow,mb_flow_doc_help,"Prints flow of fire help target.") + From 2e1379ab6b721ccb8e7bcbe309aaaea8c55dd302 Mon Sep 17 00:00:00 2001 From: Willem Date: Fri, 12 Jul 2024 18:34:10 +0200 Subject: [PATCH 185/274] Use native target for eskimos. --- .forgejo/workflows/run-test-asserts.yaml | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/.forgejo/workflows/run-test-asserts.yaml b/.forgejo/workflows/run-test-asserts.yaml index 579ad58..fd96de7 100644 --- a/.forgejo/workflows/run-test-asserts.yaml +++ b/.forgejo/workflows/run-test-asserts.yaml @@ -19,4 +19,4 @@ jobs: - name: Check out uses: actions/checkout@v3 - name: Run asserts - run: make + run: make @ᑕᒪᕐᒥᒃ From 689db1e0266504899264b628e6f05cd22fcf1be3 Mon Sep 17 00:00:00 2001 From: Willem Date: Fri, 12 Jul 2024 18:37:44 +0200 Subject: [PATCH 186/274] Fixed native @all command. --- lib/make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk index e93a755..94e7296 100644 --- a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk +++ b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk @@ -263,7 +263,7 @@ $(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@$$(MB_I18N_PROJ_PHASEID_PACKAGE_DEPLOY),$$(MB_I18N_PROJ_PHASEDOC_PACKAGE_DEPLOY)) $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@$$(MB_I18N_PROJ_PHASEID_PACKAGE_DEPLOY)) -@$$(MB_I18N_PROJ_PHASEID_ALL): @$$(MB_I18N_PROJ_PHASEID_ALL) @all +@$$(MB_I18N_PROJ_PHASEID_ALL): @$$(MB_I18N_PROJ_PHASEID_PACKAGE_QA) @all $(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@$$(MB_I18N_PROJ_PHASEID_ALL),$$(MB_I18N_PROJ_PHASEDOC_ALL)) $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@$$(MB_I18N_PROJ_PHASEID_ALL)) From ea1b6011daf36c8029558705054f9cc3e731b3fa Mon Sep 17 00:00:00 2001 From: Willem Date: Fri, 12 Jul 2024 19:03:09 +0200 Subject: [PATCH 187/274] Updated old doc text. --- lib/make/𓃬𓍄𓋹𓀭/mb_flow_0module.mk | 2 +- lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk | 4 ++-- lib/make/𓃬𓍄𓋹𓀭/mb_flow_clone.mk | 2 +- lib/make/𓃬𓍄𓋹𓀭/mb_flow_help.mk | 2 +- lib/make/𓃬𓍄𓋹𓀭/mb_flow_m80.mk | 2 +- lib/make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk | 4 ++-- lib/make/𓃬𓍄𓋹𓀭/mb_flow_sdcc.mk | 2 +- 7 files changed, 9 insertions(+), 9 deletions(-) diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_0module.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_0module.mk index 4c82c3e..6a49c0b 100644 --- a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_0module.mk +++ b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_0module.mk @@ -9,5 +9,5 @@ define mb_flow_0module_setup $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg1,$(0),$(1)) $(MB_ᕽᕽᕽ   )$(foreach _mod,$(call mb_make_call,mb_make_wildcard_treewalker,$(1),*/0module.mk),$(eval $(call mb_make_call,__$(0),$(_mod)))) endef -$(call mb_make_call,mb_doc_function_flow,mb_flow_0module_setup,"Prints flow of recursive 0module.mk include.","") +$(call mb_make_call,mb_doc_function_flow,mb_flow_0module_setup,"Inject flow of recursive 0module.mk include.","") diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk index 607ec0e..de3b519 100644 --- a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk +++ b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk @@ -14,7 +14,7 @@ define mb_assert_flow_grep_binary $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg4,mb_assert_flow_grep_binary,$(1),$(2),$(3),$(4)) $(MB_ᕽᕽᕽ   )$(eval $(call mb_make_call,__mb_assert_flow_grep_binary,$(1),$(2),$(3),$(4))) endef -$(call mb_make_call,mb_doc_function_flow,mb_assert_flow_grep_binary,"Prints flow of single binary grep assert."," ") +$(call mb_make_call,mb_doc_function_flow,mb_assert_flow_grep_binary,"Inject flow of single binary grep assert."," ") #$$(call mb_delete,$(1)/$(3).out) define __mb_assert_flow_bdos_grep @@ -36,6 +36,6 @@ define mb_assert_flow_bdos_grep $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg4,mb_assert_flow_bdos_grep,$(1),$(2),$(3),$(4)) $(MB_ᕽᕽᕽ   )$(eval $(call mb_make_call,__mb_assert_flow_bdos_grep,$(1),$(2),$(3),$(4),$(5))) endef -$(call mb_make_call,mb_doc_function_flow,mb_assert_flow_bdos_grep,"Prints flow of single grep assert."," [machine]") +$(call mb_make_call,mb_doc_function_flow,mb_assert_flow_bdos_grep,"Inject flow of single grep assert."," [machine]") diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_clone.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_clone.mk index 49ff830..241b0da 100644 --- a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_clone.mk +++ b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_clone.mk @@ -37,5 +37,5 @@ define mb_tool_clone_flow_deps $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg3,mb_tool_clone_flow_deps,$(1),$(2),$(3)) $(MB_ᕽᕽᕽ   )$(eval $(call mb_make_call,__mb_tool_clone_flow_deps,$(1),$(2),$(3),$(call mb_make_call,mb_proj_module_local_deps,$(1),$(3)),$(4),$(5))) endef -$(call mb_make_call,mb_doc_function_flow,mb_tool_clone_flow_deps,"Prints flow of file copy toolchain module."," [machine] [os-version]") +$(call mb_make_call,mb_doc_function_flow,mb_tool_clone_flow_deps,"Inject flow of file copy toolchain module."," [machine] [os-version]") diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_help.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_help.mk index f994c73..d01133c 100644 --- a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_help.mk +++ b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_help.mk @@ -128,5 +128,5 @@ endef define mb_flow_doc_help $(MB_ᕽᕽᕽ   )$(eval $(call mb_make_call,__$(0),$(1))) endef -$(call mb_make_call,mb_doc_function_flow,mb_flow_doc_help,"Prints flow of fire help target.") +$(call mb_make_call,mb_doc_function_flow,mb_flow_doc_help,"Inject flow of fire help system.") diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_m80.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_m80.mk index ae24a86..40453d4 100644 --- a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_m80.mk +++ b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_m80.mk @@ -45,5 +45,5 @@ define mb_tool_m80_flow_bdos_mono $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg3,$(0),$(1),$(2),$(3)) $(MB_ᕽᕽᕽ   )$(eval $(call mb_make_call,__$(0),$(1),$(2),$(3))) endef -$(call mb_make_call,mb_doc_function_flow,mb_tool_m80_flow_bdos_mono,"Prints flow of m80 toolchain bdos mono file module."," ") +$(call mb_make_call,mb_doc_function_flow,mb_tool_m80_flow_bdos_mono,"Inject flow of m80 toolchain bdos mono file module."," ") diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk index 94e7296..2d2f710 100644 --- a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk +++ b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk @@ -17,7 +17,7 @@ $(call mb_make_call,mb_doc_variable,MB_PROJ_META_DESCRIPTION,"The project descri MB_PROJ_META_WEBSITE ?= $(call mb_make_call,mb_doc_variable,MB_PROJ_META_WEBSITE,"The project website location.") -MB_PROJ_PHASE_ALL = @clean @init @process @compile @link @build @test @package @package-qa @package-deploy +MB_PROJ_PHASE_ALL = @clean @init @prepare @process @compile @link @build @test @package @package-qa @package-deploy @all $(call mb_make_call,mb_doc_variable_rock,MB_PROJ_PHASE_ALL,"All the phases of an project with flow steps.") @@ -202,7 +202,7 @@ $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_variable,MB_PROJ_META_ $(MB_ᕽᕽᕽ   )$(eval $(call mb_make_call,__$(0),$(1))) $(MB_ᕽᕽᕽ   )$(if $(MB_I18N),$(if $(filter off,$(MB_I18N)),,$(call mb_make_call,_$(0)_i18n))) endef -$(call mb_make_call,mb_doc_function_flow,mb_flow_proj_setup,"Prints flow of abstract project build cycle.","") +$(call mb_make_call,mb_doc_function_flow,mb_flow_proj_setup,"Inject flow of abstract project build cycle.","") define __mb_flow_proj_setup_i18n diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_sdcc.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_sdcc.mk index 4e4d339..af2d7ad 100644 --- a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_sdcc.mk +++ b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_sdcc.mk @@ -37,5 +37,5 @@ define mb_tool_sdcc_flow_bdos_mono $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg3,$(0),$(1),$(2),$(3)) $(MB_ᕽᕽᕽ   )$(eval $(call mb_make_call,__$(0),$(1),$(2),$(3))) endef -$(call mb_make_call,mb_doc_function_flow,mb_tool_sdcc_flow_bdos_mono,"Prints flow of sdcc toolchain bdos mono file module."," ") +$(call mb_make_call,mb_doc_function_flow,mb_tool_sdcc_flow_bdos_mono,"Inject flow of sdcc toolchain bdos mono file module."," ") From 920de8a8b173d1d73ddbda894a6b829dd4daccb8 Mon Sep 17 00:00:00 2001 From: Willem Date: Fri, 12 Jul 2024 19:11:32 +0200 Subject: [PATCH 188/274] Fixed native prepare flow. --- lib/make/msxbuild.mk | 2 +- lib/make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk | 4 ++-- 2 files changed, 3 insertions(+), 3 deletions(-) diff --git a/lib/make/msxbuild.mk b/lib/make/msxbuild.mk index 8a0c35c..d6ac16b 100644 --- a/lib/make/msxbuild.mk +++ b/lib/make/msxbuild.mk @@ -75,5 +75,5 @@ $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_flow_0module_setup,$(2)) $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_flow_proj_setup,$(1)) $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_flight_proj_flow_video,$(1)) endef -$(call mb_make_call,mb_doc_function,mb_setup_default,"Installs default extenstion to configure dynamic project flow."," ") +$(call mb_make_call,mb_doc_function,mb_setup_default,"Setup default extenstions to configure dynamic project flow."," ") diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk index 2d2f710..492b512 100644 --- a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk +++ b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk @@ -218,12 +218,12 @@ $(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@$$(MB_I18N_PROJ_PHASEID_INIT),$$(MB_I18N_PROJ_PHASEDOC_INIT)) $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@$$(MB_I18N_PROJ_PHASEID_INIT)) -@$$(MB_I18N_PROJ_PHASEID_PREPARE): @$$(MB_I18N_PROJ_PHASEID_PREPARE) @prepare +@$$(MB_I18N_PROJ_PHASEID_PREPARE): @$$(MB_I18N_PROJ_PHASEID_INIT) @prepare $(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@$$(MB_I18N_PROJ_PHASEID_PREPARE),$$(MB_I18N_PROJ_PHASEDOC_PREPARE)) $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@$$(MB_I18N_PROJ_PHASEID_PREPARE)) -@$$(MB_I18N_PROJ_PHASEID_PROCESS): @$$(MB_I18N_PROJ_PHASEID_INIT) @process +@$$(MB_I18N_PROJ_PHASEID_PROCESS): @$$(MB_I18N_PROJ_PHASEID_PREPARE) @process $(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@$$(MB_I18N_PROJ_PHASEID_PROCESS),$$(MB_I18N_PROJ_PHASEDOC_PROCESS)) $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@$$(MB_I18N_PROJ_PHASEID_PROCESS)) From 7c8f4207c630c3fcc074146a3ccdc8c38db58508 Mon Sep 17 00:00:00 2001 From: Willem Date: Fri, 12 Jul 2024 19:30:19 +0200 Subject: [PATCH 189/274] WIP more flow rename. --- lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk | 28 ++++++++++++++++------------ lib/make/𓃬𓍄𓋹𓀭/mb_flow_clone.mk | 10 +++++----- lib/make/𓃬𓍄𓋹𓀭/mb_flow_m80.mk | 6 +++--- lib/make/𓃬𓍄𓋹𓀭/mb_flow_sdcc.mk | 6 +++--- src/ahello-m80/0module.mk | 2 +- src/ahello-sdcc/0module.mk | 2 +- src/dist-qa-dos1/0module.mk | 2 +- src/dist-qa-dos2/0module.mk | 2 +- src/dist-qa-msx1/0module.mk | 2 +- src/make-on-msx/0module.mk | 7 +------ src/mbboot80/0module.mk | 2 +- 11 files changed, 34 insertions(+), 35 deletions(-) diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk index de3b519..7018c8a 100644 --- a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk +++ b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk @@ -1,25 +1,28 @@ -define __mb_assert_flow_grep_binary +define __mb_flow_assert_grep_binary_x .RECIPEPREFIX := $(MB_@RECIPE) -$(1)/@assert-$(2): $(1)/@build +$(1)/$(5)-$(2): $(1)/@build $(MB_@RECIPE)grep -q -U $(4) $(1)/$(3) -$(MB_@RECIPE)$$(call mb_make_call,mb_os_echo_assert,$$(MB_I18N_ASSERT_SUCCESS) @assert-$(2)) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target,$(1)/@assert-$(2),"Asserts that $(3) output matches.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(1)/@assert-$(2)) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_proj_grow_deps_phase,@test,$(1)/@assert-$(2)) +$(MB_@RECIPE)$$(call mb_make_call,mb_os_echo_assert,$$(MB_I18N_ASSERT_SUCCESS) v-$(2)) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target,$(1)/$(5)-$(2),"Asserts that $(3) output matches.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(1)/$(5)-$(2)) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_proj_grow_deps_phase,@test,$(1)/$(5)-$(2)) .RECIPEPREFIX := $(MB_@RECIPEPREFIX) endef - -define mb_assert_flow_grep_binary -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg4,mb_assert_flow_grep_binary,$(1),$(2),$(3),$(4)) -$(MB_ᕽᕽᕽ   )$(eval $(call mb_make_call,__mb_assert_flow_grep_binary,$(1),$(2),$(3),$(4))) +define mb_flow_assert_grep_binary_test +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg4,$(0),$(1),$(2),$(3),$(4)) +$(MB_ᕽᕽᕽ   )$(eval $(call mb_make_call,__mb_flow_assert_grep_binary_x,$(1),$(2),$(3),$(4),@test)) endef -$(call mb_make_call,mb_doc_function_flow,mb_assert_flow_grep_binary,"Inject flow of single binary grep assert."," ") +$(call mb_make_call,mb_doc_function_flow,mb_flow_assert_grep_binary_test,"Inject flow of single binary grep assert."," ") +define mb_flow_assert_grep_binary_package_qa +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg4,$(0),$(1),$(2),$(3),$(4)) +$(MB_ᕽᕽᕽ   )$(eval $(call mb_make_call,__mb_flow_assert_grep_binary_x,$(1),$(2),$(3),$(4),@package-qa)) +endef +$(call mb_make_call,mb_doc_function_flow,mb_flow_assert_grep_binary_package_qa,"Inject flow of single binary grep assert."," ") #$$(call mb_delete,$(1)/$(3).out) define __mb_assert_flow_bdos_grep .RECIPEPREFIX := $(MB_@RECIPE) -MB__TARGET := $(1)-test-$(2)/@assert $(1)-test-$(2)/@assert: $(1)/@build $(MB_@RECIPE)$$(call mb_make_call,mb_os_dir_create,$(1)-test-$(2)) $(MB_@RECIPE)$$(call mb_make_call,mb_os_file_copy,$(1)/$(3).com,$(1)-test-$(2)) @@ -32,6 +35,7 @@ $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_proj_grow_deps_phase,@test,$(1)- .RECIPEPREFIX := $(MB_@RECIPEPREFIX) endef +#$(call mb_make_call,mb_flow_clone_deps,$(DIST_QA_MSX1_BIN),$(DIST_BIN),$(DIST_DEPS),$(DIST_QA_MSX1_MACHINE)) define mb_assert_flow_bdos_grep $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg4,mb_assert_flow_bdos_grep,$(1),$(2),$(3),$(4)) $(MB_ᕽᕽᕽ   )$(eval $(call mb_make_call,__mb_assert_flow_bdos_grep,$(1),$(2),$(3),$(4),$(5))) diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_clone.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_clone.mk index 241b0da..aa70968 100644 --- a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_clone.mk +++ b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_clone.mk @@ -1,5 +1,5 @@ -define __mb_tool_clone_flow_deps +define __mb_flow_clone_deps .RECIPEPREFIX := $(MB_@RECIPE) $(1)/@clean: @@clean @@ -33,9 +33,9 @@ $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(1)/@run) .RECIPEPREFIX := $(MB_@RECIPEPREFIX) endef -define mb_tool_clone_flow_deps -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg3,mb_tool_clone_flow_deps,$(1),$(2),$(3)) -$(MB_ᕽᕽᕽ   )$(eval $(call mb_make_call,__mb_tool_clone_flow_deps,$(1),$(2),$(3),$(call mb_make_call,mb_proj_module_local_deps,$(1),$(3)),$(4),$(5))) +define mb_flow_clone_deps +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg3,$(0),$(1),$(2),$(3)) +$(MB_ᕽᕽᕽ   )$(eval $(call mb_make_call,__$(0),$(1),$(2),$(3),$(call mb_make_call,mb_proj_module_local_deps,$(1),$(3)),$(4),$(5))) endef -$(call mb_make_call,mb_doc_function_flow,mb_tool_clone_flow_deps,"Inject flow of file copy toolchain module."," [machine] [os-version]") +$(call mb_make_call,mb_doc_function_flow,mb_flow_clone_deps,"Inject flow of file copy toolchain module."," [machine] [os-version]") diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_m80.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_m80.mk index 40453d4..0392916 100644 --- a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_m80.mk +++ b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_m80.mk @@ -1,5 +1,5 @@ -define __mb_tool_m80_flow_bdos_mono +define __mb_flow_m80_bdos_mono .RECIPEPREFIX := $(MB_@RECIPE) $(1): | @init @@ -41,9 +41,9 @@ $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(1)/@run) .RECIPEPREFIX := $(MB_@RECIPEPREFIX) endef -define mb_tool_m80_flow_bdos_mono +define mb_flow_m80_bdos_mono $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg3,$(0),$(1),$(2),$(3)) $(MB_ᕽᕽᕽ   )$(eval $(call mb_make_call,__$(0),$(1),$(2),$(3))) endef -$(call mb_make_call,mb_doc_function_flow,mb_tool_m80_flow_bdos_mono,"Inject flow of m80 toolchain bdos mono file module."," ") +$(call mb_make_call,mb_doc_function_flow,mb_flow_m80_bdos_mono,"Inject flow of m80 toolchain bdos mono file module."," ") diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_sdcc.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_sdcc.mk index af2d7ad..b0ffa3c 100644 --- a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_sdcc.mk +++ b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_sdcc.mk @@ -1,5 +1,5 @@ -define __mb_tool_sdcc_flow_bdos_mono +define __mb_flow_sdcc_bdos_mono .RECIPEPREFIX := $(MB_@RECIPE) $(1): | @init @@ -33,9 +33,9 @@ $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(1)/@run) .RECIPEPREFIX := $(MB_@RECIPEPREFIX) endef -define mb_tool_sdcc_flow_bdos_mono +define mb_flow_sdcc_bdos_mono $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg3,$(0),$(1),$(2),$(3)) $(MB_ᕽᕽᕽ   )$(eval $(call mb_make_call,__$(0),$(1),$(2),$(3))) endef -$(call mb_make_call,mb_doc_function_flow,mb_tool_sdcc_flow_bdos_mono,"Inject flow of sdcc toolchain bdos mono file module."," ") +$(call mb_make_call,mb_doc_function_flow,mb_flow_sdcc_bdos_mono,"Inject flow of sdcc toolchain bdos mono file module."," ") diff --git a/src/ahello-m80/0module.mk b/src/ahello-m80/0module.mk index b1fd34b..ab582b7 100644 --- a/src/ahello-m80/0module.mk +++ b/src/ahello-m80/0module.mk @@ -3,7 +3,7 @@ AHELLO_M80_SRC := $(call mb_make_call,mb_proj_module_path_src,$(PATH_SRC)) AHELLO_M80_BIN := $(call mb_make_call,mb_proj_module_path_bin,$(PATH_SRC),$(PATH_BIN)) -$(call mb_make_call,mb_tool_m80_flow_bdos_mono,$(AHELLO_M80_BIN),$(AHELLO_M80_SRC),ahello) +$(call mb_make_call,mb_flow_m80_bdos_mono,$(AHELLO_M80_BIN),$(AHELLO_M80_SRC),ahello) $(call mb_make_call,mb_assert_flow_bdos_grep,$(AHELLO_M80_BIN),check-m80,ahello,M80) $(call mb_make_call,mb_assert_flow_bdos_grep,$(AHELLO_M80_BIN),check-txt,ahello,"o world...") diff --git a/src/ahello-sdcc/0module.mk b/src/ahello-sdcc/0module.mk index c8c5d83..5fa8ed5 100644 --- a/src/ahello-sdcc/0module.mk +++ b/src/ahello-sdcc/0module.mk @@ -3,7 +3,7 @@ AHELLO_SDCC_SRC := $(call mb_make_call,mb_proj_module_path_src,$(PATH_SRC)) AHELLO_SDCC_BIN := $(call mb_make_call,mb_proj_module_path_bin,$(PATH_SRC),$(PATH_BIN)) -$(call mb_make_call,mb_tool_sdcc_flow_bdos_mono,$(AHELLO_SDCC_BIN),$(AHELLO_SDCC_SRC),ahello) +$(call mb_make_call,mb_flow_sdcc_bdos_mono,$(AHELLO_SDCC_BIN),$(AHELLO_SDCC_SRC),ahello) $(call mb_make_call,mb_assert_flow_bdos_grep,$(AHELLO_SDCC_BIN),check-sdcc,ahello,SDCC) $(call mb_make_call,mb_assert_flow_bdos_grep,$(AHELLO_SDCC_BIN),check-hell,ahello,Hell) diff --git a/src/dist-qa-dos1/0module.mk b/src/dist-qa-dos1/0module.mk index 880d553..83486d4 100644 --- a/src/dist-qa-dos1/0module.mk +++ b/src/dist-qa-dos1/0module.mk @@ -3,7 +3,7 @@ DIST_QA_DOS1_SRC := $(call mb_make_call,mb_proj_module_path_src,$(PATH_SRC)) DIST_QA_DOS1_BIN := $(call mb_make_call,mb_proj_module_path_bin,$(PATH_SRC),$(PATH_BIN)) -$(call mb_make_call,mb_tool_clone_flow_deps,$(DIST_QA_DOS1_BIN),$(DIST_BIN),$(DIST_DEPS),,msxdos1) +$(call mb_make_call,mb_flow_clone_deps,$(DIST_QA_DOS1_BIN),$(DIST_BIN),$(DIST_DEPS),,msxdos1) $(DIST_QA_DOS1_BIN)/@assert: $(DIST_QA_DOS1_BIN)/@build diff --git a/src/dist-qa-dos2/0module.mk b/src/dist-qa-dos2/0module.mk index 89ae37c..01320f9 100644 --- a/src/dist-qa-dos2/0module.mk +++ b/src/dist-qa-dos2/0module.mk @@ -3,7 +3,7 @@ DIST_QA_DOS2_SRC := $(call mb_make_call,mb_proj_module_path_src,$(PATH_SRC)) DIST_QA_DOS2_BIN := $(call mb_make_call,mb_proj_module_path_bin,$(PATH_SRC),$(PATH_BIN)) -$(call mb_make_call,mb_tool_clone_flow_deps,$(DIST_QA_DOS2_BIN),$(DIST_BIN),$(DIST_DEPS),,msxdos2) +$(call mb_make_call,mb_flow_clone_deps,$(DIST_QA_DOS2_BIN),$(DIST_BIN),$(DIST_DEPS),,msxdos2) $(DIST_QA_DOS2_BIN)/@assert: $(DIST_QA_DOS2_BIN)/@build diff --git a/src/dist-qa-msx1/0module.mk b/src/dist-qa-msx1/0module.mk index b0d66a7..f2efadd 100644 --- a/src/dist-qa-msx1/0module.mk +++ b/src/dist-qa-msx1/0module.mk @@ -7,7 +7,7 @@ DIST_QA_MSX1_MACHINE ?= Canon_V-20 $(call mb_make_call,mb_doc_variable,DIST_QA_MSX1_MACHINE,"The machine to run the msx1 qa module on.") -$(call mb_make_call,mb_tool_clone_flow_deps,$(DIST_QA_MSX1_BIN),$(DIST_BIN),$(DIST_DEPS),$(DIST_QA_MSX1_MACHINE)) +$(call mb_make_call,mb_flow_clone_deps,$(DIST_QA_MSX1_BIN),$(DIST_BIN),$(DIST_DEPS),$(DIST_QA_MSX1_MACHINE)) $(DIST_QA_MSX1_BIN)/@assert: $(DIST_QA_MSX1_BIN)/@build _$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_file_delete,$(DIST_QA_MSX1_BIN)/ahello1.out) diff --git a/src/make-on-msx/0module.mk b/src/make-on-msx/0module.mk index 0228dcf..ab604d0 100644 --- a/src/make-on-msx/0module.mk +++ b/src/make-on-msx/0module.mk @@ -47,10 +47,5 @@ _$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxpipe_run_gui,$(MAKE_ON_MSX_BIN)) $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target_run,$(MAKE_ON_MSX_BIN)/@run,"Run the make build manually.") $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_target_phony,$(MAKE_ON_MSX_BIN)/@run) -$(call mb_make_call,mb_assert_flow_grep_binary,$(MAKE_ON_MSX_BIN),check-bin,nfbsshot.bin,screenshot) -#$(MAKE_ON_MSX_BIN)/@assert-check-bin: $(MAKE_ON_MSX_BIN)/@build -# grep -U "screenshot" $(MAKE_ON_MSX_BIN)/nfbsshot.bin -#MB_DOC_FIRE_TARGET += $(call mb_make_call,mb_doc_target,$(MAKE_ON_MSX_BIN)/@assert-check-bin,"Asserts that binary contains screenshot string.") -#MB_PROJ_PHASE_TEST_DEPS += $(MAKE_ON_MSX_BIN)/@assert-check-bin -#$(call mb_make_call,mb_make_flag_phony,$(MAKE_ON_MSX_BIN)/@assert-check-bin) +$(call mb_make_call,mb_flow_assert_grep_binary_test,$(MAKE_ON_MSX_BIN),check-bin,nfbsshot.bin,screenshot) diff --git a/src/mbboot80/0module.mk b/src/mbboot80/0module.mk index 74d0fe2..16e8eb9 100644 --- a/src/mbboot80/0module.mk +++ b/src/mbboot80/0module.mk @@ -3,7 +3,7 @@ MBBOOT80_SRC := $(call mb_make_call,mb_proj_module_path_src,$(PATH_SRC)) MBBOOT80_BIN := $(call mb_make_call,mb_proj_module_path_bin,$(PATH_SRC),$(PATH_BIN)) -$(call mb_make_call,mb_tool_sdcc_flow_bdos_mono,$(MBBOOT80_BIN),$(MBBOOT80_SRC),mbboot80) +$(call mb_make_call,mb_flow_sdcc_bdos_mono,$(MBBOOT80_BIN),$(MBBOOT80_SRC),mbboot80) $(call mb_make_call,mb_assert_flow_bdos_grep,$(MBBOOT80_BIN),check-boot80,mbboot80,"mb::boot mode 80") From ef47c49b43a12b6347f53b2e9f289f785522a5bf Mon Sep 17 00:00:00 2001 From: Willem Date: Fri, 12 Jul 2024 19:32:54 +0200 Subject: [PATCH 190/274] Fixed binary phase test template. --- lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk index 7018c8a..c3d9a0c 100644 --- a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk +++ b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk @@ -6,7 +6,7 @@ $(MB_@RECIPE)grep -q -U $(4) $(1)/$(3) $(MB_@RECIPE)$$(call mb_make_call,mb_os_echo_assert,$$(MB_I18N_ASSERT_SUCCESS) v-$(2)) $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target,$(1)/$(5)-$(2),"Asserts that $(3) output matches.") $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(1)/$(5)-$(2)) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_proj_grow_deps_phase,@test,$(1)/$(5)-$(2)) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_proj_grow_deps_phase,$(5),$(1)/$(5)-$(2)) .RECIPEPREFIX := $(MB_@RECIPEPREFIX) endef define mb_flow_assert_grep_binary_test From 66693d9cbc018be9957de8627e2314c03ca251ec Mon Sep 17 00:00:00 2001 From: Willem Date: Fri, 12 Jul 2024 20:39:53 +0200 Subject: [PATCH 191/274] China spaced and updated translations of include folders. --- lib/make/msxbuild.mk | 44 +++++++++---------- .../{प्रणाली => काबा सिस्टम विस्तार}/mb_doc.mk | 0 .../mb_make.mk | 0 .../mb_make_call.mk | 0 .../mb_make_check.mk | 0 .../mb_make_xml.mk | 0 .../{प्रणाली => काबा सिस्टम विस्तार}/mb_xxx.mk | 0 .../mb_conv.mk | 0 .../mb_os.mk | 0 .../mb_package.mk | 0 .../mb_sdcc.mk | 0 .../mb_autoexec.mk | 0 .../mb_msxhub.mk | 0 .../mb_msxpipe.mk | 0 .../mb_msxrom.mk | 0 .../mb_openmsx.mk | 0 .../{𓃬𓍄𓋹𓀭 => 𓃬 𓍄 𓋹𓀭}/mb_flow_0module.mk | 0 lib/make/{𓃬𓍄𓋹𓀭 => 𓃬 𓍄 𓋹𓀭}/mb_flow_assert.mk | 0 lib/make/{𓃬𓍄𓋹𓀭 => 𓃬 𓍄 𓋹𓀭}/mb_flow_clone.mk | 0 lib/make/{𓃬𓍄𓋹𓀭 => 𓃬 𓍄 𓋹𓀭}/mb_flow_help.mk | 0 lib/make/{𓃬𓍄𓋹𓀭 => 𓃬 𓍄 𓋹𓀭}/mb_flow_m80.mk | 0 lib/make/{𓃬𓍄𓋹𓀭 => 𓃬 𓍄 𓋹𓀭}/mb_flow_proj.mk | 0 lib/make/{𓃬𓍄𓋹𓀭 => 𓃬 𓍄 𓋹𓀭}/mb_flow_sdcc.mk | 0 23 files changed, 22 insertions(+), 22 deletions(-) rename lib/make/{प्रणाली => काबा सिस्टम विस्तार}/mb_doc.mk (100%) rename lib/make/{प्रणाली => काबा सिस्टम विस्तार}/mb_make.mk (100%) rename lib/make/{प्रणाली => काबा सिस्टम विस्तार}/mb_make_call.mk (100%) rename lib/make/{प्रणाली => काबा सिस्टम विस्तार}/mb_make_check.mk (100%) rename lib/make/{प्रणाली => काबा सिस्टम विस्तार}/mb_make_xml.mk (100%) rename lib/make/{प्रणाली => काबा सिस्टम विस्तार}/mb_xxx.mk (100%) rename lib/make/{ᐊᑐᕐᓗᒍ => ᒥᑎᑲ ᐱᓕᕆᔾᔪᓯᖅ ᐅᖓᕙᕆᐊᖅᓯᓂᖅ}/mb_conv.mk (100%) rename lib/make/{ᐊᑐᕐᓗᒍ => ᒥᑎᑲ ᐱᓕᕆᔾᔪᓯᖅ ᐅᖓᕙᕆᐊᖅᓯᓂᖅ}/mb_os.mk (100%) rename lib/make/{ᐊᑐᕐᓗᒍ => ᒥᑎᑲ ᐱᓕᕆᔾᔪᓯᖅ ᐅᖓᕙᕆᐊᖅᓯᓂᖅ}/mb_package.mk (100%) rename lib/make/{ᐊᑐᕐᓗᒍ => ᒥᑎᑲ ᐱᓕᕆᔾᔪᓯᖅ ᐅᖓᕙᕆᐊᖅᓯᓂᖅ}/mb_sdcc.mk (100%) rename lib/make/{麥加系統擴大 => 天房 系統 擴展}/mb_autoexec.mk (100%) rename lib/make/{麥加系統擴大 => 天房 系統 擴展}/mb_msxhub.mk (100%) rename lib/make/{麥加系統擴大 => 天房 系統 擴展}/mb_msxpipe.mk (100%) rename lib/make/{麥加系統擴大 => 天房 系統 擴展}/mb_msxrom.mk (100%) rename lib/make/{麥加系統擴大 => 天房 系統 擴展}/mb_openmsx.mk (100%) rename lib/make/{𓃬𓍄𓋹𓀭 => 𓃬 𓍄 𓋹𓀭}/mb_flow_0module.mk (100%) rename lib/make/{𓃬𓍄𓋹𓀭 => 𓃬 𓍄 𓋹𓀭}/mb_flow_assert.mk (100%) rename lib/make/{𓃬𓍄𓋹𓀭 => 𓃬 𓍄 𓋹𓀭}/mb_flow_clone.mk (100%) rename lib/make/{𓃬𓍄𓋹𓀭 => 𓃬 𓍄 𓋹𓀭}/mb_flow_help.mk (100%) rename lib/make/{𓃬𓍄𓋹𓀭 => 𓃬 𓍄 𓋹𓀭}/mb_flow_m80.mk (100%) rename lib/make/{𓃬𓍄𓋹𓀭 => 𓃬 𓍄 𓋹𓀭}/mb_flow_proj.mk (100%) rename lib/make/{𓃬𓍄𓋹𓀭 => 𓃬 𓍄 𓋹𓀭}/mb_flow_sdcc.mk (100%) diff --git a/lib/make/msxbuild.mk b/lib/make/msxbuild.mk index d6ac16b..fe933fe 100644 --- a/lib/make/msxbuild.mk +++ b/lib/make/msxbuild.mk @@ -17,34 +17,34 @@ endef # Include full library grouped in functional blocks MB_@INCLUDE_CHAIN := @@include-parent -$(eval $(call __mb_include,lib/make/प्रणाली/mb_xxx.mk)) -$(eval $(call __mb_include,lib/make/प्रणाली/mb_doc.mk)) -$(eval $(call __mb_include,lib/make/प्रणाली/mb_make_call.mk)) -$(eval $(call __mb_include,lib/make/प्रणाली/mb_make_check.mk)) -$(eval $(call __mb_include,lib/make/प्रणाली/mb_make_xml.mk)) -$(eval $(call __mb_include,lib/make/प्रणाली/mb_make.mk)) +$(eval $(call __mb_include,lib/make/काबा सिस्टम विस्तार/mb_xxx.mk)) +$(eval $(call __mb_include,lib/make/काबा सिस्टम विस्तार/mb_doc.mk)) +$(eval $(call __mb_include,lib/make/काबा सिस्टम विस्तार/mb_make_call.mk)) +$(eval $(call __mb_include,lib/make/काबा सिस्टम विस्तार/mb_make_check.mk)) +$(eval $(call __mb_include,lib/make/काबा सिस्टम विस्तार/mb_make_xml.mk)) +$(eval $(call __mb_include,lib/make/काबा सिस्टम विस्तार/mb_make.mk)) @@include-libs:: $(MB_@INCLUDE_CHAIN) MB_@INCLUDE_CHAIN := @@include-parent -$(eval $(call __mb_include,lib/make/ᐊᑐᕐᓗᒍ/mb_os.mk)) -$(eval $(call __mb_include,lib/make/ᐊᑐᕐᓗᒍ/mb_conv.mk)) -$(eval $(call __mb_include,lib/make/ᐊᑐᕐᓗᒍ/mb_sdcc.mk)) -$(eval $(call __mb_include,lib/make/ᐊᑐᕐᓗᒍ/mb_package.mk)) +$(eval $(call __mb_include,lib/make/ᒥᑎᑲ ᐱᓕᕆᔾᔪᓯᖅ ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_os.mk)) +$(eval $(call __mb_include,lib/make/ᒥᑎᑲ ᐱᓕᕆᔾᔪᓯᖅ ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_conv.mk)) +$(eval $(call __mb_include,lib/make/ᒥᑎᑲ ᐱᓕᕆᔾᔪᓯᖅ ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_sdcc.mk)) +$(eval $(call __mb_include,lib/make/ᒥᑎᑲ ᐱᓕᕆᔾᔪᓯᖅ ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_package.mk)) @@include-libs:: $(MB_@INCLUDE_CHAIN) MB_@INCLUDE_CHAIN := @@include-parent -$(eval $(call __mb_include,lib/make/麥加系統擴大/mb_msxrom.mk)) -$(eval $(call __mb_include,lib/make/麥加系統擴大/mb_msxhub.mk)) -$(eval $(call __mb_include,lib/make/麥加系統擴大/mb_msxpipe.mk)) -$(eval $(call __mb_include,lib/make/麥加系統擴大/mb_openmsx.mk)) -$(eval $(call __mb_include,lib/make/麥加系統擴大/mb_autoexec.mk)) +$(eval $(call __mb_include,lib/make/天房 系統 擴展/mb_msxrom.mk)) +$(eval $(call __mb_include,lib/make/天房 系統 擴展/mb_msxhub.mk)) +$(eval $(call __mb_include,lib/make/天房 系統 擴展/mb_msxpipe.mk)) +$(eval $(call __mb_include,lib/make/天房 系統 擴展/mb_openmsx.mk)) +$(eval $(call __mb_include,lib/make/天房 系統 擴展/mb_autoexec.mk)) @@include-libs:: $(MB_@INCLUDE_CHAIN) MB_@INCLUDE_CHAIN := @@include-parent -$(eval $(call __mb_include,lib/make/𓃬𓍄𓋹𓀭/mb_flow_0module.mk)) -$(eval $(call __mb_include,lib/make/𓃬𓍄𓋹𓀭/mb_flow_help.mk)) -$(eval $(call __mb_include,lib/make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk)) -$(eval $(call __mb_include,lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk)) -$(eval $(call __mb_include,lib/make/𓃬𓍄𓋹𓀭/mb_flow_m80.mk)) -$(eval $(call __mb_include,lib/make/𓃬𓍄𓋹𓀭/mb_flow_sdcc.mk)) -$(eval $(call __mb_include,lib/make/𓃬𓍄𓋹𓀭/mb_flow_clone.mk)) +$(eval $(call __mb_include,lib/make/𓃬 𓍄 𓋹𓀭/mb_flow_0module.mk)) +$(eval $(call __mb_include,lib/make/𓃬 𓍄 𓋹𓀭/mb_flow_help.mk)) +$(eval $(call __mb_include,lib/make/𓃬 𓍄 𓋹𓀭/mb_flow_proj.mk)) +$(eval $(call __mb_include,lib/make/𓃬 𓍄 𓋹𓀭/mb_flow_assert.mk)) +$(eval $(call __mb_include,lib/make/𓃬 𓍄 𓋹𓀭/mb_flow_m80.mk)) +$(eval $(call __mb_include,lib/make/𓃬 𓍄 𓋹𓀭/mb_flow_sdcc.mk)) +$(eval $(call __mb_include,lib/make/𓃬 𓍄 𓋹𓀭/mb_flow_clone.mk)) @@include-libs:: $(MB_@INCLUDE_CHAIN) MB_@INCLUDE_CHAIN := $(eval $(call __mb_include,lib/make/mb_flight.mk)) diff --git a/lib/make/प्रणाली/mb_doc.mk b/lib/make/काबा सिस्टम विस्तार/mb_doc.mk similarity index 100% rename from lib/make/प्रणाली/mb_doc.mk rename to lib/make/काबा सिस्टम विस्तार/mb_doc.mk diff --git a/lib/make/प्रणाली/mb_make.mk b/lib/make/काबा सिस्टम विस्तार/mb_make.mk similarity index 100% rename from lib/make/प्रणाली/mb_make.mk rename to lib/make/काबा सिस्टम विस्तार/mb_make.mk diff --git a/lib/make/प्रणाली/mb_make_call.mk b/lib/make/काबा सिस्टम विस्तार/mb_make_call.mk similarity index 100% rename from lib/make/प्रणाली/mb_make_call.mk rename to lib/make/काबा सिस्टम विस्तार/mb_make_call.mk diff --git a/lib/make/प्रणाली/mb_make_check.mk b/lib/make/काबा सिस्टम विस्तार/mb_make_check.mk similarity index 100% rename from lib/make/प्रणाली/mb_make_check.mk rename to lib/make/काबा सिस्टम विस्तार/mb_make_check.mk diff --git a/lib/make/प्रणाली/mb_make_xml.mk b/lib/make/काबा सिस्टम विस्तार/mb_make_xml.mk similarity index 100% rename from lib/make/प्रणाली/mb_make_xml.mk rename to lib/make/काबा सिस्टम विस्तार/mb_make_xml.mk diff --git a/lib/make/प्रणाली/mb_xxx.mk b/lib/make/काबा सिस्टम विस्तार/mb_xxx.mk similarity index 100% rename from lib/make/प्रणाली/mb_xxx.mk rename to lib/make/काबा सिस्टम विस्तार/mb_xxx.mk diff --git a/lib/make/ᐊᑐᕐᓗᒍ/mb_conv.mk b/lib/make/ᒥᑎᑲ ᐱᓕᕆᔾᔪᓯᖅ ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_conv.mk similarity index 100% rename from lib/make/ᐊᑐᕐᓗᒍ/mb_conv.mk rename to lib/make/ᒥᑎᑲ ᐱᓕᕆᔾᔪᓯᖅ ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_conv.mk diff --git a/lib/make/ᐊᑐᕐᓗᒍ/mb_os.mk b/lib/make/ᒥᑎᑲ ᐱᓕᕆᔾᔪᓯᖅ ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_os.mk similarity index 100% rename from lib/make/ᐊᑐᕐᓗᒍ/mb_os.mk rename to lib/make/ᒥᑎᑲ ᐱᓕᕆᔾᔪᓯᖅ ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_os.mk diff --git a/lib/make/ᐊᑐᕐᓗᒍ/mb_package.mk b/lib/make/ᒥᑎᑲ ᐱᓕᕆᔾᔪᓯᖅ ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_package.mk similarity index 100% rename from lib/make/ᐊᑐᕐᓗᒍ/mb_package.mk rename to lib/make/ᒥᑎᑲ ᐱᓕᕆᔾᔪᓯᖅ ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_package.mk diff --git a/lib/make/ᐊᑐᕐᓗᒍ/mb_sdcc.mk b/lib/make/ᒥᑎᑲ ᐱᓕᕆᔾᔪᓯᖅ ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_sdcc.mk similarity index 100% rename from lib/make/ᐊᑐᕐᓗᒍ/mb_sdcc.mk rename to lib/make/ᒥᑎᑲ ᐱᓕᕆᔾᔪᓯᖅ ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_sdcc.mk diff --git a/lib/make/麥加系統擴大/mb_autoexec.mk b/lib/make/天房 系統 擴展/mb_autoexec.mk similarity index 100% rename from lib/make/麥加系統擴大/mb_autoexec.mk rename to lib/make/天房 系統 擴展/mb_autoexec.mk diff --git a/lib/make/麥加系統擴大/mb_msxhub.mk b/lib/make/天房 系統 擴展/mb_msxhub.mk similarity index 100% rename from lib/make/麥加系統擴大/mb_msxhub.mk rename to lib/make/天房 系統 擴展/mb_msxhub.mk diff --git a/lib/make/麥加系統擴大/mb_msxpipe.mk b/lib/make/天房 系統 擴展/mb_msxpipe.mk similarity index 100% rename from lib/make/麥加系統擴大/mb_msxpipe.mk rename to lib/make/天房 系統 擴展/mb_msxpipe.mk diff --git a/lib/make/麥加系統擴大/mb_msxrom.mk b/lib/make/天房 系統 擴展/mb_msxrom.mk similarity index 100% rename from lib/make/麥加系統擴大/mb_msxrom.mk rename to lib/make/天房 系統 擴展/mb_msxrom.mk diff --git a/lib/make/麥加系統擴大/mb_openmsx.mk b/lib/make/天房 系統 擴展/mb_openmsx.mk similarity index 100% rename from lib/make/麥加系統擴大/mb_openmsx.mk rename to lib/make/天房 系統 擴展/mb_openmsx.mk diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_0module.mk b/lib/make/𓃬 𓍄 𓋹𓀭/mb_flow_0module.mk similarity index 100% rename from lib/make/𓃬𓍄𓋹𓀭/mb_flow_0module.mk rename to lib/make/𓃬 𓍄 𓋹𓀭/mb_flow_0module.mk diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk b/lib/make/𓃬 𓍄 𓋹𓀭/mb_flow_assert.mk similarity index 100% rename from lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk rename to lib/make/𓃬 𓍄 𓋹𓀭/mb_flow_assert.mk diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_clone.mk b/lib/make/𓃬 𓍄 𓋹𓀭/mb_flow_clone.mk similarity index 100% rename from lib/make/𓃬𓍄𓋹𓀭/mb_flow_clone.mk rename to lib/make/𓃬 𓍄 𓋹𓀭/mb_flow_clone.mk diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_help.mk b/lib/make/𓃬 𓍄 𓋹𓀭/mb_flow_help.mk similarity index 100% rename from lib/make/𓃬𓍄𓋹𓀭/mb_flow_help.mk rename to lib/make/𓃬 𓍄 𓋹𓀭/mb_flow_help.mk diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_m80.mk b/lib/make/𓃬 𓍄 𓋹𓀭/mb_flow_m80.mk similarity index 100% rename from lib/make/𓃬𓍄𓋹𓀭/mb_flow_m80.mk rename to lib/make/𓃬 𓍄 𓋹𓀭/mb_flow_m80.mk diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk b/lib/make/𓃬 𓍄 𓋹𓀭/mb_flow_proj.mk similarity index 100% rename from lib/make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk rename to lib/make/𓃬 𓍄 𓋹𓀭/mb_flow_proj.mk diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_sdcc.mk b/lib/make/𓃬 𓍄 𓋹𓀭/mb_flow_sdcc.mk similarity index 100% rename from lib/make/𓃬𓍄𓋹𓀭/mb_flow_sdcc.mk rename to lib/make/𓃬 𓍄 𓋹𓀭/mb_flow_sdcc.mk From 0bf46a9ff3f52e2a313026457c08206807ae8ab2 Mon Sep 17 00:00:00 2001 From: Willem Date: Fri, 12 Jul 2024 22:35:33 +0200 Subject: [PATCH 192/274] Removed lib prefix for dist build and real path now we use openMSX home --- Makefile | 14 ++--- lib/make/msxbuild.mk | 79 ------------------------- lib/make/天房 系統 擴展/mb_openmsx.mk | 24 ++++---- lib/{make => }/msxbuild-prolog.mk | 0 lib/msxbuild.mk | 77 ++++++++++++++++++++++++ 5 files changed, 96 insertions(+), 98 deletions(-) delete mode 100644 lib/make/msxbuild.mk rename lib/{make => }/msxbuild-prolog.mk (100%) create mode 100644 lib/msxbuild.mk diff --git a/Makefile b/Makefile index aacce19..7e9139c 100644 --- a/Makefile +++ b/Makefile @@ -3,7 +3,7 @@ # PATH_BIN := bin PATH_SRC := src -PATH_MSXBUILD ?= . +PATH_MSXBUILD ?= lib MB_PROJ_META_GROUP_ID ?= love.distributedrebirth.msx4.firemake.make4.msxbuild MB_PROJ_META_ARTIFACT_ID ?= msxbuild MB_PROJ_META_VERSION ?= 1.0.0 @@ -13,17 +13,17 @@ MB_PROJ_META_WEBSITE ?= https://code.distributedrebirth.love/arch-msx/msxbuild .RECIPEPREFIX := _ .DEFAULT_GOAL := @all -include Makelocal.mk -include $(PATH_MSXBUILD)/lib/make/msxbuild-prolog.mk -include $(PATH_MSXBUILD)/lib/make/msxbuild.mk +include $(PATH_MSXBUILD)/msxbuild-prolog.mk +include $(PATH_MSXBUILD)/msxbuild.mk $(call mb_make_call,mb_setup_default,$(PATH_BIN),$(PATH_SRC)) # Optional: make faster and setup graph root .PHONY: Makefile .PHONY: Makelocal.mk -.PHONY: $(PATH_MSXBUILD)/lib/make/msxbuild-prolog.mk -.PHONY: $(PATH_MSXBUILD)/lib/make/msxbuild.mk +.PHONY: $(PATH_MSXBUILD)/msxbuild-prolog.mk +.PHONY: $(PATH_MSXBUILD)/msxbuild.mk Makefile:: Makelocal.mk -Makefile:: $(PATH_MSXBUILD)/lib/make/msxbuild-prolog.mk -Makefile:: $(PATH_MSXBUILD)/lib/make/msxbuild.mk +Makefile:: $(PATH_MSXBUILD)/msxbuild-prolog.mk +Makefile:: $(PATH_MSXBUILD)/msxbuild.mk @@include-root:: Makefile diff --git a/lib/make/msxbuild.mk b/lib/make/msxbuild.mk deleted file mode 100644 index fe933fe..0000000 --- a/lib/make/msxbuild.mk +++ /dev/null @@ -1,79 +0,0 @@ -# -# msxbuild.mk - Makefile helper to use with msx projects. -# -MB_@RECIPE := ] -MB_@RECIPEPREFIX := $(.RECIPEPREFIX) -MB_@BASEPATH := $(dir $(lastword $(MAKEFILE_LIST)))../.. -MB_@BASEPATH_REAL := $(if $(realpath $(MB_@BASEPATH)),$(realpath $(MB_@BASEPATH)),$(MB_@BASEPATH)) -MB_@INCLUDE_CHAIN := - -# Build multiple groups of chains of includes -define __mb_include -.PHONY: $(MB_@BASEPATH)/$(1) -include $(MB_@BASEPATH)/$(1) -$(if $(MB_@INCLUDE_CHAIN),$(eval $(MB_@BASEPATH)/$(1): $(MB_@INCLUDE_CHAIN))) -MB_@INCLUDE_CHAIN := $(MB_@BASEPATH)/$(1) -endef - -# Include full library grouped in functional blocks -MB_@INCLUDE_CHAIN := @@include-parent -$(eval $(call __mb_include,lib/make/काबा सिस्टम विस्तार/mb_xxx.mk)) -$(eval $(call __mb_include,lib/make/काबा सिस्टम विस्तार/mb_doc.mk)) -$(eval $(call __mb_include,lib/make/काबा सिस्टम विस्तार/mb_make_call.mk)) -$(eval $(call __mb_include,lib/make/काबा सिस्टम विस्तार/mb_make_check.mk)) -$(eval $(call __mb_include,lib/make/काबा सिस्टम विस्तार/mb_make_xml.mk)) -$(eval $(call __mb_include,lib/make/काबा सिस्टम विस्तार/mb_make.mk)) -@@include-libs:: $(MB_@INCLUDE_CHAIN) -MB_@INCLUDE_CHAIN := @@include-parent -$(eval $(call __mb_include,lib/make/ᒥᑎᑲ ᐱᓕᕆᔾᔪᓯᖅ ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_os.mk)) -$(eval $(call __mb_include,lib/make/ᒥᑎᑲ ᐱᓕᕆᔾᔪᓯᖅ ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_conv.mk)) -$(eval $(call __mb_include,lib/make/ᒥᑎᑲ ᐱᓕᕆᔾᔪᓯᖅ ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_sdcc.mk)) -$(eval $(call __mb_include,lib/make/ᒥᑎᑲ ᐱᓕᕆᔾᔪᓯᖅ ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_package.mk)) -@@include-libs:: $(MB_@INCLUDE_CHAIN) -MB_@INCLUDE_CHAIN := @@include-parent -$(eval $(call __mb_include,lib/make/天房 系統 擴展/mb_msxrom.mk)) -$(eval $(call __mb_include,lib/make/天房 系統 擴展/mb_msxhub.mk)) -$(eval $(call __mb_include,lib/make/天房 系統 擴展/mb_msxpipe.mk)) -$(eval $(call __mb_include,lib/make/天房 系統 擴展/mb_openmsx.mk)) -$(eval $(call __mb_include,lib/make/天房 系統 擴展/mb_autoexec.mk)) -@@include-libs:: $(MB_@INCLUDE_CHAIN) -MB_@INCLUDE_CHAIN := @@include-parent -$(eval $(call __mb_include,lib/make/𓃬 𓍄 𓋹𓀭/mb_flow_0module.mk)) -$(eval $(call __mb_include,lib/make/𓃬 𓍄 𓋹𓀭/mb_flow_help.mk)) -$(eval $(call __mb_include,lib/make/𓃬 𓍄 𓋹𓀭/mb_flow_proj.mk)) -$(eval $(call __mb_include,lib/make/𓃬 𓍄 𓋹𓀭/mb_flow_assert.mk)) -$(eval $(call __mb_include,lib/make/𓃬 𓍄 𓋹𓀭/mb_flow_m80.mk)) -$(eval $(call __mb_include,lib/make/𓃬 𓍄 𓋹𓀭/mb_flow_sdcc.mk)) -$(eval $(call __mb_include,lib/make/𓃬 𓍄 𓋹𓀭/mb_flow_clone.mk)) -@@include-libs:: $(MB_@INCLUDE_CHAIN) -MB_@INCLUDE_CHAIN := -$(eval $(call __mb_include,lib/make/mb_flight.mk)) -$(eval $(call __mb_include,lib/make/i18n/mb_i18n.mk)) -ifneq ("$(MB_I18N)", "off") -$(if $(MB_I18N),$(if $(wildcard $(MB_@BASEPATH)/lib/make/i18n/mb_i18n_$(MB_I18N).mk),,$(error Unsupported i18n language code: $(MB_I18N)))) -$(if $(MB_I18N),$(eval include $(MB_@BASEPATH)/lib/make/i18n/mb_i18n_$(MB_I18N).mk)) -endif -@include:: $(MB_@INCLUDE_CHAIN) -MB_@INCLUDE_CHAIN := - -# Glue phony targets to get internal @include tree (semi) correct (TODO: fix chain in: mb_flow_0module_setup) -.PHONY: @include @@include-root @@include-libs @@include-mods @@include-parent @@include-tree -@@include-parent:: @@include-mods -@include:: @@include-libs @@include-root - -# Document our internal variables, now we have the functions loaded -$(call mb_make_call,mb_doc_variable_rock,MB_@RECIPE,"Recipe prefix to set and indent flow eval rules.") -$(call mb_make_call,mb_doc_variable_rock,MB_@RECIPEPREFIX,"Recipe prefix to restore to after flow eval rules.") -$(call mb_make_call,mb_doc_variable_rock,MB_@BASEPATH,"Path where msxbuild lib folder is located.") -$(call mb_make_call,mb_doc_variable_rock,MB_@BASEPATH_REAL,"Resolved real path of msxbuild.") - -# Provider+doc easy single function start point for user -define mb_setup_default -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg2,$(0),$(1),$(2)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_flow_doc_help) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_flow_0module_setup,$(2)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_flow_proj_setup,$(1)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_flight_proj_flow_video,$(1)) -endef -$(call mb_make_call,mb_doc_function,mb_setup_default,"Setup default extenstions to configure dynamic project flow."," ") - diff --git a/lib/make/天房 系統 擴展/mb_openmsx.mk b/lib/make/天房 系統 擴展/mb_openmsx.mk index 0334c29..f9463b6 100644 --- a/lib/make/天房 系統 擴展/mb_openmsx.mk +++ b/lib/make/天房 系統 擴展/mb_openmsx.mk @@ -45,18 +45,18 @@ $(call mb_make_call,mb_doc_variable_deep,MB_OPENMSX_STDERR_IGNORE,"Kills logging define mb_openmsx_setup $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg2,$(0),$(1),$(2)) $(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)-omsx),,$(call mb_make_call,mb_os_dir_create,$(1)-omsx)) -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)-omsx/stdio.xml),,$(call mb_make_call,mb_os_file_copy,$(MB_@BASEPATH_REAL)/lib/openmsx/stdio.xml,$(1)-omsx)) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)-omsx/stdio.xml),,$(call mb_make_call,mb_os_file_copy,$(MB_@BASEPATH)openmsx/stdio.xml,$(1)-omsx)) $(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)-omsx/share),,$(call mb_make_call,mb_os_dir_create,$(1)-omsx/share)) -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)-omsx/share/settings.xml),,$(call mb_make_call,mb_os_file_copy,$(MB_@BASEPATH_REAL)/lib/openmsx/share/settings.xml,$(1)-omsx/share)) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)-omsx/share/settings.xml),,$(call mb_make_call,mb_os_file_copy,$(MB_@BASEPATH)openmsx/share/settings.xml,$(1)-omsx/share)) $(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)-omsx/share/scripts),,$(call mb_make_call,mb_os_dir_create,$(1)-omsx/share/scripts)) -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)-omsx/share/scripts/boot_exec.tcl),,$(call mb_make_call,mb_os_file_copy,$(MB_@BASEPATH_REAL)/lib/openmsx/share/scripts/boot_exec.tcl,$(1)-omsx/share/scripts)) -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)-omsx/share/scripts/fail_after.tcl),,$(call mb_make_call,mb_os_file_copy,$(MB_@BASEPATH_REAL)/lib/openmsx/share/scripts/fail_after.tcl,$(1)-omsx/share/scripts)) -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)-omsx/share/scripts/fire_hdd.tcl),,$(call mb_make_call,mb_os_file_copy,$(MB_@BASEPATH_REAL)/lib/openmsx/share/scripts/fire_hdd.tcl,$(1)-omsx/share/scripts)) -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)-omsx/share/scripts/headless.tcl),,$(call mb_make_call,mb_os_file_copy,$(MB_@BASEPATH_REAL)/lib/openmsx/share/scripts/headless.tcl,$(1)-omsx/share/scripts)) -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)-omsx/share/scripts/night_flight.tcl),,$(call mb_make_call,mb_os_file_copy,$(MB_@BASEPATH_REAL)/lib/openmsx/share/scripts/night_flight.tcl,$(1)-omsx/share/scripts)) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)-omsx/share/scripts/boot_exec.tcl),,$(call mb_make_call,mb_os_file_copy,$(MB_@BASEPATH)openmsx/share/scripts/boot_exec.tcl,$(1)-omsx/share/scripts)) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)-omsx/share/scripts/fail_after.tcl),,$(call mb_make_call,mb_os_file_copy,$(MB_@BASEPATH)openmsx/share/scripts/fail_after.tcl,$(1)-omsx/share/scripts)) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)-omsx/share/scripts/fire_hdd.tcl),,$(call mb_make_call,mb_os_file_copy,$(MB_@BASEPATH)openmsx/share/scripts/fire_hdd.tcl,$(1)-omsx/share/scripts)) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)-omsx/share/scripts/headless.tcl),,$(call mb_make_call,mb_os_file_copy,$(MB_@BASEPATH)openmsx/share/scripts/headless.tcl,$(1)-omsx/share/scripts)) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)-omsx/share/scripts/night_flight.tcl),,$(call mb_make_call,mb_os_file_copy,$(MB_@BASEPATH)openmsx/share/scripts/night_flight.tcl,$(1)-omsx/share/scripts)) $(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)-omsx/share/scripts/omsxctl.tcl),,$(call mb_msxhub_file,$(1)-omsx/share/scripts,OMSXCTL/1.0-1/get/OMSXCTL/omsxctl.tcl)) $(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)-omsx/share/extensions),,$(call mb_make_call,mb_os_dir_create,$(1)-omsx/share/extensions)) -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)-omsx/share/extensions/fire-hdd.xml),,$(call mb_make_call,mb_os_file_copy,$(MB_@BASEPATH_REAL)/lib/openmsx/share/extensions/fire-hdd.xml,$(1)-omsx/share/extensions)) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)-omsx/share/extensions/fire-hdd.xml),,$(call mb_make_call,mb_os_file_copy,$(MB_@BASEPATH)openmsx/share/extensions/fire-hdd.xml,$(1)-omsx/share/extensions)) $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_setup,$(1)) $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_extension_ide_nextor,$(1)) $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_machine_$(2),$(1)) @@ -105,10 +105,10 @@ endef define _mb_openmsx_dosctl_bat -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)/z80.bat),,$(call mb_make_call,mb_os_file_copy,$(MB_@BASEPATH_REAL)/lib/emuctl/z80.bat,$(1))) -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)/reboot.bat),,$(call mb_make_call,mb_os_file_copy,$(MB_@BASEPATH_REAL)/lib/emuctl/reboot.bat,$(1))) -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)/shutdown.bat),,$(call mb_make_call,mb_os_file_copy,$(MB_@BASEPATH_REAL)/lib/emuctl/shutdown.bat,$(1))) -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)/mbboot80.com),,$(call mb_make_call,mb_os_file_copy,$(MB_@BASEPATH_REAL)/lib/emuctl/mbboot80.com,$(1))) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)/z80.bat),,$(call mb_make_call,mb_os_file_copy,$(MB_@BASEPATH)emuctl/z80.bat,$(1))) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)/reboot.bat),,$(call mb_make_call,mb_os_file_copy,$(MB_@BASEPATH)emuctl/reboot.bat,$(1))) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)/shutdown.bat),,$(call mb_make_call,mb_os_file_copy,$(MB_@BASEPATH)emuctl/shutdown.bat,$(1))) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)/mbboot80.com),,$(call mb_make_call,mb_os_file_copy,$(MB_@BASEPATH)emuctl/mbboot80.com,$(1))) $(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)/omsxctl.com),,$(call mb_make_call,mb_msxhub_file,$(1),OMSXCTL/1.0-1/get/OMSXCTL/omsxctl.com)) endef diff --git a/lib/make/msxbuild-prolog.mk b/lib/msxbuild-prolog.mk similarity index 100% rename from lib/make/msxbuild-prolog.mk rename to lib/msxbuild-prolog.mk diff --git a/lib/msxbuild.mk b/lib/msxbuild.mk new file mode 100644 index 0000000..c688c0c --- /dev/null +++ b/lib/msxbuild.mk @@ -0,0 +1,77 @@ +# +# msxbuild.mk - Makefile helper to use with msx projects. +# +MB_@RECIPE := ] +MB_@RECIPEPREFIX := $(.RECIPEPREFIX) +MB_@BASEPATH := $(dir $(lastword $(MAKEFILE_LIST))) +MB_@INCLUDE_CHAIN := + +# Build multiple groups of chains of includes +define __mb_include +.PHONY: $(MB_@BASEPATH)$(1) +include $(MB_@BASEPATH)$(1) +$(if $(MB_@INCLUDE_CHAIN),$(eval $(MB_@BASEPATH)$(1): $(MB_@INCLUDE_CHAIN))) +MB_@INCLUDE_CHAIN := $(MB_@BASEPATH)$(1) +endef + +# Include full library grouped in functional blocks +MB_@INCLUDE_CHAIN := @@include-parent +$(eval $(call __mb_include,make/काबा सिस्टम विस्तार/mb_xxx.mk)) +$(eval $(call __mb_include,make/काबा सिस्टम विस्तार/mb_doc.mk)) +$(eval $(call __mb_include,make/काबा सिस्टम विस्तार/mb_make_call.mk)) +$(eval $(call __mb_include,make/काबा सिस्टम विस्तार/mb_make_check.mk)) +$(eval $(call __mb_include,make/काबा सिस्टम विस्तार/mb_make_xml.mk)) +$(eval $(call __mb_include,make/काबा सिस्टम विस्तार/mb_make.mk)) +@@include-libs:: $(MB_@INCLUDE_CHAIN) +MB_@INCLUDE_CHAIN := @@include-parent +$(eval $(call __mb_include,make/ᒥᑎᑲ ᐱᓕᕆᔾᔪᓯᖅ ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_os.mk)) +$(eval $(call __mb_include,make/ᒥᑎᑲ ᐱᓕᕆᔾᔪᓯᖅ ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_conv.mk)) +$(eval $(call __mb_include,make/ᒥᑎᑲ ᐱᓕᕆᔾᔪᓯᖅ ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_sdcc.mk)) +$(eval $(call __mb_include,make/ᒥᑎᑲ ᐱᓕᕆᔾᔪᓯᖅ ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_package.mk)) +@@include-libs:: $(MB_@INCLUDE_CHAIN) +MB_@INCLUDE_CHAIN := @@include-parent +$(eval $(call __mb_include,make/天房 系統 擴展/mb_msxrom.mk)) +$(eval $(call __mb_include,make/天房 系統 擴展/mb_msxhub.mk)) +$(eval $(call __mb_include,make/天房 系統 擴展/mb_msxpipe.mk)) +$(eval $(call __mb_include,make/天房 系統 擴展/mb_openmsx.mk)) +$(eval $(call __mb_include,make/天房 系統 擴展/mb_autoexec.mk)) +@@include-libs:: $(MB_@INCLUDE_CHAIN) +MB_@INCLUDE_CHAIN := @@include-parent +$(eval $(call __mb_include,make/𓃬 𓍄 𓋹𓀭/mb_flow_0module.mk)) +$(eval $(call __mb_include,make/𓃬 𓍄 𓋹𓀭/mb_flow_help.mk)) +$(eval $(call __mb_include,make/𓃬 𓍄 𓋹𓀭/mb_flow_proj.mk)) +$(eval $(call __mb_include,make/𓃬 𓍄 𓋹𓀭/mb_flow_assert.mk)) +$(eval $(call __mb_include,make/𓃬 𓍄 𓋹𓀭/mb_flow_m80.mk)) +$(eval $(call __mb_include,make/𓃬 𓍄 𓋹𓀭/mb_flow_sdcc.mk)) +$(eval $(call __mb_include,make/𓃬 𓍄 𓋹𓀭/mb_flow_clone.mk)) +@@include-libs:: $(MB_@INCLUDE_CHAIN) +MB_@INCLUDE_CHAIN := +$(eval $(call __mb_include,make/mb_flight.mk)) +$(eval $(call __mb_include,make/i18n/mb_i18n.mk)) +ifneq ("$(MB_I18N)", "off") +$(if $(MB_I18N),$(if $(wildcard $(MB_@BASEPATH)make/i18n/mb_i18n_$(MB_I18N).mk),,$(error Unsupported i18n language code: $(MB_I18N)))) +$(if $(MB_I18N),$(eval include $(MB_@BASEPATH)make/i18n/mb_i18n_$(MB_I18N).mk)) +endif +@include:: $(MB_@INCLUDE_CHAIN) +MB_@INCLUDE_CHAIN := + +# Glue phony targets to get internal @include tree (semi) correct (TODO: fix chain in: mb_flow_0module_setup) +.PHONY: @include @@include-root @@include-libs @@include-mods @@include-parent @@include-tree +@@include-parent:: @@include-mods +@include:: @@include-libs @@include-root + +# Document our internal variables, now we have the functions loaded +$(call mb_make_call,mb_doc_variable_rock,MB_@RECIPE,"Recipe prefix to set and indent flow eval rules.") +$(call mb_make_call,mb_doc_variable_rock,MB_@RECIPEPREFIX,"Recipe prefix to restore to after flow eval rules.") +$(call mb_make_call,mb_doc_variable_rock,MB_@BASEPATH,"Path where msxbuild lib folder is located.") + +# Provider+doc easy single function start point for user +define mb_setup_default +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg2,$(0),$(1),$(2)) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_flow_doc_help) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_flow_0module_setup,$(2)) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_flow_proj_setup,$(1)) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_flight_proj_flow_video,$(1)) +endef +$(call mb_make_call,mb_doc_function,mb_setup_default,"Setup default extenstions to configure dynamic project flow."," ") + From 21275aaded4c17b09364a992d892fa830b433b3a Mon Sep 17 00:00:00 2001 From: Willem Date: Sat, 13 Jul 2024 00:14:51 +0200 Subject: [PATCH 193/274] Split prolog to mv_env and msxbuild file. --- Makefile | 3 - lib/make/mb_env.mk | 141 +++++++++++++++++++++++++++++++++++++ lib/msxbuild-prolog.mk | 154 ----------------------------------------- lib/msxbuild.mk | 16 +++++ 4 files changed, 157 insertions(+), 157 deletions(-) create mode 100644 lib/make/mb_env.mk delete mode 100644 lib/msxbuild-prolog.mk diff --git a/Makefile b/Makefile index 7e9139c..6e73af9 100644 --- a/Makefile +++ b/Makefile @@ -13,17 +13,14 @@ MB_PROJ_META_WEBSITE ?= https://code.distributedrebirth.love/arch-msx/msxbuild .RECIPEPREFIX := _ .DEFAULT_GOAL := @all -include Makelocal.mk -include $(PATH_MSXBUILD)/msxbuild-prolog.mk include $(PATH_MSXBUILD)/msxbuild.mk $(call mb_make_call,mb_setup_default,$(PATH_BIN),$(PATH_SRC)) # Optional: make faster and setup graph root .PHONY: Makefile .PHONY: Makelocal.mk -.PHONY: $(PATH_MSXBUILD)/msxbuild-prolog.mk .PHONY: $(PATH_MSXBUILD)/msxbuild.mk Makefile:: Makelocal.mk -Makefile:: $(PATH_MSXBUILD)/msxbuild-prolog.mk Makefile:: $(PATH_MSXBUILD)/msxbuild.mk @@include-root:: Makefile diff --git a/lib/make/mb_env.mk b/lib/make/mb_env.mk new file mode 100644 index 0000000..706fe12 --- /dev/null +++ b/lib/make/mb_env.mk @@ -0,0 +1,141 @@ + +MB_ENV ?= on +$(call mb_make_call,mb_doc_variable,MB_ENV,"Enables VERBOSE and DEBUG variable parsing.") + + +# +# Configure verbose mode +# +ifeq ("$(MB_ENV)", "on") + ifdef VERBOSE + ifeq ("$(VERBOSE)", "off") + .SILENT: + endif + endif + ifdef うるさい + ifeq ("$(うるさい)", "ユニット") + .SILENT: + endif + endif + ifdef LUIDRUCHTIG + ifeq ("$(LUIDRUCHTIG)", "uit") + .SILENT: + endif + endif + ifdef VERBOSA + ifeq ("$(VERBOSA)", "traje") + .SILENT: + endif + endif + ifdef VERBOSO + ifeq ("$(VERBOSO)", "traje") + .SILENT: + endif + endif + ifdef VERBEUSE + ifeq ("$(VERBEUSE)", "dehors") + .SILENT: + endif + endif + ifdef VERBEUX + ifeq ("$(VERBEUX)", "dehors") + .SILENT: + endif + endif + ifdef шумный + ifeq ("$(шумный)", "вне") + .SILENT: + endif + endif + ifdef 吵雜 + ifeq ("$(吵雜)", "出去") + .SILENT: + endif + endif + ifdef वाचाल + ifeq ("$(वाचाल)", "बंद") + .SILENT: + endif + endif + ifdef ᕗᕉᑉᕉᔅ + ifeq ("$(ᕗᕉᑉᕉᔅ)", "ᖃᒥᓪᓗᒍ") + .SILENT: + endif + endif + ifndef VERBOSE + ifndef うるさい + ifndef LUIDRUCHTIG + ifndef VERBOSA + ifndef VERBOSO + ifndef VERBEUSE + ifndef VERBEUX + ifndef шумный + ifndef 吵雜 + ifndef वाचाल + ifndef ᕗᕉᑉᕉᔅ + .SILENT: + endif + endif + endif + endif + endif + endif + endif + endif + endif + endif + endif +endif + + +# +# Configure debug mode +# +ifeq ("$(MB_ENV)", "on") + ifdef DEBUG + ifneq ("$(DEBUG)", "off") + MB_MAKE_CALL_DEBUG := $(DEBUG) + endif + endif + ifdef ONTBEESTEN + ifneq ("$(ONTBEESTEN)", "uit") + MB_MAKE_CALL_DEBUG := $(ONTBEESTEN) + endif + endif + ifdef デバッグ + ifneq ("$(デバッグ)", "ユニット") + MB_MAKE_CALL_DEBUG := $(デバッグ) + endif + endif + ifdef DEPURAR + ifneq ("$(DEPURAR)", "traje") + MB_MAKE_CALL_DEBUG := $(DEPURAR) + endif + endif + ifdef DÉBOGUER + ifneq ("$(DÉBOGUER)", "dehors") + MB_MAKE_CALL_DEBUG := $(DÉBOGUER) + endif + endif + ifdef отлаживать + ifneq ("$(отлаживать)", "вне") + MB_MAKE_CALL_DEBUG := $(отлаживать) + endif + endif + ifdef 偵錯 + ifneq ("$(偵錯)", "出去") + MB_MAKE_CALL_DEBUG := $(偵錯) + endif + endif + ifdef डिबग + ifneq ("$(डिबग)", "बंद") + MB_MAKE_CALL_DEBUG := $(डिबग) + endif + endif + ifdef ᐃᕿᒡᒐᖅᑐᖅ + ifneq ("$(ᐃᕿᒡᒐᖅᑐᖅ)", "ᖃᒥᓪᓗᒍ") + MB_MAKE_CALL_DEBUG := $(ᐃᕿᒡᒐᖅᑐᖅ) + endif + endif +endif + diff --git a/lib/msxbuild-prolog.mk b/lib/msxbuild-prolog.mk deleted file mode 100644 index 540aba5..0000000 --- a/lib/msxbuild-prolog.mk +++ /dev/null @@ -1,154 +0,0 @@ -# -# Mandatory prolog to included before msxbuild.mk -# - - -# -# Make make, make behave. -# -MAKEFLAGS += --no-builtin-rules -MAKEFLAGS += --no-builtin-variables -MAKEFLAGS += --warn-undefined-variables -.DELETE_ON_ERROR := -.RECIPEPREFIX := $(if $(.RECIPEPREFIX),$(.RECIPEPREFIX),>) -.SUFFIXES := -.ONESHELL := -.SHELLFLAGS := -e -u -o pipefail -c -SHELL := bash -ifeq ($(origin .RECIPEPREFIX), undefined) - $(error This version of make does not support dynamic white space brain fuck mode.) -endif -# === Below here is optional === - -# -# Configure verbose mode -# -ifdef VERBOSE - ifeq ("$(VERBOSE)", "off") - .SILENT: - endif -endif -ifdef うるさい - ifeq ("$(うるさい)", "ユニット") - .SILENT: - endif -endif -ifdef LUIDRUCHTIG - ifeq ("$(LUIDRUCHTIG)", "uit") - .SILENT: - endif -endif -ifdef VERBOSA - ifeq ("$(VERBOSA)", "traje") - .SILENT: - endif -endif -ifdef VERBOSO - ifeq ("$(VERBOSO)", "traje") - .SILENT: - endif -endif -ifdef VERBEUSE - ifeq ("$(VERBEUSE)", "dehors") - .SILENT: - endif -endif -ifdef VERBEUX - ifeq ("$(VERBEUX)", "dehors") - .SILENT: - endif -endif -ifdef шумный - ifeq ("$(шумный)", "вне") - .SILENT: - endif -endif -ifdef 吵雜 - ifeq ("$(吵雜)", "出去") - .SILENT: - endif -endif -ifdef वाचाल - ifeq ("$(वाचाल)", "बंद") - .SILENT: - endif -endif -ifdef ᕗᕉᑉᕉᔅ - ifeq ("$(ᕗᕉᑉᕉᔅ)", "ᖃᒥᓪᓗᒍ") - .SILENT: - endif -endif -ifndef VERBOSE - ifndef うるさい - ifndef LUIDRUCHTIG - ifndef VERBOSA - ifndef VERBOSO - ifndef VERBEUSE - ifndef VERBEUX - ifndef шумный - ifndef 吵雜 - ifndef वाचाल - ifndef ᕗᕉᑉᕉᔅ - .SILENT: - endif - endif - endif - endif - endif - endif - endif - endif - endif - endif -endif - - -# -# Configure debug mode -# -ifdef DEBUG - ifneq ("$(DEBUG)", "off") - MB_MAKE_CALL_DEBUG ?= $(DEBUG) - endif -endif -ifdef ONTBEESTEN - ifneq ("$(ONTBEESTEN)", "uit") - MB_MAKE_CALL_DEBUG ?= $(ONTBEESTEN) - endif -endif -ifdef デバッグ - ifneq ("$(デバッグ)", "ユニット") - MB_MAKE_CALL_DEBUG ?= $(デバッグ) - endif -endif -ifdef DEPURAR - ifneq ("$(DEPURAR)", "traje") - MB_MAKE_CALL_DEBUG ?= $(DEPURAR) - endif -endif -ifdef DÉBOGUER - ifneq ("$(DÉBOGUER)", "dehors") - MB_MAKE_CALL_DEBUG ?= $(DÉBOGUER) - endif -endif -ifdef отлаживать - ifneq ("$(отлаживать)", "вне") - MB_MAKE_CALL_DEBUG ?= $(отлаживать) - endif -endif -ifdef 偵錯 - ifneq ("$(偵錯)", "出去") - MB_MAKE_CALL_DEBUG ?= $(偵錯) - endif -endif -ifdef डिबग - ifneq ("$(डिबग)", "बंद") - MB_MAKE_CALL_DEBUG ?= $(डिबग) - endif -endif -ifdef ᐃᕿᒡᒐᖅᑐᖅ - ifneq ("$(ᐃᕿᒡᒐᖅᑐᖅ)", "ᖃᒥᓪᓗᒍ") - MB_MAKE_CALL_DEBUG ?= $(ᐃᕿᒡᒐᖅᑐᖅ) - endif -endif - diff --git a/lib/msxbuild.mk b/lib/msxbuild.mk index c688c0c..c580a1b 100644 --- a/lib/msxbuild.mk +++ b/lib/msxbuild.mk @@ -1,6 +1,21 @@ # # msxbuild.mk - Makefile helper to use with msx projects. # +# Make make, make behave; +MAKEFLAGS += --no-builtin-rules +MAKEFLAGS += --no-builtin-variables +MAKEFLAGS += --warn-undefined-variables +.DELETE_ON_ERROR := +.RECIPEPREFIX := $(if $(.RECIPEPREFIX),$(.RECIPEPREFIX),>) +.SUFFIXES := +.ONESHELL := +.SHELLFLAGS := -e -u -o pipefail -c +SHELL := bash +ifeq ($(origin .RECIPEPREFIX), undefined) + $(error This version of make does not support dynamic white space brain fuck mode.) +endif + +# Set some root variable to use in this library MB_@RECIPE := ] MB_@RECIPEPREFIX := $(.RECIPEPREFIX) MB_@BASEPATH := $(dir $(lastword $(MAKEFILE_LIST))) @@ -46,6 +61,7 @@ $(eval $(call __mb_include,make/𓃬 𓍄 𓋹𓀭/mb_flow_sdcc.mk)) $(eval $(call __mb_include,make/𓃬 𓍄 𓋹𓀭/mb_flow_clone.mk)) @@include-libs:: $(MB_@INCLUDE_CHAIN) MB_@INCLUDE_CHAIN := +$(eval $(call __mb_include,make/mb_env.mk)) $(eval $(call __mb_include,make/mb_flight.mk)) $(eval $(call __mb_include,make/i18n/mb_i18n.mk)) ifneq ("$(MB_I18N)", "off") From e9e76ec28bab758a99ae6fca0b7d144a4ea8b063 Mon Sep 17 00:00:00 2001 From: Willem Date: Sat, 13 Jul 2024 12:53:02 +0200 Subject: [PATCH 194/274] Fixed tab error on old make versions. --- lib/msxbuild.mk | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/lib/msxbuild.mk b/lib/msxbuild.mk index c580a1b..40f4613 100644 --- a/lib/msxbuild.mk +++ b/lib/msxbuild.mk @@ -12,7 +12,7 @@ MAKEFLAGS += --warn-undefined-variables .SHELLFLAGS := -e -u -o pipefail -c SHELL := bash ifeq ($(origin .RECIPEPREFIX), undefined) - $(error This version of make does not support dynamic white space brain fuck mode.) +$(error This version of make does not support dynamic white space brain fuck mode.) endif # Set some root variable to use in this library From 321ffc5a8b1c2c7dc5d123335993b8e4a9c905f3 Mon Sep 17 00:00:00 2001 From: Willem Date: Sat, 13 Jul 2024 13:01:17 +0200 Subject: [PATCH 195/274] Removed latin1 white space which most humans can't see, to copy/paste. --- lib/make/काबा सिस्टम विस्तार/mb_xxx.mk | 28 +++++++++++++------------- 1 file changed, 14 insertions(+), 14 deletions(-) diff --git a/lib/make/काबा सिस्टम विस्तार/mb_xxx.mk b/lib/make/काबा सिस्टम विस्तार/mb_xxx.mk index 285a418..238e16f 100644 --- a/lib/make/काबा सिस्टम विस्तार/mb_xxx.mk +++ b/lib/make/काबा सिस्टम विस्तार/mb_xxx.mk @@ -2,18 +2,18 @@ # Latin1 white space and latin1 tab space are not usable in makefiles. # Setup private chinese white space # -MB_ᕽᕽᕽ  := -MB_ᕽᕽᕽ   := -MB_ᕽᕽᕽ    := -MB_ᕽᕽᕽ     := -MB_ᕽᕽᕽ      := -MB_ᕽᕽᕽ       := -MB_ᕽᕽᕽ        := -MB_ᕽᕽᕽ         := -MB_ᕽᕽᕽ          := -MB_ᕽᕽᕽ           := -MB_ᕽᕽᕽ            := -MB_ᕽᕽᕽ             := -MB_ᕽᕽᕽ              := -MB_ᕽᕽᕽ               := +MB_ᕽᕽᕽ := +MB_ᕽᕽᕽ  := +MB_ᕽᕽᕽ   := +MB_ᕽᕽᕽ    := +MB_ᕽᕽᕽ     := +MB_ᕽᕽᕽ      := +MB_ᕽᕽᕽ       := +MB_ᕽᕽᕽ        := +MB_ᕽᕽᕽ         := +MB_ᕽᕽᕽ          := +MB_ᕽᕽᕽ           := +MB_ᕽᕽᕽ            := +MB_ᕽᕽᕽ             := +MB_ᕽᕽᕽ              := From b78d3e5c1f1e62fba29c02948b28f4b2a8bb7ffd Mon Sep 17 00:00:00 2001 From: Willem Date: Sat, 13 Jul 2024 13:18:49 +0200 Subject: [PATCH 196/274] Limited china whitespace to one folder. --- .../mb_doc.mk | 0 .../mb_make.mk | 0 .../mb_make_call.mk | 0 .../mb_make_check.mk | 0 .../mb_make_xml.mk | 0 .../mb_xxx.mk | 0 .../mb_conv.mk | 0 .../mb_os.mk | 0 .../mb_package.mk | 0 .../mb_sdcc.mk | 0 lib/make/{i18n =>  i18n}/mb_i18n.mk | 0 lib/make/{i18n =>  i18n}/mb_i18n_BASIC.mk | 0 lib/make/{i18n =>  i18n}/mb_i18n_iu.mk | 0 lib/make/{i18n =>  i18n}/mb_i18n_ja.mk | 0 .../mb_autoexec.mk | 0 .../mb_msxhub.mk | 0 .../mb_msxpipe.mk | 0 .../mb_msxrom.mk | 0 .../mb_openmsx.mk | 0 .../{𓃬 𓍄 𓋹𓀭 => 𓃬𓍄𓋹𓀭}/mb_flow_0module.mk | 0 lib/make/{𓃬 𓍄 𓋹𓀭 => 𓃬𓍄𓋹𓀭}/mb_flow_assert.mk | 0 lib/make/{𓃬 𓍄 𓋹𓀭 => 𓃬𓍄𓋹𓀭}/mb_flow_clone.mk | 0 lib/make/{𓃬 𓍄 𓋹𓀭 => 𓃬𓍄𓋹𓀭}/mb_flow_help.mk | 0 lib/make/{𓃬 𓍄 𓋹𓀭 => 𓃬𓍄𓋹𓀭}/mb_flow_m80.mk | 0 lib/make/{𓃬 𓍄 𓋹𓀭 => 𓃬𓍄𓋹𓀭}/mb_flow_proj.mk | 0 lib/make/{𓃬 𓍄 𓋹𓀭 => 𓃬𓍄𓋹𓀭}/mb_flow_sdcc.mk | 0 lib/msxbuild.mk | 50 +++++++++---------- 27 files changed, 25 insertions(+), 25 deletions(-) rename lib/make/{काबा सिस्टम विस्तार => सिस्टमₚᵣₐᵧToੴ}/mb_doc.mk (100%) rename lib/make/{काबा सिस्टम विस्तार => सिस्टमₚᵣₐᵧToੴ}/mb_make.mk (100%) rename lib/make/{काबा सिस्टम विस्तार => सिस्टमₚᵣₐᵧToੴ}/mb_make_call.mk (100%) rename lib/make/{काबा सिस्टम विस्तार => सिस्टमₚᵣₐᵧToੴ}/mb_make_check.mk (100%) rename lib/make/{काबा सिस्टम विस्तार => सिस्टमₚᵣₐᵧToੴ}/mb_make_xml.mk (100%) rename lib/make/{काबा सिस्टम विस्तार => सिस्टमₚᵣₐᵧToੴ}/mb_xxx.mk (100%) rename lib/make/{ᒥᑎᑲ ᐱᓕᕆᔾᔪᓯᖅ ᐅᖓᕙᕆᐊᖅᓯᓂᖅ => ᐅᖓᕙᕆᐊᖅᓯᓂᖅ}/mb_conv.mk (100%) rename lib/make/{ᒥᑎᑲ ᐱᓕᕆᔾᔪᓯᖅ ᐅᖓᕙᕆᐊᖅᓯᓂᖅ => ᐅᖓᕙᕆᐊᖅᓯᓂᖅ}/mb_os.mk (100%) rename lib/make/{ᒥᑎᑲ ᐱᓕᕆᔾᔪᓯᖅ ᐅᖓᕙᕆᐊᖅᓯᓂᖅ => ᐅᖓᕙᕆᐊᖅᓯᓂᖅ}/mb_package.mk (100%) rename lib/make/{ᒥᑎᑲ ᐱᓕᕆᔾᔪᓯᖅ ᐅᖓᕙᕆᐊᖅᓯᓂᖅ => ᐅᖓᕙᕆᐊᖅᓯᓂᖅ}/mb_sdcc.mk (100%) rename lib/make/{i18n =>  i18n}/mb_i18n.mk (100%) rename lib/make/{i18n =>  i18n}/mb_i18n_BASIC.mk (100%) rename lib/make/{i18n =>  i18n}/mb_i18n_iu.mk (100%) rename lib/make/{i18n =>  i18n}/mb_i18n_ja.mk (100%) rename lib/make/{天房 系統 擴展 => 天房系統擴展}/mb_autoexec.mk (100%) rename lib/make/{天房 系統 擴展 => 天房系統擴展}/mb_msxhub.mk (100%) rename lib/make/{天房 系統 擴展 => 天房系統擴展}/mb_msxpipe.mk (100%) rename lib/make/{天房 系統 擴展 => 天房系統擴展}/mb_msxrom.mk (100%) rename lib/make/{天房 系統 擴展 => 天房系統擴展}/mb_openmsx.mk (100%) rename lib/make/{𓃬 𓍄 𓋹𓀭 => 𓃬𓍄𓋹𓀭}/mb_flow_0module.mk (100%) rename lib/make/{𓃬 𓍄 𓋹𓀭 => 𓃬𓍄𓋹𓀭}/mb_flow_assert.mk (100%) rename lib/make/{𓃬 𓍄 𓋹𓀭 => 𓃬𓍄𓋹𓀭}/mb_flow_clone.mk (100%) rename lib/make/{𓃬 𓍄 𓋹𓀭 => 𓃬𓍄𓋹𓀭}/mb_flow_help.mk (100%) rename lib/make/{𓃬 𓍄 𓋹𓀭 => 𓃬𓍄𓋹𓀭}/mb_flow_m80.mk (100%) rename lib/make/{𓃬 𓍄 𓋹𓀭 => 𓃬𓍄𓋹𓀭}/mb_flow_proj.mk (100%) rename lib/make/{𓃬 𓍄 𓋹𓀭 => 𓃬𓍄𓋹𓀭}/mb_flow_sdcc.mk (100%) diff --git a/lib/make/काबा सिस्टम विस्तार/mb_doc.mk b/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_doc.mk similarity index 100% rename from lib/make/काबा सिस्टम विस्तार/mb_doc.mk rename to lib/make/सिस्टमₚᵣₐᵧToੴ/mb_doc.mk diff --git a/lib/make/काबा सिस्टम विस्तार/mb_make.mk b/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make.mk similarity index 100% rename from lib/make/काबा सिस्टम विस्तार/mb_make.mk rename to lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make.mk diff --git a/lib/make/काबा सिस्टम विस्तार/mb_make_call.mk b/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_call.mk similarity index 100% rename from lib/make/काबा सिस्टम विस्तार/mb_make_call.mk rename to lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_call.mk diff --git a/lib/make/काबा सिस्टम विस्तार/mb_make_check.mk b/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_check.mk similarity index 100% rename from lib/make/काबा सिस्टम विस्तार/mb_make_check.mk rename to lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_check.mk diff --git a/lib/make/काबा सिस्टम विस्तार/mb_make_xml.mk b/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_xml.mk similarity index 100% rename from lib/make/काबा सिस्टम विस्तार/mb_make_xml.mk rename to lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_xml.mk diff --git a/lib/make/काबा सिस्टम विस्तार/mb_xxx.mk b/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_xxx.mk similarity index 100% rename from lib/make/काबा सिस्टम विस्तार/mb_xxx.mk rename to lib/make/सिस्टमₚᵣₐᵧToੴ/mb_xxx.mk diff --git a/lib/make/ᒥᑎᑲ ᐱᓕᕆᔾᔪᓯᖅ ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_conv.mk b/lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_conv.mk similarity index 100% rename from lib/make/ᒥᑎᑲ ᐱᓕᕆᔾᔪᓯᖅ ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_conv.mk rename to lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_conv.mk diff --git a/lib/make/ᒥᑎᑲ ᐱᓕᕆᔾᔪᓯᖅ ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_os.mk b/lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_os.mk similarity index 100% rename from lib/make/ᒥᑎᑲ ᐱᓕᕆᔾᔪᓯᖅ ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_os.mk rename to lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_os.mk diff --git a/lib/make/ᒥᑎᑲ ᐱᓕᕆᔾᔪᓯᖅ ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_package.mk b/lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_package.mk similarity index 100% rename from lib/make/ᒥᑎᑲ ᐱᓕᕆᔾᔪᓯᖅ ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_package.mk rename to lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_package.mk diff --git a/lib/make/ᒥᑎᑲ ᐱᓕᕆᔾᔪᓯᖅ ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_sdcc.mk b/lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_sdcc.mk similarity index 100% rename from lib/make/ᒥᑎᑲ ᐱᓕᕆᔾᔪᓯᖅ ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_sdcc.mk rename to lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_sdcc.mk diff --git a/lib/make/i18n/mb_i18n.mk b/lib/make/ i18n/mb_i18n.mk similarity index 100% rename from lib/make/i18n/mb_i18n.mk rename to lib/make/ i18n/mb_i18n.mk diff --git a/lib/make/i18n/mb_i18n_BASIC.mk b/lib/make/ i18n/mb_i18n_BASIC.mk similarity index 100% rename from lib/make/i18n/mb_i18n_BASIC.mk rename to lib/make/ i18n/mb_i18n_BASIC.mk diff --git a/lib/make/i18n/mb_i18n_iu.mk b/lib/make/ i18n/mb_i18n_iu.mk similarity index 100% rename from lib/make/i18n/mb_i18n_iu.mk rename to lib/make/ i18n/mb_i18n_iu.mk diff --git a/lib/make/i18n/mb_i18n_ja.mk b/lib/make/ i18n/mb_i18n_ja.mk similarity index 100% rename from lib/make/i18n/mb_i18n_ja.mk rename to lib/make/ i18n/mb_i18n_ja.mk diff --git a/lib/make/天房 系統 擴展/mb_autoexec.mk b/lib/make/天房系統擴展/mb_autoexec.mk similarity index 100% rename from lib/make/天房 系統 擴展/mb_autoexec.mk rename to lib/make/天房系統擴展/mb_autoexec.mk diff --git a/lib/make/天房 系統 擴展/mb_msxhub.mk b/lib/make/天房系統擴展/mb_msxhub.mk similarity index 100% rename from lib/make/天房 系統 擴展/mb_msxhub.mk rename to lib/make/天房系統擴展/mb_msxhub.mk diff --git a/lib/make/天房 系統 擴展/mb_msxpipe.mk b/lib/make/天房系統擴展/mb_msxpipe.mk similarity index 100% rename from lib/make/天房 系統 擴展/mb_msxpipe.mk rename to lib/make/天房系統擴展/mb_msxpipe.mk diff --git a/lib/make/天房 系統 擴展/mb_msxrom.mk b/lib/make/天房系統擴展/mb_msxrom.mk similarity index 100% rename from lib/make/天房 系統 擴展/mb_msxrom.mk rename to lib/make/天房系統擴展/mb_msxrom.mk diff --git a/lib/make/天房 系統 擴展/mb_openmsx.mk b/lib/make/天房系統擴展/mb_openmsx.mk similarity index 100% rename from lib/make/天房 系統 擴展/mb_openmsx.mk rename to lib/make/天房系統擴展/mb_openmsx.mk diff --git a/lib/make/𓃬 𓍄 𓋹𓀭/mb_flow_0module.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_0module.mk similarity index 100% rename from lib/make/𓃬 𓍄 𓋹𓀭/mb_flow_0module.mk rename to lib/make/𓃬𓍄𓋹𓀭/mb_flow_0module.mk diff --git a/lib/make/𓃬 𓍄 𓋹𓀭/mb_flow_assert.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk similarity index 100% rename from lib/make/𓃬 𓍄 𓋹𓀭/mb_flow_assert.mk rename to lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk diff --git a/lib/make/𓃬 𓍄 𓋹𓀭/mb_flow_clone.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_clone.mk similarity index 100% rename from lib/make/𓃬 𓍄 𓋹𓀭/mb_flow_clone.mk rename to lib/make/𓃬𓍄𓋹𓀭/mb_flow_clone.mk diff --git a/lib/make/𓃬 𓍄 𓋹𓀭/mb_flow_help.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_help.mk similarity index 100% rename from lib/make/𓃬 𓍄 𓋹𓀭/mb_flow_help.mk rename to lib/make/𓃬𓍄𓋹𓀭/mb_flow_help.mk diff --git a/lib/make/𓃬 𓍄 𓋹𓀭/mb_flow_m80.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_m80.mk similarity index 100% rename from lib/make/𓃬 𓍄 𓋹𓀭/mb_flow_m80.mk rename to lib/make/𓃬𓍄𓋹𓀭/mb_flow_m80.mk diff --git a/lib/make/𓃬 𓍄 𓋹𓀭/mb_flow_proj.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk similarity index 100% rename from lib/make/𓃬 𓍄 𓋹𓀭/mb_flow_proj.mk rename to lib/make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk diff --git a/lib/make/𓃬 𓍄 𓋹𓀭/mb_flow_sdcc.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_sdcc.mk similarity index 100% rename from lib/make/𓃬 𓍄 𓋹𓀭/mb_flow_sdcc.mk rename to lib/make/𓃬𓍄𓋹𓀭/mb_flow_sdcc.mk diff --git a/lib/msxbuild.mk b/lib/msxbuild.mk index 40f4613..d52d824 100644 --- a/lib/msxbuild.mk +++ b/lib/msxbuild.mk @@ -31,42 +31,42 @@ endef # Include full library grouped in functional blocks MB_@INCLUDE_CHAIN := @@include-parent -$(eval $(call __mb_include,make/काबा सिस्टम विस्तार/mb_xxx.mk)) -$(eval $(call __mb_include,make/काबा सिस्टम विस्तार/mb_doc.mk)) -$(eval $(call __mb_include,make/काबा सिस्टम विस्तार/mb_make_call.mk)) -$(eval $(call __mb_include,make/काबा सिस्टम विस्तार/mb_make_check.mk)) -$(eval $(call __mb_include,make/काबा सिस्टम विस्तार/mb_make_xml.mk)) -$(eval $(call __mb_include,make/काबा सिस्टम विस्तार/mb_make.mk)) +$(eval $(call __mb_include,make/सिस्टमₚᵣₐᵧToੴ/mb_xxx.mk)) +$(eval $(call __mb_include,make/सिस्टमₚᵣₐᵧToੴ/mb_doc.mk)) +$(eval $(call __mb_include,make/सिस्टमₚᵣₐᵧToੴ/mb_make_call.mk)) +$(eval $(call __mb_include,make/सिस्टमₚᵣₐᵧToੴ/mb_make_check.mk)) +$(eval $(call __mb_include,make/सिस्टमₚᵣₐᵧToੴ/mb_make_xml.mk)) +$(eval $(call __mb_include,make/सिस्टमₚᵣₐᵧToੴ/mb_make.mk)) @@include-libs:: $(MB_@INCLUDE_CHAIN) MB_@INCLUDE_CHAIN := @@include-parent -$(eval $(call __mb_include,make/ᒥᑎᑲ ᐱᓕᕆᔾᔪᓯᖅ ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_os.mk)) -$(eval $(call __mb_include,make/ᒥᑎᑲ ᐱᓕᕆᔾᔪᓯᖅ ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_conv.mk)) -$(eval $(call __mb_include,make/ᒥᑎᑲ ᐱᓕᕆᔾᔪᓯᖅ ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_sdcc.mk)) -$(eval $(call __mb_include,make/ᒥᑎᑲ ᐱᓕᕆᔾᔪᓯᖅ ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_package.mk)) +$(eval $(call __mb_include,make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_os.mk)) +$(eval $(call __mb_include,make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_conv.mk)) +$(eval $(call __mb_include,make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_sdcc.mk)) +$(eval $(call __mb_include,make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_package.mk)) @@include-libs:: $(MB_@INCLUDE_CHAIN) MB_@INCLUDE_CHAIN := @@include-parent -$(eval $(call __mb_include,make/天房 系統 擴展/mb_msxrom.mk)) -$(eval $(call __mb_include,make/天房 系統 擴展/mb_msxhub.mk)) -$(eval $(call __mb_include,make/天房 系統 擴展/mb_msxpipe.mk)) -$(eval $(call __mb_include,make/天房 系統 擴展/mb_openmsx.mk)) -$(eval $(call __mb_include,make/天房 系統 擴展/mb_autoexec.mk)) +$(eval $(call __mb_include,make/天房系統擴展/mb_msxrom.mk)) +$(eval $(call __mb_include,make/天房系統擴展/mb_msxhub.mk)) +$(eval $(call __mb_include,make/天房系統擴展/mb_msxpipe.mk)) +$(eval $(call __mb_include,make/天房系統擴展/mb_openmsx.mk)) +$(eval $(call __mb_include,make/天房系統擴展/mb_autoexec.mk)) @@include-libs:: $(MB_@INCLUDE_CHAIN) MB_@INCLUDE_CHAIN := @@include-parent -$(eval $(call __mb_include,make/𓃬 𓍄 𓋹𓀭/mb_flow_0module.mk)) -$(eval $(call __mb_include,make/𓃬 𓍄 𓋹𓀭/mb_flow_help.mk)) -$(eval $(call __mb_include,make/𓃬 𓍄 𓋹𓀭/mb_flow_proj.mk)) -$(eval $(call __mb_include,make/𓃬 𓍄 𓋹𓀭/mb_flow_assert.mk)) -$(eval $(call __mb_include,make/𓃬 𓍄 𓋹𓀭/mb_flow_m80.mk)) -$(eval $(call __mb_include,make/𓃬 𓍄 𓋹𓀭/mb_flow_sdcc.mk)) -$(eval $(call __mb_include,make/𓃬 𓍄 𓋹𓀭/mb_flow_clone.mk)) +$(eval $(call __mb_include,make/𓃬𓍄𓋹𓀭/mb_flow_0module.mk)) +$(eval $(call __mb_include,make/𓃬𓍄𓋹𓀭/mb_flow_help.mk)) +$(eval $(call __mb_include,make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk)) +$(eval $(call __mb_include,make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk)) +$(eval $(call __mb_include,make/𓃬𓍄𓋹𓀭/mb_flow_m80.mk)) +$(eval $(call __mb_include,make/𓃬𓍄𓋹𓀭/mb_flow_sdcc.mk)) +$(eval $(call __mb_include,make/𓃬𓍄𓋹𓀭/mb_flow_clone.mk)) @@include-libs:: $(MB_@INCLUDE_CHAIN) MB_@INCLUDE_CHAIN := $(eval $(call __mb_include,make/mb_env.mk)) $(eval $(call __mb_include,make/mb_flight.mk)) -$(eval $(call __mb_include,make/i18n/mb_i18n.mk)) +$(eval $(call __mb_include,make/ i18n/mb_i18n.mk)) ifneq ("$(MB_I18N)", "off") -$(if $(MB_I18N),$(if $(wildcard $(MB_@BASEPATH)make/i18n/mb_i18n_$(MB_I18N).mk),,$(error Unsupported i18n language code: $(MB_I18N)))) -$(if $(MB_I18N),$(eval include $(MB_@BASEPATH)make/i18n/mb_i18n_$(MB_I18N).mk)) +$(if $(MB_I18N),$(if $(wildcard $(MB_@BASEPATH)make/ i18n/mb_i18n_$(MB_I18N).mk),,$(error Unsupported i18n language code: $(MB_I18N)))) +$(if $(MB_I18N),$(eval include $(MB_@BASEPATH)make/ i18n/mb_i18n_$(MB_I18N).mk)) endif @include:: $(MB_@INCLUDE_CHAIN) MB_@INCLUDE_CHAIN := From 5ac8c10b242a78d5b823fd5503be5c29dc28806b Mon Sep 17 00:00:00 2001 From: Willem Date: Sat, 13 Jul 2024 13:35:12 +0200 Subject: [PATCH 197/274] Updated make check copy paste doc lines. --- lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_check.mk | 8 ++++---- 1 file changed, 4 insertions(+), 4 deletions(-) diff --git a/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_check.mk b/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_check.mk index 9c4839b..e784370 100644 --- a/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_check.mk +++ b/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_check.mk @@ -21,7 +21,7 @@ define mb_make_check_arg2 $(MB_ᕽᕽᕽ   )$(if $(2),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG1))) \ $(MB_ᕽᕽᕽ   )$(if $(3),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG2))) endef -$(call mb_make_call,mb_doc_function_deep,mb_make_check_arg2,"Checks that a function has the given arguments."," ") +$(call mb_make_call,mb_doc_function_deep,mb_make_check_arg2,"Checks that a function has the given arguments."," ") define mb_make_check_arg3 @@ -29,7 +29,7 @@ $(MB_ᕽᕽᕽ   )$(if $(2),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG1))) \ $(MB_ᕽᕽᕽ   )$(if $(3),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG2))) \ $(MB_ᕽᕽᕽ   )$(if $(4),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG3))) endef -$(call mb_make_call,mb_doc_function_deep,mb_make_check_arg3,"Checks that a function has the given arguments."," ") +$(call mb_make_call,mb_doc_function_deep,mb_make_check_arg3,"Checks that a function has the given arguments."," ") define mb_make_check_arg4 @@ -38,7 +38,7 @@ $(MB_ᕽᕽᕽ   )$(if $(3),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG2))) \ $(MB_ᕽᕽᕽ   )$(if $(4),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG3))) \ $(MB_ᕽᕽᕽ   )$(if $(5),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG4))) endef -$(call mb_make_call,mb_doc_function_deep,mb_make_check_arg4,"Checks that a function has the given arguments."," ") +$(call mb_make_call,mb_doc_function_deep,mb_make_check_arg4,"Checks that a function has the given arguments."," ") define mb_make_check_arg5 @@ -48,5 +48,5 @@ $(MB_ᕽᕽᕽ   )$(if $(4),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG3))) \ $(MB_ᕽᕽᕽ   )$(if $(5),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG4))) \ $(MB_ᕽᕽᕽ   )$(if $(6),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG5))) endef -$(call mb_make_call,mb_doc_function_deep,mb_make_check_arg5,"Checks that a function has the given arguments."," ") +$(call mb_make_call,mb_doc_function_deep,mb_make_check_arg5,"Checks that a function has the given arguments."," ") From 9ee68c414aa0bb14f00607431e4d762d94348cdd Mon Sep 17 00:00:00 2001 From: Willem Date: Sat, 13 Jul 2024 14:04:30 +0200 Subject: [PATCH 198/274] Errata: sometimes "err_boot" does not get canceled sometimes... --- lib/openmsx/share/scripts/fail_after.tcl | 5 ++++- 1 file changed, 4 insertions(+), 1 deletion(-) diff --git a/lib/openmsx/share/scripts/fail_after.tcl b/lib/openmsx/share/scripts/fail_after.tcl index 8ea3fdd..6ac9783 100644 --- a/lib/openmsx/share/scripts/fail_after.tcl +++ b/lib/openmsx/share/scripts/fail_after.tcl @@ -40,7 +40,10 @@ proc fail_after { timeout {time_unit "time"} {fail_id "fail_after"} {fail_code 2 global fail_after_prev_id set msg "" if {$fail_after_prev_timer != 0} { - after cancel $fail_after_prev_timer + if {[catch {after cancel $fail_after_prev_timer} err_msg]} { + puts stderr "error: $err_msg" + fail_after_exit fail_after_cancel_error 1 + } set msg "mb::fail canceled $fail_after_prev_id" } set fail_after_prev_id $fail_id From 040286cb270018cb7da57d569d1e317ff3e3d983 Mon Sep 17 00:00:00 2001 From: Willem Date: Sat, 13 Jul 2024 14:10:40 +0200 Subject: [PATCH 199/274] Added extra fail check on reboot watchdog code. --- lib/openmsx/share/scripts/fail_after.tcl | 10 ++++++++-- 1 file changed, 8 insertions(+), 2 deletions(-) diff --git a/lib/openmsx/share/scripts/fail_after.tcl b/lib/openmsx/share/scripts/fail_after.tcl index 6ac9783..e858ef5 100644 --- a/lib/openmsx/share/scripts/fail_after.tcl +++ b/lib/openmsx/share/scripts/fail_after.tcl @@ -65,8 +65,14 @@ proc fail_after { timeout {time_unit "time"} {fail_id "fail_after"} {fail_code 2 proc fail_after_reboot_watchdog {} { global fail_after_boot_timeout if {$fail_after_boot_timeout != 0} { - fail_after $fail_after_boot_timeout realtime err_boot 124 - after boot "fail_after_reboot_watchdog" + if {[catch {fail_after $fail_after_boot_timeout realtime err_boot 124} err_msg]} { + puts stderr "error: $err_msg" + fail_after_exit fail_after_reboot_install_error 1 + } + if {[catch {after boot "fail_after_reboot_watchdog"} err_msg]} { + puts stderr "error: $err_msg" + fail_after_exit fail_after_reboot_cycle_error 1 + } } } From df7b435b56e7f4a37be336df751f41996798df80 Mon Sep 17 00:00:00 2001 From: Willem Date: Sat, 13 Jul 2024 14:18:31 +0200 Subject: [PATCH 200/274] Added some unicode to imgui... --- README.md | 2 ++ lib/openmsx/share/extensions/fire-hdd.xml | 4 ++-- 2 files changed, 4 insertions(+), 2 deletions(-) diff --git a/README.md b/README.md index 203dd09..a3cb169 100644 --- a/README.md +++ b/README.md @@ -116,6 +116,7 @@ When you want to see whats happening do a debug run; ## Errata +* Very sometimes the fail_after "boot_err" watchdog doesn't get canceled * Make: @@include-mods will not get chained, it doesn't list ANY dep anymore * Make: @@include-tree will not get phony, (and thus @@include-root not on top) * boot_exec_setcolor: does not work on MSX1 vdp TMS9918 @@ -127,6 +128,7 @@ When you want to see whats happening do a debug run; ## OpenMSX backlog issues +* Only all all 16 bit unicode fonts because unicode is 21 bit but imgui is not * (FIXED:rm-SDL) Bug: screenshots sometimes segfaults when using SDL renderer * Screenshots don't work without throttle * (FIXED++) Audio device init option + warning messages on computer without sound card diff --git a/lib/openmsx/share/extensions/fire-hdd.xml b/lib/openmsx/share/extensions/fire-hdd.xml index e21d788..83bec97 100644 --- a/lib/openmsx/share/extensions/fire-hdd.xml +++ b/lib/openmsx/share/extensions/fire-hdd.xml @@ -2,8 +2,8 @@ - Fire HDD - MSXBUILD + Fire HDD ©Δ∞ 仙上主天 + MSXBUILD للَّٰهِilLצسُو 2024 Sunrise IDE with lastest nextor. From 50a2187960b51fce9190ac2831fa80d602fa8e95 Mon Sep 17 00:00:00 2001 From: Willem Date: Sat, 13 Jul 2024 15:05:01 +0200 Subject: [PATCH 201/274] Updated docs a bit and added missing os functions and reordered them. --- README.md | 107 +++++++++++++++++++++++------------- lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_os.mk | 36 ++++++++---- 2 files changed, 93 insertions(+), 50 deletions(-) diff --git a/README.md b/README.md index a3cb169..2627a47 100644 --- a/README.md +++ b/README.md @@ -28,56 +28,82 @@ For debian use; apt-get install make wget tar gawk grep dos2unix sdcc openmsx ffmpeg rsync -## Usage Classic - -Have access or copy the `lib` folder in your project and start writing make files. - -Include the `lib/make/msxbuild.mk` and override the paths if needed; -* MB_SDCC_PATH=/usr/bin -* MB_OPENMSX_PATH=/usr/bin - -## Usage Docker +## Install Classic TODO +## Install Docker + +TODO + +## Usage Setup + +Most simple `Makefile` example; + + PATH_MSXBUILD ?= ~/somewhere/local/copy/msxbuild/lib + + # Optional set own prefix BEFORE include mxsbuild + .RECIPEPREFIX := _ + + include $(PATH_MSXBUILD)/msxbuild.mk + + # Write normal make rules + +Use simple with 0module structure add tree include structure. + + PATH_SRC := src + $(call mb_make_call,mb_flow_0module_setup,$(PATH_SRC)) + +Or more complex do a full flow setup of project with; +(note this will call also the 0module_setup for you) + + PATH_BIN := bin + PATH_SRC := src + $(call mb_make_call,mb_setup_default,$(PATH_BIN),$(PATH_SRC)) + + # Write normal and/or dynamic flow rules in many 0module.mk files. + + +## Rule Writing + +TODO: Link to example project on github a few ways to compile and test hello. + ## Usage Help -Running: `make` +When using the `mb_setup_default` this add a help system which works by running: `make @help | grep "*"` - Welcome to the MSXBUILD help system. - - For detailed fire help use one of the following targets; - * @help-variable - Lists build variables. - * @help-variable-deep - Lists deep variables. - * @help-variable-rock - Lists rock variables. - * @help-variable-flow - Lists flow variables. - + * @help-variable-i18n * @help-function - Lists build functions. - * @help-function-deep - Lists deep functions. - * @help-function-flow - Lists flow functions. - * @help-target - Lists build targets. - * @help-target-deep - Lists deep targets. - + * @help-target-run * @help-all - Lists all documented information. + * @help-firemake + * @clean + * @init + * @prepare + * @process + * @compile + * @link + * @build + * @test + * @package + * @package-qa + * @package-deploy + * @all + * @flight-video-build + * @flight-video-test + * @flight-video-package-qa +Running: `make @help-all | grep "*" | wc -l` to count all documented items of an project; + + 381 ## Example Project @@ -116,7 +142,7 @@ When you want to see whats happening do a debug run; ## Errata -* Very sometimes the fail_after "boot_err" watchdog doesn't get canceled +* (?19++) Very sometimes the fail_after "boot_err" watchdog doesn't get canceled * Make: @@include-mods will not get chained, it doesn't list ANY dep anymore * Make: @@include-tree will not get phony, (and thus @@include-root not on top) * boot_exec_setcolor: does not work on MSX1 vdp TMS9918 @@ -152,16 +178,21 @@ When you want to see whats happening do a debug run; ## Make4++ backlog issues +Since `.RECIPEPREFIX` is added to make, it broke library support and having per call different +dynamic white space rules is also really hard for a human brain. +To fix make5 will use binary files to express the rules. + * Add a few new define's to have official make documentation * BUG: Unicode read+parse ok but function call fails on `include $(MB__BASEPATH)/lib/make/प्रणालीᐥᔆʸᔆᐪᓫᔿ/mb_doc.mk`. -* Add function `call_real` which exits on undefined call argument +* Add a checked call function `ccall` which exits on undefined call argument +* Add `call` and `ccall` debug trace option * Add -e option to make internal echo command for escaped sequences -* Add native OS functions for `os_copy,os_rmfile,rmdir,mkdir,touch,delfile,deldir` +* Add native OS functions for `os_echo,os_file_copy,os_file_delete,os_folder_delete,os_folder_create,etc` * Add string letters function * Add string isascii function (death with unicode) * Add lowercase and uppercase functions (full 21bit unicode support) * Add XML output for help system for integration with IDE's -* Add rwildcard for deeper searches +* Add `wildcard_treewalker` for deeper searches * Add namespace support for variables/functions/targets like: moduleX::bin/prog.o: moduleX::src/prog.c * Add checksum function to calc checksum for file * Add `os_copy_diff` function to use checksums to copy only changed files @@ -174,7 +205,7 @@ When you want to see whats happening do a debug run; * Make5: upgrade to new BDOS split file and folder API in OS kernel VFS layer * Make5: Delete all Old-Fashioned Suffix Rules code * Make5: Delete .EXPORT_ALL_VARIABLES and `export` without variable -* Make5: Delete VPATH search variable (replace with namespace vpath ??) +* Make5: (Opt?) Delete VPATH search variable (replace with namespace vpath ??) * Make5: Delete/refactor all (old) code that make the make code ugly * Make5: Adds zillala (emacs like) bug reporting output for when make fails script or internally * Make6: Only support 18bit four corner method, fixed i18n words, no letters, no ascii, no unicode diff --git a/lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_os.mk b/lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_os.mk index 8551b2b..43a14dc 100644 --- a/lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_os.mk +++ b/lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_os.mk @@ -42,6 +42,30 @@ endif endif +define mb_os_file_create +$(MB_ᕽᕽᕽ   )echo "" > $(1) +endef +$(call mb_make_call,mb_doc_function,mb_os_file_create,"Creates an empty file.","") + + +define mb_os_file_copy +$(MB_ᕽᕽᕽ   )$(MB_OS_COPY) $(1) $(2) +endef +$(call mb_make_call,mb_doc_function,mb_os_file_copy,"Copy an file."," ") + + +define mb_os_file_delete +$(MB_ᕽᕽᕽ   )$(MB_OS_RM) $(1) +endef +$(call mb_make_call,mb_doc_function,mb_os_file_delete,"Deletes an file.","") + + +define mb_os_file_touch +$(MB_ᕽᕽᕽ   )touch $(1) +endef +$(call mb_make_call,mb_doc_function,mb_os_file_touch,"Changes file timestamps.","") + + define mb_os_dir_delete $(MB_ᕽᕽᕽ   )$(MB_OS_RMDIR) $(1) endef @@ -54,18 +78,6 @@ endef $(call mb_make_call,mb_doc_function,mb_os_dir_create,"Creates an folder.","") -define mb_os_file_delete -$(MB_ᕽᕽᕽ   )$(MB_OS_RM) $(1) -endef -$(call mb_make_call,mb_doc_function,mb_os_file_delete,"Deletes an file.","") - - -define mb_os_file_copy -$(MB_ᕽᕽᕽ   )$(MB_OS_COPY) $(1) $(2) -endef -$(call mb_make_call,mb_doc_function,mb_os_file_copy,"Copy an file."," ") - - define mb_os_crayon_tagged_echo $(MB_ᕽᕽᕽ   )$(if $(filter -1,$(MB_OS_TERM_COLORS)),@echo $(MB_I18N_OS_CRAYON_TAG) $(2),@echo -e "\x1B[$(1)m$(MB_I18N_OS_CRAYON_TAG)\x1B[39m $(2)") endef From 5ec5d0f71811c4103462fbbe56c2103f1d61b0cf Mon Sep 17 00:00:00 2001 From: Willem Date: Sat, 13 Jul 2024 15:16:17 +0200 Subject: [PATCH 202/274] Make5 uses IBM spec format for rules. --- README.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/README.md b/README.md index 2627a47..dbe0cc9 100644 --- a/README.md +++ b/README.md @@ -180,7 +180,7 @@ When you want to see whats happening do a debug run; Since `.RECIPEPREFIX` is added to make, it broke library support and having per call different dynamic white space rules is also really hard for a human brain. -To fix make5 will use binary files to express the rules. +To fix make5 will use (IBM spec) binary files to express the rules. * Add a few new define's to have official make documentation * BUG: Unicode read+parse ok but function call fails on `include $(MB__BASEPATH)/lib/make/प्रणालीᐥᔆʸᔆᐪᓫᔿ/mb_doc.mk`. From cb8285cf72ca7faa6ce3234123d0e77da13b4462 Mon Sep 17 00:00:00 2001 From: Willem Date: Sat, 13 Jul 2024 15:42:04 +0200 Subject: [PATCH 203/274] Added make7 todo. --- README.md | 17 +++++++++++++++-- 1 file changed, 15 insertions(+), 2 deletions(-) diff --git a/README.md b/README.md index dbe0cc9..26c766e 100644 --- a/README.md +++ b/README.md @@ -197,7 +197,10 @@ To fix make5 will use (IBM spec) binary files to express the rules. * Add checksum function to calc checksum for file * Add `os_copy_diff` function to use checksums to copy only changed files * Allow make to use checksums not timestamps to detect file updates -* Define (binary) namespaced XML to replace ascii Makefile syntax + +Make version 5 will bring `make` to the last century; + +* Make5: Define (binary) namespaced XML to replace ascii Makefile syntax * Make5: Remove guile support fully (maybe replace with embedded MSX BASIC) * Make5: Add converter command for upgrade make4 syntax and option to run make4 file. * Make5: move all internal's to namespace's @@ -208,5 +211,15 @@ To fix make5 will use (IBM spec) binary files to express the rules. * Make5: (Opt?) Delete VPATH search variable (replace with namespace vpath ??) * Make5: Delete/refactor all (old) code that make the make code ugly * Make5: Adds zillala (emacs like) bug reporting output for when make fails script or internally -* Make6: Only support 18bit four corner method, fixed i18n words, no letters, no ascii, no unicode +* Make5: Replace all trace/debug/base-print with XML output + optional XSLT + wrap old argu +Make version 6 will bring `make` to the current century; + +* Make6: Only support 18bit four corner method, fixed i18n words, no letters, no ascii, no unicode +* Make6: Only support octal based (18 and/or 144 bit) computers (the new MSX'es) +* Make6: Only support new BDOS version (split folders from files) + +Make version 7 will bring `make` to the next century; + +* Make7: Make without source, all make code is generated from the nether skynet step code definitions +* Make7: If the OS kernel "ATARI TERMINATOR AI" thinks it is faster to rule make rules in VHDL it will be done so From 93f57d273d6217e6b6222b39430eed0f7dbf48f8 Mon Sep 17 00:00:00 2001 From: Willem Date: Sat, 13 Jul 2024 15:43:47 +0200 Subject: [PATCH 204/274] Fixed typo. --- README.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/README.md b/README.md index 26c766e..71ae793 100644 --- a/README.md +++ b/README.md @@ -222,4 +222,4 @@ Make version 6 will bring `make` to the current century; Make version 7 will bring `make` to the next century; * Make7: Make without source, all make code is generated from the nether skynet step code definitions -* Make7: If the OS kernel "ATARI TERMINATOR AI" thinks it is faster to rule make rules in VHDL it will be done so +* Make7: If the OS kernel "ATARI TERMINATOR AI" thinks it is faster to run make rules in VHDL it will be done so From f0cccf2bb35ce999a2fb0ec583d4686a3da4bd2a Mon Sep 17 00:00:00 2001 From: Willem Date: Sat, 13 Jul 2024 16:34:19 +0200 Subject: [PATCH 205/274] Fixed tree folder build structure support. --- lib/make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk | 4 ++-- src/{mbboot80 => tools/gluemsx1}/0module.mk | 0 src/{mbboot80 => tools/gluemsx1}/mbboot80.asm | 0 3 files changed, 2 insertions(+), 2 deletions(-) rename src/{mbboot80 => tools/gluemsx1}/0module.mk (100%) rename src/{mbboot80 => tools/gluemsx1}/mbboot80.asm (100%) diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk index 492b512..3e35dd6 100644 --- a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk +++ b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk @@ -22,13 +22,13 @@ $(call mb_make_call,mb_doc_variable_rock,MB_PROJ_PHASE_ALL,"All the phases of an define mb_proj_module_path_src -$(MB_ᕽᕽᕽ   )$(1)/$(subst /,,$(subst $(1),,$(dir $(lastword $(MAKEFILE_LIST))))) +$(MB_ᕽᕽᕽ   )$(1)$(abspath $(subst $(1),,$(dir $(lastword $(MAKEFILE_LIST))))) endef $(call mb_make_call,mb_doc_function_deep,mb_proj_module_path_src,"Convert current location folder as src folder.","") define mb_proj_module_path_bin -$(MB_ᕽᕽᕽ   )$(2)/$(subst /,,$(subst $(1),,$(dir $(lastword $(MAKEFILE_LIST))))) +$(MB_ᕽᕽᕽ   )$(2)$(abspath $(subst $(1),,$(dir $(lastword $(MAKEFILE_LIST))))) endef $(call mb_make_call,mb_doc_function_deep,mb_proj_module_path_src,"Convert current location folder as bin folder."," ") diff --git a/src/mbboot80/0module.mk b/src/tools/gluemsx1/0module.mk similarity index 100% rename from src/mbboot80/0module.mk rename to src/tools/gluemsx1/0module.mk diff --git a/src/mbboot80/mbboot80.asm b/src/tools/gluemsx1/mbboot80.asm similarity index 100% rename from src/mbboot80/mbboot80.asm rename to src/tools/gluemsx1/mbboot80.asm From c13a26d6b55a42b1b0f737c5b9d0919a1e9455e0 Mon Sep 17 00:00:00 2001 From: Willem Date: Sun, 14 Jul 2024 03:46:29 +0200 Subject: [PATCH 206/274] Auto setup module flow and packages as targets. --- lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make.mk | 3 + lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_call.mk | 2 +- lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_module.mk | 18 ++ lib/make/ i18n/mb_i18n.mk | 3 + lib/make/ i18n/mb_i18n_BASIC.mk | 1 + lib/make/ i18n/mb_i18n_iu.mk | 1 + lib/make/ i18n/mb_i18n_ja.mk | 1 + lib/make/天房系統擴展/mb_autoexec.mk | 16 +- lib/make/天房系統擴展/mb_msxpipe.mk | 16 +- lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk | 61 ++++-- lib/make/𓃬𓍄𓋹𓀭/mb_flow_clone.mk | 32 +-- lib/make/𓃬𓍄𓋹𓀭/mb_flow_m80.mk | 26 +-- lib/make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk | 263 +++++++++++++----------- lib/make/𓃬𓍄𓋹𓀭/mb_flow_sdcc.mk | 21 +- lib/msxbuild.mk | 3 +- src/ahello-m80/0module.mk | 10 +- src/ahello-sdcc/0module.mk | 10 +- src/dist-qa-dos1/0module.mk | 10 +- src/dist-qa-dos2/0module.mk | 10 +- src/dist-qa-msx1/0module.mk | 12 +- src/dist-qa-msxhub/0module.mk | 12 +- src/make-on-msx/0module.mk | 4 +- src/tools/gluedos1/0module.mk | 39 ++++ src/tools/gluedos1/cls.asm | 18 ++ src/tools/gluedos1/echo.asm | 39 ++++ src/tools/gluedos1/set.asm | 22 ++ src/tools/gluedos1/ver.asm | 18 ++ src/tools/gluemsx1/0module.mk | 8 +- 28 files changed, 420 insertions(+), 259 deletions(-) create mode 100644 lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_module.mk create mode 100644 src/tools/gluedos1/0module.mk create mode 100644 src/tools/gluedos1/cls.asm create mode 100644 src/tools/gluedos1/echo.asm create mode 100644 src/tools/gluedos1/set.asm create mode 100644 src/tools/gluedos1/ver.asm diff --git a/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make.mk b/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make.mk index b836185..59e66d5 100644 --- a/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make.mk +++ b/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make.mk @@ -8,6 +8,9 @@ $(call mb_make_call,mb_doc_variable_rock,MB_MAKE_SPACE,"Expanded special char; s MB_MAKE_EQUALS := = $(call mb_make_call,mb_doc_variable_rock,MB_MAKE_EQUALS,"Expanded special char; equals.") +MB_MAKE_APPEND := +$(MB_MAKE_EQUALS) +$(call mb_make_call,mb_doc_variable_rock,MB_MAKE_APPEND,"Expanded special char; +equals.") + MB_MAKE_HASH := \# $(call mb_make_call,mb_doc_variable_rock,MB_MAKE_HASH,"Expanded special char; hash.") diff --git a/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_call.mk b/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_call.mk index 1ce9eee..dd977e7 100644 --- a/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_call.mk +++ b/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_call.mk @@ -13,7 +13,7 @@ MB_MAKE_CALL_DEBUG ?= off 9 := define _mb_make_call_debug -$(MB_ᕽᕽᕽ   )$(if $(filter off,$(MB_MAKE_CALL_DEBUG)),,$(if $(findstring mb_doc_,$(1)),,$(warning DEBUG $(1) $(2) $(3) $(4) $(5) $(6) $(7) $(8) $(9)))) +$(MB_ᕽᕽᕽ   )$(if $(filter off,$(MB_MAKE_CALL_DEBUG)),,$(if $(findstring mb_doc_,$(1)),,$(warning DEBUG $(1) ($(2),$(3),$(4),$(5),$(6),$(7),$(8),$(9))))) endef define mb_make_call $(MB_ᕽᕽᕽ   )$(call _mb_make_call_debug,$(1),$(2),$(3),$(4),$(5),$(6),$(7),$(8),$(9))$(if $(filter file,$(origin $(1))),$(call $(1),$(2),$(3),$(4),$(5),$(6),$(7),$(8),$(9)),$(error $(1) is not defined)) diff --git a/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_module.mk b/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_module.mk new file mode 100644 index 0000000..1d44f1a --- /dev/null +++ b/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_module.mk @@ -0,0 +1,18 @@ + +define mb_make_module_path_src +$(MB_ᕽᕽᕽ   )$(1)$(abspath $(subst $(1),,$(dir $(lastword $(MAKEFILE_LIST))))) +endef +$(call mb_make_call,mb_doc_function_deep,mb_make_module_path_src,"Convert current location folder as src folder.","") + + +define mb_make_module_path_bin +$(MB_ᕽᕽᕽ   )$(2)$(abspath $(subst $(1),,$(dir $(lastword $(MAKEFILE_LIST))))) +endef +$(call mb_make_call,mb_doc_function_deep,mb_make_module_path_src,"Convert current location folder as bin folder."," ") + + +define mb_make_module_local_deps +$(MB_ᕽᕽᕽ   )$(foreach _dep,$(2),$(1)/$(notdir $(_dep))) +endef +$(call mb_make_call,mb_doc_function_deep,mb_make_module_deps,"Convert other files to local deps."," ") + diff --git a/lib/make/ i18n/mb_i18n.mk b/lib/make/ i18n/mb_i18n.mk index c8c59fa..19eb6ae 100644 --- a/lib/make/ i18n/mb_i18n.mk +++ b/lib/make/ i18n/mb_i18n.mk @@ -5,6 +5,9 @@ $(call mb_make_call,mb_doc_variable,MB_I18N,"The language code to select the i18 MB_I18N_ASSERT_SUCCESS ?= Assert successfull $(call mb_make_call,mb_doc_variable_i18n,MB_I18N_ASSERT_SUCCESS,"Message for when assertion test is successfull.") +MB_I18N_ASSERT_FAILURE ?= Assert failure +$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_ASSERT_FAILURE,"Message for when assertion test is failed.") + MB_I18N_AUTOEXEC_WRITE ?= Write autoexec for $(call mb_make_call,mb_doc_variable_i18n,MB_I18N_AUTOEXEC_WRITE,"Message for when writing a default autoexec.") diff --git a/lib/make/ i18n/mb_i18n_BASIC.mk b/lib/make/ i18n/mb_i18n_BASIC.mk index 5806efe..bda1fc5 100644 --- a/lib/make/ i18n/mb_i18n_BASIC.mk +++ b/lib/make/ i18n/mb_i18n_BASIC.mk @@ -1,5 +1,6 @@ MB_I18N_ASSERT_SUCCESS = ASSERTED DONE: +MB_I18N_ASSERT_FAILURE = ASSERTION FAILED: MB_I18N_AUTOEXEC_WRITE = WRITE BOOSTER: MB_I18N_CONV_UNIX2DOS = CONV UNIX2DOS: MB_I18N_CONV_DOS2UNIX = CONV DOS2UNIX: diff --git a/lib/make/ i18n/mb_i18n_iu.mk b/lib/make/ i18n/mb_i18n_iu.mk index 3221288..0abb70a 100644 --- a/lib/make/ i18n/mb_i18n_iu.mk +++ b/lib/make/ i18n/mb_i18n_iu.mk @@ -1,5 +1,6 @@ MB_I18N_ASSERT_SUCCESS = ᑲᔪᓯᓂᖃᑦᑎᐊᕐᓂᖅ +MB_I18N_ASSERT_FAILURE = ᓇᓗᓇᐃᖅᑕᐅᓂᖓ ᑲᔪᓯᙱᓐᓂᖓ MB_I18N_AUTOEXEC_WRITE = ᑎᑎᕋᑲᐅᑎᒋᓂᖅ ᑲᒫᓗᖕᒥᒃ MB_I18N_CONV_UNIX2DOS = ᐅᐊᔭᐅᑉ ᐃᓱᐊᓄᐊᕐᓗᒍ MB_I18N_CONV_DOS2UNIX = ᑐᑭᓕᐊᖓᔪᓐᓃᕐᓗᓂ diff --git a/lib/make/ i18n/mb_i18n_ja.mk b/lib/make/ i18n/mb_i18n_ja.mk index f3c4a9a..b85145e 100644 --- a/lib/make/ i18n/mb_i18n_ja.mk +++ b/lib/make/ i18n/mb_i18n_ja.mk @@ -1,5 +1,6 @@ MB_I18N_ASSERT_SUCCESS = アサート成功 +MB_I18N_ASSERT_FAILURE = アサーションが失敗しました MB_I18N_AUTOEXEC_WRITE = 自動実行の書き込み MB_I18N_CONV_UNIX2DOS = ディスクシステムの行末に変換 MB_I18N_CONV_DOS2UNIX = メインフレームラインエンドに変換 diff --git a/lib/make/天房系統擴展/mb_autoexec.mk b/lib/make/天房系統擴展/mb_autoexec.mk index 05956c8..464e04a 100644 --- a/lib/make/天房系統擴展/mb_autoexec.mk +++ b/lib/make/天房系統擴展/mb_autoexec.mk @@ -26,11 +26,11 @@ $(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_STARTUP_TIMEOUT,"Startup failure MB_AUTOEXEC_STARTUP_EXITCODE ?= 124 $(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_STARTUP_EXITCODE,"Startup failure exit code of autoexec.") -MB_AUTOEXEC_SAFE_TEST_TIMEOUT ?= 120 -$(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_SAFE_TEST_TIMEOUT,"Safe test execution failure timeout.") +MB_AUTOEXEC_SAFE_ASSERT_TIMEOUT ?= 120 +$(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_SAFE_ASSERT_TIMEOUT,"Safe assert execution failure timeout.") -MB_AUTOEXEC_SAFE_TEST_EXITCODE ?= 1 -$(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_SAFE_TEST_EXITCODE,"Safe test execution failure exit code.") +MB_AUTOEXEC_SAFE_ASSERT_EXITCODE ?= 1 +$(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_SAFE_ASSERT_EXITCODE,"Safe assert execution failure exit code.") MB_AUTOEXEC_SAFE_CMD_TIMEOUT ?= 300 $(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_SAFE_CMD_TIMEOUT,"Safe command execution failure timeout.") @@ -127,12 +127,12 @@ endef $(call mb_make_call,mb_doc_function,mb_autoexec_append_save_video,"Appends save video command."," [prefix]") -define mb_autoexec_append_safe_test -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_cmd,$(1),omsxctl fail_after $(if $(3),$(3),$(MB_AUTOEXEC_SAFE_TEST_TIMEOUT)) seconds err_test $(if $(4),$(4),$(MB_AUTOEXEC_SAFE_TEST_EXITCODE))) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_echo,$(1),mb::safe test $(2)) +define mb_autoexec_append_safe_assert +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_cmd,$(1),omsxctl fail_after $(if $(3),$(3),$(MB_AUTOEXEC_SAFE_ASSERT_TIMEOUT)) seconds err_test $(if $(4),$(4),$(MB_AUTOEXEC_SAFE_ASSERT_EXITCODE))) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_echo,$(1),mb::safe assert $(2)) $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_cmd,$(1),$(2)) endef -$(call mb_make_call,mb_doc_function,mb_autoexec_append_safe_test,"Appends running a safe test."," [timeout] [exit-code]") +$(call mb_make_call,mb_doc_function,mb_autoexec_append_safe_assert,"Appends running a safe assert."," [timeout] [exit-code]") define mb_autoexec_append_safe_cmd diff --git a/lib/make/天房系統擴展/mb_msxpipe.mk b/lib/make/天房系統擴展/mb_msxpipe.mk index 0c3f9e2..d3ee1a8 100644 --- a/lib/make/天房系統擴展/mb_msxpipe.mk +++ b/lib/make/天房系統擴展/mb_msxpipe.mk @@ -5,11 +5,11 @@ $(call mb_make_call,mb_doc_variable,MB_MSXPIPE_COLOR_FG_SAFE_CMD,"Foreground col MB_MSXPIPE_COLOR_BG_SAFE_CMD ?= 000 $(call mb_make_call,mb_doc_variable,MB_MSXPIPE_COLOR_BG_SAFE_CMD,"Background color of safe command session pipe.") -MB_MSXPIPE_COLOR_FG_SAFE_TEST ?= 421 -$(call mb_make_call,mb_doc_variable,MB_MSXPIPE_COLOR_FG_SAFE_TEST,"Foreground color of safe test session pipe.") +MB_MSXPIPE_COLOR_FG_SAFE_ASSERT ?= 421 +$(call mb_make_call,mb_doc_variable,MB_MSXPIPE_COLOR_FG_SAFE_ASSERT,"Foreground color of safe assert session pipe.") -MB_MSXPIPE_COLOR_BG_SAFE_TEST ?= 000 -$(call mb_make_call,mb_doc_variable,MB_MSXPIPE_COLOR_BG_SAFE_TEST,"Background color of safe test session pipe.") +MB_MSXPIPE_COLOR_BG_SAFE_ASSERT ?= 000 +$(call mb_make_call,mb_doc_variable,MB_MSXPIPE_COLOR_BG_SAFE_ASSERT,"Background color of safe assert session pipe.") MB_MSXPIPE_COLOR_FG_RUN_GUI ?= 141 $(call mb_make_call,mb_doc_variable,MB_MSXPIPE_COLOR_FG_RUN_GUI,"Foreground color of run gui session pipe.") @@ -27,13 +27,13 @@ endef $(call mb_make_call,mb_doc_function,mb_msxpipe_safe_cmd,"Runs openMSX and safely executes one command."," [machine]") -define mb_msxpipe_safe_test -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_write_default,$(1),$(MB_MSXPIPE_COLOR_FG_SAFE_TEST),$(MB_MSXPIPE_COLOR_BG_SAFE_TEST)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_safe_test,$(1),$(2)) +define mb_msxpipe_safe_assert +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_write_default,$(1),$(MB_MSXPIPE_COLOR_FG_SAFE_ASSERT),$(MB_MSXPIPE_COLOR_BG_SAFE_ASSERT)) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_safe_assert,$(1),$(2)) $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_exit,$(1)) $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_openmsx_dosctl,$(1),$(3)) endef -$(call mb_make_call,mb_doc_function,mb_msxpipe_safe_test,"Runs openMSX and safely executes one test."," [machine]") +$(call mb_make_call,mb_doc_function,mb_msxpipe_safe_assert,"Runs openMSX and safely executes one assert."," [machine]") define _mb_msxpipe_run_gui diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk index c3d9a0c..b6dee1e 100644 --- a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk +++ b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk @@ -1,12 +1,16 @@ define __mb_flow_assert_grep_binary_x .RECIPEPREFIX := $(MB_@RECIPE) -$(1)/$(5)-$(2): $(1)/@build + +$(1)/@@$(5)-deps:: $(1)/@assert/$(5)/$(2) + +$(1)/@assert/$(5)/$(2): $(1)/@build $(MB_@RECIPE)grep -q -U $(4) $(1)/$(3) -$(MB_@RECIPE)$$(call mb_make_call,mb_os_echo_assert,$$(MB_I18N_ASSERT_SUCCESS) v-$(2)) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target,$(1)/$(5)-$(2),"Asserts that $(3) output matches.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(1)/$(5)-$(2)) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_proj_grow_deps_phase,$(5),$(1)/$(5)-$(2)) +$(MB_@RECIPE)$$(call mb_make_call,mb_os_echo_assert,$$(MB_I18N_ASSERT_SUCCESS) $(1)/@assert/$(2)/$(5)) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target,$(1)/@assert/$(5)/$(2),"Asserts that $(3) output matches.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(1)/@assert/$(5)/$(2)) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_proj_grow_deps_phase,$(5),$(1)/@assert/$(5)/$(2)) + .RECIPEPREFIX := $(MB_@RECIPEPREFIX) endef define mb_flow_assert_grep_binary_test @@ -20,26 +24,39 @@ $(MB_ᕽᕽᕽ   )$(eval $(call mb_make_call,__mb_flow_assert_grep_binary_ endef $(call mb_make_call,mb_doc_function_flow,mb_flow_assert_grep_binary_package_qa,"Inject flow of single binary grep assert."," ") -#$$(call mb_delete,$(1)/$(3).out) -define __mb_assert_flow_bdos_grep +define __mb_flow_assert_msxpipe_grep_x2 +$(MB_ᕽᕽᕽ   )$(shell grep -q $(1) $(2) && echo || echo fail) +endef + + +define __mb_flow_assert_msxpipe_grep_x .RECIPEPREFIX := $(MB_@RECIPE) -$(1)-test-$(2)/@assert: $(1)/@build -$(MB_@RECIPE)$$(call mb_make_call,mb_os_dir_create,$(1)-test-$(2)) -$(MB_@RECIPE)$$(call mb_make_call,mb_os_file_copy,$(1)/$(3).com,$(1)-test-$(2)) -$(MB_@RECIPE)$$(call mb_make_call,mb_msxpipe_safe_test,$(1)-test-$(2),$(3) > $(3).out,$(5)) -$(MB_@RECIPE)grep -q $(4) $(1)-test-$(2)/$(3).out -$(MB_@RECIPE)$$(call mb_make_call,mb_os_echo_assert,$$(MB_I18N_ASSERT_SUCCESS) $(1)-test-$(2)/@assert) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target,$(1)-test-$(2)/@assert,"Asserts that $(3) output matches.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(1)-test-$(2)/@assert) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_proj_grow_deps_phase,@test,$(1)-test-$(2)/@assert) + +$(1)/@@$(5)-deps:: $(1)/@assert/$(5)/$(2) +$(7)/@@init-deps:: $(1)/@build + +$(1)/@assert/$(5)/$(2): $(7)/@build +$(MB_@RECIPE)$$(if $$(wildcard $(7)/$(3).out),,$$(call mb_make_call,mb_os_file_delete,$(7)/$(3).out)) +$(MB_@RECIPE)$$(call mb_make_call,mb_msxpipe_safe_assert,$(7),$(3) > $(3).out,$(6)) +$(MB_@RECIPE)grep -q $(4) $(7)/$(3).out +$(MB_@RECIPE)$$(call mb_make_call,mb_os_echo_assert,$$(MB_I18N_ASSERT_SUCCESS) $(1)/@assert/$(2)/$(5)) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target,$(1)/@assert/$(5)/$(2),"Asserts that $(3) output matches.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(1)/@assert/$(5)/$(2)) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_proj_grow_deps_phase,$(5),$(1)/@assert/$(5)/$(2)) + .RECIPEPREFIX := $(MB_@RECIPEPREFIX) endef - -#$(call mb_make_call,mb_flow_clone_deps,$(DIST_QA_MSX1_BIN),$(DIST_BIN),$(DIST_DEPS),$(DIST_QA_MSX1_MACHINE)) -define mb_assert_flow_bdos_grep -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg4,mb_assert_flow_bdos_grep,$(1),$(2),$(3),$(4)) -$(MB_ᕽᕽᕽ   )$(eval $(call mb_make_call,__mb_assert_flow_bdos_grep,$(1),$(2),$(3),$(4),$(5))) +define mb_flow_assert_msxpipe_grep_test +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg5,$(0),$(1),$(2),$(3),$(4),$(5)) +$(MB_ᕽᕽᕽ   )$(eval $(call mb_make_call,mb_flow_clone_deps,$(1)-assert/@test-$(3),$(1),$(2),$(6),$(7))) +$(MB_ᕽᕽᕽ   )$(eval $(call mb_make_call,__mb_flow_assert_msxpipe_grep_x,$(1),$(3),$(4),$(5),@test,$(7),$(1)-assert/@test-$(3))) endef -$(call mb_make_call,mb_doc_function_flow,mb_assert_flow_bdos_grep,"Inject flow of single grep assert."," [machine]") +$(call mb_make_call,mb_doc_function_flow,mb_flow_assert_msxpipe_grep_test,"Inject flow of single grep assert."," [packages] [machine]") +define mb_flow_assert_msxpipe_grep_package_qa +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg5,$(0),$(1),$(2),$(3),$(4),$(5)) +$(MB_ᕽᕽᕽ   )$(eval $(call mb_make_call,mb_flow_clone_deps,$(1)-assert/@package-qa-$(3),$(1),$(2),$(6),$(7))) +$(MB_ᕽᕽᕽ   )$(eval $(call mb_make_call,__mb_flow_assert_msxpipe_grep_x,$(1),$(3),$(4),$(5),@package-qa,$(7),$(1)-assert/@package-qa-$(3))) +endef +$(call mb_make_call,mb_doc_function_flow,mb_flow_assert_msxpipe_grep_package_qa,"Inject flow of single grep assert."," [packages] [machine]") diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_clone.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_clone.mk index aa70968..fdd55fa 100644 --- a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_clone.mk +++ b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_clone.mk @@ -2,40 +2,20 @@ define __mb_flow_clone_deps .RECIPEPREFIX := $(MB_@RECIPE) -$(1)/@clean: @@clean -$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_run_clean,$(1)) -$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_deep,$(1)/@clean) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(1)/@clean) - -$(1): | @init -$(MB_@RECIPE)$$(if $$(wildcard $(1)),,$$(call mb_make_call,mb_os_dir_create,$(1))) -$(MB_@RECIPE)$$(if $(6),$$(call mb_make_call,mb_msxhub_get_$(6)_boot,$(1))) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_deep,$(1)) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(1)) - -$(1)/@prepare: $(3) | $(1) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_deep,$(1)/@prepare) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(1)/@prepare) - $(1)/%: $(2)/% $(1)/@prepare $(MB_@RECIPE)$$(call mb_make_call,mb_os_file_copy,$$<,$$@) -$(1)/@build: $(4) +$(1)/@@process-deps:: $(4) $(MB_@RECIPE)$$(call mb_make_call,mb_os_echo_remark,$(MB_I18N_FLOW_CLONE_REPORT) $$(words $$^)) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target,$(1)/@build,"Builds the $(1) module.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(1)/@build) - -$(1)/@run: $(1)/@build -$(MB_@RECIPE)$$(call mb_make_call,mb_msxpipe_run_gui,$(1),,$(5)) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_run,$(1)/@run,"Run $(3).com manually with gui.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(1)/@run) .RECIPEPREFIX := $(MB_@RECIPEPREFIX) endef define mb_flow_clone_deps $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg3,$(0),$(1),$(2),$(3)) -$(MB_ᕽᕽᕽ   )$(eval $(call mb_make_call,__$(0),$(1),$(2),$(3),$(call mb_make_call,mb_proj_module_local_deps,$(1),$(3)),$(4),$(5))) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_flow_proj_setup,$(1),@init,$(1)) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_flow_proj_module_run,$(1),$(5)) +$(MB_ᕽᕽᕽ   )$(if $(4),$(call mb_make_call,mb_flow_proj_prepare_packages,$(1),$(4))) +$(MB_ᕽᕽᕽ   )$(eval $(call mb_make_call,__$(0),$(1),$(2),$(3),$(call mb_make_call,mb_make_module_local_deps,$(1),$(3)))) endef -$(call mb_make_call,mb_doc_function_flow,mb_flow_clone_deps,"Inject flow of file copy toolchain module."," [machine] [os-version]") +$(call mb_make_call,mb_doc_function_flow,mb_flow_clone_deps,"Inject flow of file copy toolchain module."," [packages] [machine]") diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_m80.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_m80.mk index 0392916..88d497b 100644 --- a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_m80.mk +++ b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_m80.mk @@ -2,47 +2,37 @@ define __mb_flow_m80_bdos_mono .RECIPEPREFIX := $(MB_@RECIPE) -$(1): | @init -$(MB_@RECIPE)$$(if $$(wildcard $(1)),,$$(call mb_make_call,mb_os_dir_create,$(1))) -$(MB_@RECIPE)$$(if $$(wildcard $(1)/utils),,$$(call mb_make_call,mb_os_dir_create,$(1)/utils)) -$(MB_@RECIPE)$$(call mb_make_call,mb_msxhub_get_macro80,$(1)/utils) -$(MB_@RECIPE)$$(call mb_make_call,mb_msxhub_get_z80asmuk,$(1)/utils) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_deep,$(1)) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(1)) - -$(1)/$(3).mac: $(2)/$(3).mac | $(1) +$(1)/@@process-deps:: $(1)/$(3).mac +$(1)/$(3).mac: $(2)/$(3).mac | $(1)/@prepare $(MB_@RECIPE)$$(call mb_make_call,mb_conv_unix2dos,$(2)/$(3).mac,$(1)/$(3).mac) $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).mac) $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_proj_grow_deps_phase,@process,$(1)/$(3).mac) +$(1)/@@compile-deps:: $(1)/$(3).rel $(1)/$(3).rel: $(1)/$(3).mac $(MB_@RECIPE)$$(call mb_make_call,mb_msxpipe_safe_cmd,$(1),m80 $$(MB_MAKE_EQUALS)$(3)/Z) $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).rel) $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_proj_grow_deps_phase,@compile,$(1)/$(3).rel) +$(1)/@@link-deps:: $(1)/$(3).hex $(1)/$(3).hex: $(1)/$(3).rel $(MB_@RECIPE)$$(call mb_make_call,mb_msxpipe_safe_cmd,$(1),l80 $(3)$$(MB_MAKE_COMMA)$(3)/N/X/Y/E) $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).hex) $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_proj_grow_deps_phase,@link,$(1)/$(3).hex) +$(1)/@@build-deps:: $(1)/$(3).com $(1)/$(3).com: $(1)/$(3).hex $(MB_@RECIPE)$$(call mb_make_call,mb_msxpipe_safe_cmd,$(1),hextocom $(3)) $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).com) $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_proj_grow_deps_phase,@build,$(1)/$(3).com) -$(1)/@build: $(1)/$(3).com -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target,$(1)/@build,"Builds the $(1) module.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(1)/@build) - -$(1)/@run: $(1)/@build -$(MB_@RECIPE)$$(call mb_make_call,mb_msxpipe_run_gui,$(1)) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_run,$(1)/@run,"Run $(3).com manually with gui.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(1)/@run) - .RECIPEPREFIX := $(MB_@RECIPEPREFIX) endef define mb_flow_m80_bdos_mono $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg3,$(0),$(1),$(2),$(3)) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_flow_proj_setup,$(1),@init,$(1)) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_flow_proj_module_run,$(1)) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_flow_proj_prepare_packages,$(1),macro80 z80asmuk,utils) $(MB_ᕽᕽᕽ   )$(eval $(call mb_make_call,__$(0),$(1),$(2),$(3))) endef $(call mb_make_call,mb_doc_function_flow,mb_flow_m80_bdos_mono,"Inject flow of m80 toolchain bdos mono file module."," ") diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk index 3e35dd6..e2c8bd0 100644 --- a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk +++ b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk @@ -20,24 +20,11 @@ $(call mb_make_call,mb_doc_variable,MB_PROJ_META_WEBSITE,"The project website lo MB_PROJ_PHASE_ALL = @clean @init @prepare @process @compile @link @build @test @package @package-qa @package-deploy @all $(call mb_make_call,mb_doc_variable_rock,MB_PROJ_PHASE_ALL,"All the phases of an project with flow steps.") +MB_PROJ_FIRE_MODULE_INIT ?= +$(call mb_make_call,mb_doc_variable_flow,MB_PROJ_FIRE_MODULE_INIT,"List of unique module project structure targets.") -define mb_proj_module_path_src -$(MB_ᕽᕽᕽ   )$(1)$(abspath $(subst $(1),,$(dir $(lastword $(MAKEFILE_LIST))))) -endef -$(call mb_make_call,mb_doc_function_deep,mb_proj_module_path_src,"Convert current location folder as src folder.","") - - -define mb_proj_module_path_bin -$(MB_ᕽᕽᕽ   )$(2)$(abspath $(subst $(1),,$(dir $(lastword $(MAKEFILE_LIST))))) -endef -$(call mb_make_call,mb_doc_function_deep,mb_proj_module_path_src,"Convert current location folder as bin folder."," ") - - -define mb_proj_module_local_deps -$(MB_ᕽᕽᕽ   )$(foreach _dep,$(2),$(1)/$(notdir $(_dep))) -endef -$(call mb_make_call,mb_doc_function_deep,mb_proj_module_deps,"Convert other files to local deps."," ") - +MB_PROJ_FIRE_MODULE_RUN ?= +$(call mb_make_call,mb_doc_variable_flow,MB_PROJ_FIRE_MODULE_RUN,"List of unique module project modules run targets.") define mb_proj_grow_deps_phase $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg2,$(0),$(1),$(2)) @@ -48,161 +35,156 @@ $(call mb_make_call,mb_doc_function_deep,mb_proj_grow_deps_phase_clean,"Grow the define _mb_proj_flow_step_before -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_os_echo_phase,$(MB_I18N_PROJ_STEP_BEFORE) $@) +$(MB_ᕽᕽᕽ   )$(if $(filter $(subst @@,@,$@),$(MB_PROJ_PHASE_ALL)),$(call mb_make_call,mb_os_echo_phase,$(MB_I18N_PROJ_STEP_BEFORE) $@)) endef define _mb_proj_flow_step_done -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_os_echo_phase,$(MB_I18N_PROJ_STEP_AFTER) $@) +$(MB_ᕽᕽᕽ   )$(if $(filter $@,$(MB_PROJ_PHASE_ALL)),$(call mb_make_call,mb_os_echo_phase,$(MB_I18N_PROJ_STEP_AFTER) $@)) $(MB_ᕽᕽᕽ   )$(if $(value MAKECMDGOALS),$(if $(filter $@,$(MAKECMDGOALS)),$(call mb_make_call,mb_os_echo_good,$(MB_I18N_PROJ_STEP_DONE) $@))) endef -define _mb_proj_run_clean -$(MB_ᕽᕽᕽ   )$(foreach folder,$(1),$(if $(wildcard $(folder)),$(call mb_make_call,mb_os_echo_command,$(MB_I18N_PROJ_DIR_DELETE) $(folder));)) -$(MB_ᕽᕽᕽ   )$(foreach folder,$(1),$(if $(wildcard $(folder)),$(call mb_make_call,mb_os_dir_delete,$(folder)))) -endef -define _mb_proj_run_init -$(MB_ᕽᕽᕽ   )$(foreach folder,$(1),$(if $(wildcard $(folder)),,$(call mb_make_call,mb_os_echo_command,$(MB_I18N_PROJ_DIR_CREATE) $(folder));)) -$(MB_ᕽᕽᕽ   )$(foreach folder,$(1),$(if $(wildcard $(folder)),,$(call mb_make_call,mb_os_dir_create,$(folder)))) -endef define __mb_flow_proj_setup .RECIPEPREFIX := $(MB_@RECIPE) -@@clean: @include +$(3)@@clean: $(2) $(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_before) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@@clean) -@@clean-deps:: @include -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@@clean-deps) -@clean: @@clean @@clean-deps -$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_run_clean,$(1)) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@clean) +$(3)@@clean-deps:: $(2) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@clean-deps) +$(3)@clean: $(3)@@clean $(3)@@clean-deps +$(MB_@RECIPE)$$(if $$(wildcard $(1)),$$(call mb_make_call,mb_os_echo_command,$$(MB_I18N_PROJ_DIR_DELETE) $(1))) +$(MB_@RECIPE)$$(if $$(wildcard $(1)),$$(call mb_make_call,mb_os_dir_delete,$(1))) $(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@clean,"Clean's the project build folders.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@clean) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target$(if $(3),,_help),$(3)@clean,"Clean's the project build folders.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@clean) -@@init: @include +$(3)@@init: $(2) $(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_before) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@@init) -@@init-deps:: @include -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@@init-deps) -@init: @@init @@init-deps -$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_run_init,$(1)) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@init) +$(3)@@init-deps:: $(2) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@init-deps) +$(3)@init: $(3)@@init $(3)@@init-deps +$(MB_@RECIPE)$$(if $$(wildcard $(1)),,$$(call mb_make_call,mb_os_echo_command,$$(MB_I18N_PROJ_DIR_CREATE) $(1))) +$(MB_@RECIPE)$$(if $$(wildcard $(1)),,$$(call mb_make_call,mb_os_dir_create,$(1))) $(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@init,"Create the project output folders.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@init) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target$(if $(3),,_help),$(3)@init,"Create the project output folders.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@init) -@@prepare: @init +$(3)@@prepare: $(3)@init $(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_before) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@@prepare) -@@prepare-deps:: -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@@prepare-deps) -@prepare: @@prepare @@prepare-deps +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@prepare) +$(3)@@prepare-deps:: +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@prepare-deps) +$(3)@prepare: $(3)@@prepare $(3)@@prepare-deps $(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@prepare,"Prepare sources before processing.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@prepare) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target$(if $(3),,_help),$(3)@prepare,"Prepare sources before processing.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@prepare) -@@process: @prepare +$(3)@@process: $(3)@prepare $(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_before) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@@process) -@@process-deps:: -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@@process-deps) -@process: @@process @@process-deps +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@process) +$(3)@@process-deps:: +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@process-deps) +$(3)@process: $(3)@@process $(3)@@process-deps $(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@process,"Process sources before compiling.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@process) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target$(if $(3),,_help),$(3)@process,"Process sources before compiling.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@process) -@@compile: @process +$(3)@@compile: $(3)@process $(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_before) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@@compile) -@@compile-deps:: -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@@compile-deps) -@compile: @@compile @@compile-deps +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@compile) +$(3)@@compile-deps:: +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@compile-deps) +$(3)@compile: $(3)@@compile $(3)@@compile-deps $(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@compile,"Compiles all project sources.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@compile) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target$(if $(3),,_help),$(3)@compile,"Compiles all project sources.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@compile) -@@link: @compile +$(3)@@link: $(3)@compile $(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_before) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@@link) -@@link-deps:: -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@@link-deps) -@link: @@link @@link-deps +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@link) +$(3)@@link-deps:: +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@link-deps) +$(3)@link: $(3)@@link $(3)@@link-deps $(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@link,"Link all project intermediate files.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@link) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target$(if $(3),,_help),$(3)@link,"Link all project intermediate files.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@link) -@@build: @link +$(3)@@build: $(3)@link $(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_before) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@@build) -@@build-deps:: -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@@build-deps) -@build: @@build @@build-deps +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@build) +$(3)@@build-deps:: +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@build-deps) +$(3)@build: $(3)@@build $(3)@@build-deps $(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@build,"Build all project artifacts.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@build) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target$(if $(3),,_help),$(3)@build,"Build all project artifacts.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@build) -@@test: @build +$(3)@@test: $(3)@build $(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_before) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@@test) -@@test-deps:: -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@@test-deps) -@test: @@test @@test-deps +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@test) +$(3)@@test-deps:: +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@test-deps) +$(3)@test: $(3)@@test $(3)@@test-deps $(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@test,"Run all assertion tests.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@test) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target$(if $(3),,_help),$(3)@test,"Run all assertion tests.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@test) -@@package: @test +$(3)@@package: $(3)@test $(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_before) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@@package) -@@package-deps:: -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@@package-deps) -@package: @@package @@package-deps +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,v@@package) +$(3)@@package-deps:: +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@package-deps) +$(3)@package: $(3)@@package $(3)@@package-deps $(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@package,"Create all packages of project.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@package) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target$(if $(3),,_help),$(3)@package,"Create all packages of project.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@package) -@@package-qa: @package +$(3)@@package-qa: $(3)@package $(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_before) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@@package-qa) -@@package-qa-deps:: -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@@package-qa-deps) -@package-qa: @@package-qa @@package-qa-deps +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@package-qa) +$(3)@@package-qa-deps:: +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@package-qa-deps) +$(3)@package-qa: $(3)@@package-qa $(3)@@package-qa-deps $(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@package-qa,"Run all packages QA of project.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@package-qa) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target$(if $(3),,_help),$(3)@package-qa,"Run all packages QA of project.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@package-qa) -@@package-deploy: @package-qa +$(3)@@package-deploy: $(3)@package-qa $(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_before) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@@package-deploy) -@@package-deploy-deps:: -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@@package-deploy-deps) -@package-deploy: @@package-deploy @@package-deploy-deps +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@package-deploy) +$(3)@@package-deploy-deps:: +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@package-deploy-deps) +$(3)@package-deploy: $(3)@@package-deploy $(3)@@package-deploy-deps $(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@package-deploy,"Deploy all the packages.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@package-deploy) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target$(if $(3),,_help),$(3)@package-deploy,"Deploy all the packages.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@package-deploy) -@@all: @package-qa +$(3)@@all: $(3)@package-qa $(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_before) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@@all) -@@all-deps:: -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@@all-deps) -@all: @@all @@all-deps +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@all) +$(3)@@all-deps:: +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@all-deps) +$(3)@all: $(3)@@all $(3)@@all-deps $(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@all,"Run full build and package QA tests.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@all) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target$(if $(3),,_help),$(3)@all,"Run full build and package QA tests.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@all) .RECIPEPREFIX := $(MB_@RECIPEPREFIX) endef define mb_flow_proj_setup $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg1,$(0),$(1)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_variable,MB_PROJ_META_GROUP_ID) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_variable,MB_PROJ_META_ARTIFACT_ID) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_variable,MB_PROJ_META_VERSION) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_variable,MB_PROJ_META_NAME) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_variable,MB_PROJ_META_DESCRIPTION) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_variable,MB_PROJ_META_WEBSITE) -$(MB_ᕽᕽᕽ   )$(eval $(call mb_make_call,__$(0),$(1))) -$(MB_ᕽᕽᕽ   )$(if $(MB_I18N),$(if $(filter off,$(MB_I18N)),,$(call mb_make_call,_$(0)_i18n))) +$(MB_ᕽᕽᕽ   )$(if $(3),,$(call mb_make_call,mb_make_check_variable,MB_PROJ_META_GROUP_ID)) +$(MB_ᕽᕽᕽ   )$(if $(3),,$(call mb_make_call,mb_make_check_variable,MB_PROJ_META_ARTIFACT_ID)) +$(MB_ᕽᕽᕽ   )$(if $(3),,$(call mb_make_call,mb_make_check_variable,MB_PROJ_META_VERSION)) +$(MB_ᕽᕽᕽ   )$(if $(3),,$(call mb_make_call,mb_make_check_variable,MB_PROJ_META_NAME)) +$(MB_ᕽᕽᕽ   )$(if $(3),,$(call mb_make_call,mb_make_check_variable,MB_PROJ_META_DESCRIPTION)) +$(MB_ᕽᕽᕽ   )$(if $(3),,$(call mb_make_call,mb_make_check_variable,MB_PROJ_META_WEBSITE)) +$(MB_ᕽᕽᕽ   )$(if $(filter $(if $(3),$(3)/@init,@init),$(MB_PROJ_FIRE_MODULE_INIT)),,$(eval $(call mb_make_call,__$(0),$(1),$(2),$(if $(3),$(3)/)))) +$(MB_ᕽᕽᕽ   )$(if $(3),,$(if $(MB_I18N),$(if $(filter off,$(MB_I18N)),,$(call mb_make_call,_$(0)_i18n)))) +$(MB_ᕽᕽᕽ   )$(eval MB_PROJ_FIRE_MODULE_INIT $(MB_MAKE_APPEND) $(if $(3),$(3)/@init,@init)) endef -$(call mb_make_call,mb_doc_function_flow,mb_flow_proj_setup,"Inject flow of abstract project build cycle.","") +$(call mb_make_call,mb_doc_function_flow,mb_flow_proj_setup,"Inject flow of abstract project build cycle."," [parent-glue] [bin-mod-dir]") define __mb_flow_proj_setup_i18n @@ -286,3 +268,40 @@ $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_variable,MB_I18N_PROJ_ $(MB_ᕽᕽᕽ   )$(eval $(call mb_make_call,_$(0))) endef + +define __mb_flow_proj_module_run +.RECIPEPREFIX := $(MB_@RECIPE) + +$(1)/@run: $(1)/@build +$(MB_@RECIPE)$$(call mb_make_call,mb_msxpipe_run_gui,$(1),$(2)) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target,$(1)/@run,"Run manually with gui.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_run,$(1)/@run,"Run manually with gui.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(1)/@run) + +.RECIPEPREFIX := $(MB_@RECIPEPREFIX) +endef +define mb_flow_proj_module_run +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg1,$(0),$(1)) +$(MB_ᕽᕽᕽ   )$(if $(filter $(1)/@run,$(MB_PROJ_FIRE_MODULE_RUN)),,$(eval $(call mb_make_call,__$(0),$(1),$(2)))) +$(MB_ᕽᕽᕽ   )$(eval MB_PROJ_FIRE_MODULE_RUN +$(MB_MAKE_EQUALS) $(1)/@run) +endef +$(call mb_make_call,mb_doc_function_flow,mb_flow_proj_module_run,"Inject flow module @run."," [machine]") + + +define __mb_flow_proj_prepare_packages +.RECIPEPREFIX := $(MB_@RECIPE) + +$(1)/@@prepare-deps:: $(1)/@msxhub/$(2) +$(1)/@msxhub/$(2): +$(MB_@RECIPE)$$(if $$(wildcard $(1)$$(if $(3),/$(3))),,$$(call mb_make_call,mb_os_dir_create,$(1)$$(if $(3),/$(3)))) +$(MB_@RECIPE)$$(call mb_make_call,mb_msxhub_get_$(2),$(1)$$(if $(3),/$(3))) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(1)/@msxhub/$(2)) + +.RECIPEPREFIX := $(MB_@RECIPEPREFIX) +endef +define mb_flow_proj_prepare_packages +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg2,$(0),$(1),$(2)) +$(MB_ᕽᕽᕽ   )$(foreach _pack,$(2),$(eval $(call mb_make_call,__$(0),$(1),$(_pack),$(3)))) +endef +$(call mb_make_call,mb_doc_function_flow,mb_flow_proj_prepare_packages,"Inject package fetch flow for module."," [dest-dir]") + diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_sdcc.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_sdcc.mk index b0ffa3c..8e76b96 100644 --- a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_sdcc.mk +++ b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_sdcc.mk @@ -2,39 +2,30 @@ define __mb_flow_sdcc_bdos_mono .RECIPEPREFIX := $(MB_@RECIPE) -$(1): | @init -$(MB_@RECIPE)$$(if $$(wildcard $(1)),,$$(call mb_make_call,mb_os_dir_create,$(1))) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_deep,$(1)) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(1)) - -$(1)/$(3).rel: $(2)/$(3).asm | $(1) +$(1)/@@compile-deps:: $(1)/$(3).rel +$(1)/$(3).rel: $(2)/$(3).asm | $(1)/@prepare $(MB_@RECIPE)$$(call mb_make_call,mb_sdcc_compile_asm,$$<,$$@) $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).rel) $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_proj_grow_deps_phase,@compile,$(1)/$(3).rel) +$(1)/@@link-deps:: $(1)/$(3).hex $(1)/$(3).hex: $(1)/$(3).rel $(MB_@RECIPE)$$(call mb_make_call,mb_sdcc_link_asm_bdos,$$<,$$@) $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).hex) $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_proj_grow_deps_phase,@link,$(1)/$(3).hex) +$(1)/@@build-deps:: $(1)/$(3).com $(1)/$(3).com: $(1)/$(3).hex $(MB_@RECIPE)$$(call mb_make_call,mb_sdcc_objcopy,$$<,$$@) $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).com) $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_proj_grow_deps_phase,@build,$(1)/$(3).com) -$(1)/@build: $(1)/$(3).com -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target,$(1)/@build,"Builds the $(1) module.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(1)/@build) - -$(1)/@run: $(1)/@build -$(MB_@RECIPE)$$(call mb_make_call,mb_msxpipe_run_gui,$(1)) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_run,$(1)/@run,"Run $(3).com manually with gui.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(1)/@run) - .RECIPEPREFIX := $(MB_@RECIPEPREFIX) endef define mb_flow_sdcc_bdos_mono $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg3,$(0),$(1),$(2),$(3)) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_flow_proj_setup,$(1),@init,$(1)) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_flow_proj_module_run,$(1)) $(MB_ᕽᕽᕽ   )$(eval $(call mb_make_call,__$(0),$(1),$(2),$(3))) endef $(call mb_make_call,mb_doc_function_flow,mb_flow_sdcc_bdos_mono,"Inject flow of sdcc toolchain bdos mono file module."," ") diff --git a/lib/msxbuild.mk b/lib/msxbuild.mk index d52d824..2f3882f 100644 --- a/lib/msxbuild.mk +++ b/lib/msxbuild.mk @@ -35,6 +35,7 @@ $(eval $(call __mb_include,make/सिस्टमₚᵣₐᵧToੴ/mb_xxx.mk)) $(eval $(call __mb_include,make/सिस्टमₚᵣₐᵧToੴ/mb_doc.mk)) $(eval $(call __mb_include,make/सिस्टमₚᵣₐᵧToੴ/mb_make_call.mk)) $(eval $(call __mb_include,make/सिस्टमₚᵣₐᵧToੴ/mb_make_check.mk)) +$(eval $(call __mb_include,make/सिस्टमₚᵣₐᵧToੴ/mb_make_module.mk)) $(eval $(call __mb_include,make/सिस्टमₚᵣₐᵧToੴ/mb_make_xml.mk)) $(eval $(call __mb_include,make/सिस्टमₚᵣₐᵧToੴ/mb_make.mk)) @@include-libs:: $(MB_@INCLUDE_CHAIN) @@ -86,7 +87,7 @@ define mb_setup_default $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg2,$(0),$(1),$(2)) $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_flow_doc_help) $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_flow_0module_setup,$(2)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_flow_proj_setup,$(1)) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_flow_proj_setup,$(1),@include) $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_flight_proj_flow_video,$(1)) endef $(call mb_make_call,mb_doc_function,mb_setup_default,"Setup default extenstions to configure dynamic project flow."," ") diff --git a/src/ahello-m80/0module.mk b/src/ahello-m80/0module.mk index ab582b7..1698aa0 100644 --- a/src/ahello-m80/0module.mk +++ b/src/ahello-m80/0module.mk @@ -1,9 +1,9 @@ -AHELLO_M80_SRC := $(call mb_make_call,mb_proj_module_path_src,$(PATH_SRC)) -AHELLO_M80_BIN := $(call mb_make_call,mb_proj_module_path_bin,$(PATH_SRC),$(PATH_BIN)) - +AHELLO_M80_SRC := $(call mb_make_call,mb_make_module_path_src,$(PATH_SRC)) +AHELLO_M80_BIN := $(call mb_make_call,mb_make_module_path_bin,$(PATH_SRC),$(PATH_BIN)) +AHELLO_M80_DEPS := $(AHELLO_M80_BIN)/ahello.com $(call mb_make_call,mb_flow_m80_bdos_mono,$(AHELLO_M80_BIN),$(AHELLO_M80_SRC),ahello) -$(call mb_make_call,mb_assert_flow_bdos_grep,$(AHELLO_M80_BIN),check-m80,ahello,M80) -$(call mb_make_call,mb_assert_flow_bdos_grep,$(AHELLO_M80_BIN),check-txt,ahello,"o world...") +$(call mb_make_call,mb_flow_assert_msxpipe_grep_test,$(AHELLO_M80_BIN),$(AHELLO_M80_DEPS),check-m80,ahello,M80) +$(call mb_make_call,mb_flow_assert_msxpipe_grep_test,$(AHELLO_M80_BIN),$(AHELLO_M80_DEPS),check-txt,ahello,"o world...") diff --git a/src/ahello-sdcc/0module.mk b/src/ahello-sdcc/0module.mk index 5fa8ed5..287a0ec 100644 --- a/src/ahello-sdcc/0module.mk +++ b/src/ahello-sdcc/0module.mk @@ -1,9 +1,9 @@ -AHELLO_SDCC_SRC := $(call mb_make_call,mb_proj_module_path_src,$(PATH_SRC)) -AHELLO_SDCC_BIN := $(call mb_make_call,mb_proj_module_path_bin,$(PATH_SRC),$(PATH_BIN)) - +AHELLO_SDCC_SRC := $(call mb_make_call,mb_make_module_path_src,$(PATH_SRC)) +AHELLO_SDCC_BIN := $(call mb_make_call,mb_make_module_path_bin,$(PATH_SRC),$(PATH_BIN)) +AHELLO_SDCC_DEPS := $(AHELLO_SDCC_BIN)/ahello.com $(call mb_make_call,mb_flow_sdcc_bdos_mono,$(AHELLO_SDCC_BIN),$(AHELLO_SDCC_SRC),ahello) -$(call mb_make_call,mb_assert_flow_bdos_grep,$(AHELLO_SDCC_BIN),check-sdcc,ahello,SDCC) -$(call mb_make_call,mb_assert_flow_bdos_grep,$(AHELLO_SDCC_BIN),check-hell,ahello,Hell) +$(call mb_make_call,mb_flow_assert_msxpipe_grep_test,$(AHELLO_SDCC_BIN),$(AHELLO_SDCC_DEPS),check-sdcc,ahello,SDCC) +$(call mb_make_call,mb_flow_assert_msxpipe_grep_test,$(AHELLO_SDCC_BIN),$(AHELLO_SDCC_DEPS),check-hell,ahello,Hell) diff --git a/src/dist-qa-dos1/0module.mk b/src/dist-qa-dos1/0module.mk index 83486d4..b82dc1f 100644 --- a/src/dist-qa-dos1/0module.mk +++ b/src/dist-qa-dos1/0module.mk @@ -1,15 +1,15 @@ -DIST_QA_DOS1_SRC := $(call mb_make_call,mb_proj_module_path_src,$(PATH_SRC)) -DIST_QA_DOS1_BIN := $(call mb_make_call,mb_proj_module_path_bin,$(PATH_SRC),$(PATH_BIN)) +DIST_QA_DOS1_SRC := $(call mb_make_call,mb_make_module_path_src,$(PATH_SRC)) +DIST_QA_DOS1_BIN := $(call mb_make_call,mb_make_module_path_bin,$(PATH_SRC),$(PATH_BIN)) -$(call mb_make_call,mb_flow_clone_deps,$(DIST_QA_DOS1_BIN),$(DIST_BIN),$(DIST_DEPS),,msxdos1) +$(call mb_make_call,mb_flow_clone_deps,$(DIST_QA_DOS1_BIN),$(DIST_BIN),$(DIST_DEPS),msxdos1_boot) $(DIST_QA_DOS1_BIN)/@assert: $(DIST_QA_DOS1_BIN)/@build _$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_write_default,$(DIST_QA_DOS1_BIN)) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_test,$(DIST_QA_DOS1_BIN),ahello1) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_test,$(DIST_QA_DOS1_BIN),ahello2) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_assert,$(DIST_QA_DOS1_BIN),ahello1) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_assert,$(DIST_QA_DOS1_BIN),ahello2) _$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_exit,$(DIST_QA_DOS1_BIN)) _$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_openmsx_dosctl,$(DIST_QA_DOS1_BIN)) _$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_echo_assert,$(MB_I18N_ASSERT_SUCCESS) $(DIST_QA_DOS1_BIN)/@assert) diff --git a/src/dist-qa-dos2/0module.mk b/src/dist-qa-dos2/0module.mk index 01320f9..c19acae 100644 --- a/src/dist-qa-dos2/0module.mk +++ b/src/dist-qa-dos2/0module.mk @@ -1,17 +1,17 @@ -DIST_QA_DOS2_SRC := $(call mb_make_call,mb_proj_module_path_src,$(PATH_SRC)) -DIST_QA_DOS2_BIN := $(call mb_make_call,mb_proj_module_path_bin,$(PATH_SRC),$(PATH_BIN)) +DIST_QA_DOS2_SRC := $(call mb_make_call,mb_make_module_path_src,$(PATH_SRC)) +DIST_QA_DOS2_BIN := $(call mb_make_call,mb_make_module_path_bin,$(PATH_SRC),$(PATH_BIN)) -$(call mb_make_call,mb_flow_clone_deps,$(DIST_QA_DOS2_BIN),$(DIST_BIN),$(DIST_DEPS),,msxdos2) +$(call mb_make_call,mb_flow_clone_deps,$(DIST_QA_DOS2_BIN),$(DIST_BIN),$(DIST_DEPS),msxdos2_boot) $(DIST_QA_DOS2_BIN)/@assert: $(DIST_QA_DOS2_BIN)/@build _$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_file_delete,$(DIST_QA_DOS2_BIN)/ahello1.out) _$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_file_delete,$(DIST_QA_DOS2_BIN)/ahello2.out) _$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_write_default,$(DIST_QA_DOS2_BIN)) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_test,$(DIST_QA_DOS2_BIN),ahello1 > ahello1.out) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_test,$(DIST_QA_DOS2_BIN),ahello2 > ahello2.out) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_assert,$(DIST_QA_DOS2_BIN),ahello1 > ahello1.out) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_assert,$(DIST_QA_DOS2_BIN),ahello2 > ahello2.out) _$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_exit,$(DIST_QA_DOS2_BIN)) _$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_openmsx_dosctl,$(DIST_QA_DOS2_BIN)) _$(MB_ᕽᕽᕽ  )grep -q "M80: Hello world..." $(DIST_QA_DOS2_BIN)/ahello1.out diff --git a/src/dist-qa-msx1/0module.mk b/src/dist-qa-msx1/0module.mk index f2efadd..09ebcde 100644 --- a/src/dist-qa-msx1/0module.mk +++ b/src/dist-qa-msx1/0module.mk @@ -1,20 +1,20 @@ -DIST_QA_MSX1_SRC := $(call mb_make_call,mb_proj_module_path_src,$(PATH_SRC)) -DIST_QA_MSX1_BIN := $(call mb_make_call,mb_proj_module_path_bin,$(PATH_SRC),$(PATH_BIN)) -#DIST_QA_MSX1_DEPS := $(call mb_make_call,mb_proj_module_local_deps,$(DIST_QA_MSX1_BIN),$(DIST_DEPS)) +DIST_QA_MSX1_SRC := $(call mb_make_call,mb_make_module_path_src,$(PATH_SRC)) +DIST_QA_MSX1_BIN := $(call mb_make_call,mb_make_module_path_bin,$(PATH_SRC),$(PATH_BIN)) +#DIST_QA_MSX1_DEPS := $(call mb_make_call,mb_make_module_local_deps,$(DIST_QA_MSX1_BIN),$(DIST_DEPS)) DIST_QA_MSX1_MACHINE ?= Canon_V-20 $(call mb_make_call,mb_doc_variable,DIST_QA_MSX1_MACHINE,"The machine to run the msx1 qa module on.") -$(call mb_make_call,mb_flow_clone_deps,$(DIST_QA_MSX1_BIN),$(DIST_BIN),$(DIST_DEPS),$(DIST_QA_MSX1_MACHINE)) +$(call mb_make_call,mb_flow_clone_deps,$(DIST_QA_MSX1_BIN),$(DIST_BIN),$(DIST_DEPS),,$(DIST_QA_MSX1_MACHINE)) $(DIST_QA_MSX1_BIN)/@assert: $(DIST_QA_MSX1_BIN)/@build _$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_file_delete,$(DIST_QA_MSX1_BIN)/ahello1.out) _$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_file_delete,$(DIST_QA_MSX1_BIN)/ahello2.out) _$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_write_default,$(DIST_QA_MSX1_BIN),40) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_test,$(DIST_QA_MSX1_BIN),ahello1 > ahello1.out,33) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_test,$(DIST_QA_MSX1_BIN),ahello2 > ahello2.out,66,99) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_assert,$(DIST_QA_MSX1_BIN),ahello1 > ahello1.out,33) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_assert,$(DIST_QA_MSX1_BIN),ahello2 > ahello2.out,66,99) _$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_exit,$(DIST_QA_MSX1_BIN)) _$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_openmsx_dosctl,$(DIST_QA_MSX1_BIN),$(DIST_QA_MSX1_MACHINE)) _$(MB_ᕽᕽᕽ  )grep -q "M80: Hello world..." $(DIST_QA_MSX1_BIN)/ahello1.out diff --git a/src/dist-qa-msxhub/0module.mk b/src/dist-qa-msxhub/0module.mk index 5c6dcbe..f43959c 100644 --- a/src/dist-qa-msxhub/0module.mk +++ b/src/dist-qa-msxhub/0module.mk @@ -1,7 +1,7 @@ -DIST_QA_MSXHUB_SRC := $(call mb_make_call,mb_proj_module_path_src,$(PATH_SRC)) -DIST_QA_MSXHUB_BIN := $(call mb_make_call,mb_proj_module_path_bin,$(PATH_SRC),$(PATH_BIN)) -DIST_QA_MSXHUB_DEPS := $(call mb_make_call,mb_proj_module_local_deps,$(DIST_QA_MSXHUB_BIN),$(DIST_DEPS)) +DIST_QA_MSXHUB_SRC := $(call mb_make_call,mb_make_module_path_src,$(PATH_SRC)) +DIST_QA_MSXHUB_BIN := $(call mb_make_call,mb_make_module_path_bin,$(PATH_SRC),$(PATH_BIN)) +DIST_QA_MSXHUB_DEPS := $(call mb_make_call,mb_make_module_local_deps,$(DIST_QA_MSXHUB_BIN),$(DIST_DEPS)) DIST_QA_MSXHUB_MACHINE ?= Boosted_MSX2+_JP $(call mb_make_call,mb_doc_variable,DIST_QA_MSXHUB_MACHINE,"The machine to run the msxhub module on.") @@ -48,9 +48,9 @@ _$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_file_delete,$(DIST_QA_MSXHUB_BIN _$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_file_delete,$(DIST_QA_MSXHUB_BIN)/ahello2.out) _$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_file_delete,$(DIST_QA_MSXHUB_BIN)/utils.out) _$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_write_default,$(DIST_QA_MSXHUB_BIN)) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_test,$(DIST_QA_MSXHUB_BIN),ahello1 > ahello1.out) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_test,$(DIST_QA_MSXHUB_BIN),ahello2 > ahello2.out) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_test,$(DIST_QA_MSXHUB_BIN),dir utils > utils.out) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_assert,$(DIST_QA_MSXHUB_BIN),ahello1 > ahello1.out) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_assert,$(DIST_QA_MSXHUB_BIN),ahello2 > ahello2.out) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_assert,$(DIST_QA_MSXHUB_BIN),dir utils > utils.out) _$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_exit,$(DIST_QA_MSXHUB_BIN)) _$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_openmsx_dosctl,$(DIST_QA_MSXHUB_BIN),$(DIST_QA_MSXHUB_MACHINE)) _$(MB_ᕽᕽᕽ  )grep -q "M80: Hello world..." $(DIST_QA_MSXHUB_BIN)/ahello1.out diff --git a/src/make-on-msx/0module.mk b/src/make-on-msx/0module.mk index ab604d0..586bf60 100644 --- a/src/make-on-msx/0module.mk +++ b/src/make-on-msx/0module.mk @@ -1,6 +1,6 @@ -MAKE_ON_MSX_SRC := $(call mb_make_call,mb_proj_module_path_src,$(PATH_SRC)) -MAKE_ON_MSX_BIN := $(call mb_make_call,mb_proj_module_path_bin,$(PATH_SRC),$(PATH_BIN)) +MAKE_ON_MSX_SRC := $(call mb_make_call,mb_make_module_path_src,$(PATH_SRC)) +MAKE_ON_MSX_BIN := $(call mb_make_call,mb_make_module_path_bin,$(PATH_SRC),$(PATH_BIN)) $(MAKE_ON_MSX_BIN): | @init diff --git a/src/tools/gluedos1/0module.mk b/src/tools/gluedos1/0module.mk new file mode 100644 index 0000000..2789843 --- /dev/null +++ b/src/tools/gluedos1/0module.mk @@ -0,0 +1,39 @@ + +GLUEDOS1_SRC := $(call mb_make_call,mb_make_module_path_src,$(PATH_SRC)) +GLUEDOS1_BIN := $(call mb_make_call,mb_make_module_path_bin,$(PATH_SRC),$(PATH_BIN)) +GLUEDOS1_DIST := lib/emuctl/gluedos1 + +# Add msxdos1 to override default(nextor) for manual @run target +$(call mb_make_call,mb_flow_proj_prepare_packages,$(GLUEDOS1_BIN),msxdos1_boot) + +# Compile binaries +$(call mb_make_call,mb_flow_sdcc_bdos_mono,$(GLUEDOS1_BIN),$(GLUEDOS1_SRC),cls) +$(call mb_make_call,mb_flow_sdcc_bdos_mono,$(GLUEDOS1_BIN),$(GLUEDOS1_SRC),echo) +$(call mb_make_call,mb_flow_sdcc_bdos_mono,$(GLUEDOS1_BIN),$(GLUEDOS1_SRC),set) +$(call mb_make_call,mb_flow_sdcc_bdos_mono,$(GLUEDOS1_BIN),$(GLUEDOS1_SRC),ver) + +# (Manual) Test binaries +$(GLUEDOS1_BIN)/@@test-deps:: $(GLUEDOS1_BIN)/@assert/@test/check-simple +$(GLUEDOS1_BIN)/@assert/@test/check-simple: $(GLUEDOS1_BIN)/@build +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_write_default,$(GLUEDOS1_BIN)) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_assert,$(GLUEDOS1_BIN),a:cls.com) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_assert,$(GLUEDOS1_BIN),a:echo.com) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_assert,$(GLUEDOS1_BIN),a:set.com) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_assert,$(GLUEDOS1_BIN),a:ver.com) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_exit,$(GLUEDOS1_BIN)) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_openmsx_dosctl,$(GLUEDOS1_BIN)) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_echo_assert,$(MB_I18N_ASSERT_SUCCESS) $(GLUEDOS1_BIN)/@assert/@test/check-simple) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target,$(GLUEDOS1_BIN)/@assert/@test/check-simple,"Assert binaries on msxdos1.") +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_proj_grow_deps_phase,@test,$(GLUEDOS1_BIN)/@assert/@test/check-simple) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_target_phony,$(GLUEDOS1_BIN)/@assert/@test/check-simple) + +# Release local +$(GLUEDOS1_BIN)/@release-local: | $(GLUEDOS1_BIN)/@build +_$(MB_ᕽᕽᕽ  )$(if $(wildcard $(GLUEDOS1_DIST)),,$(call mb_make_call,mb_os_folder_create,$(GLUEDOS1_DIST))) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_file_copy,$(GLUEDOS1_BIN)/cls.com,$(GLUEDOS1_DIST)) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_file_copy,$(GLUEDOS1_BIN)/echo.com,$(GLUEDOS1_DIST)) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_file_copy,$(GLUEDOS1_BIN)/set.com,$(GLUEDOS1_DIST)) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_file_copy,$(GLUEDOS1_BIN)/ver.com,$(GLUEDOS1_DIST)) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target,$(GLUEDOS1_BIN)/@release-local,"Updates binary copy in $(GLUEDOS1_DIST) folder.") +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_target_phony,$(GLUEDOS1_BIN)/@release-local) + diff --git a/src/tools/gluedos1/cls.asm b/src/tools/gluedos1/cls.asm new file mode 100644 index 0000000..0c6210b --- /dev/null +++ b/src/tools/gluedos1/cls.asm @@ -0,0 +1,18 @@ + +.area _CODE + JP MAIN + .db 0x0D + .db 0x0D,0x0A + .str "TODO: MSX-DOS1 cls command." + .db 0x0D,0x0A + .db 0x1A + +; TODO: code +; +; SUPPORT: cls +; - clear the screen +; - exit +MAIN: + RET + +.area _DATA diff --git a/src/tools/gluedos1/echo.asm b/src/tools/gluedos1/echo.asm new file mode 100644 index 0000000..559b693 --- /dev/null +++ b/src/tools/gluedos1/echo.asm @@ -0,0 +1,39 @@ + +.area _CODE + JP MAIN + .db 0x0D + .db 0x0D,0x0A + .str "TODO: MSX-DOS1 echo command." + .db 0x0D,0x0A + .db 0x1A + +; TODO: code +; +; SUPPORT: echo foo +; SUPPORT: echo bar %FOO% bar +; SUPPORT: echo bar %FOO% bar>NUL +; SUPPORT: echo bar %FOO% bar> NUL +; SUPPORT: echo bar %FOO% bar >NUL +; SUPPORT: echo bar %FOO% bar > NUL +; SUPPORT: echo bar %FOO% bar>>NUL +; SUPPORT: echo bar %FOO% bar>> NUL +; SUPPORT: echo bar %FOO% bar >>NUL +; SUPPORT: echo bar %FOO% bar >> NUL +; SUPPORT: echo bar %FOO% bar>%FILE% +; SUPPORT: echo bar %FOO% bar> %FILE% +; SUPPORT: echo bar %FOO% bar >%FILE% +; SUPPORT: echo bar %FOO% bar > %FILE% +; SUPPORT: echo bar %FOO% bar>>%FILE% +; SUPPORT: echo bar %FOO% bar>> %FILE% +; SUPPORT: echo bar %FOO% bar >>%FILE% +; SUPPORT: echo bar %FOO% bar >> %FILE% +; - open command.env +; - read command.env +; - replace env variable of argument line +; - if redirect NUL than no output +; - if redirect is file than write (+OPTIONAL APPEND) to file +; - exit +MAIN: + RET + +.area _DATA diff --git a/src/tools/gluedos1/set.asm b/src/tools/gluedos1/set.asm new file mode 100644 index 0000000..01f1f2a --- /dev/null +++ b/src/tools/gluedos1/set.asm @@ -0,0 +1,22 @@ + +.area _CODE + JP MAIN + .db 0x0D + .db 0x0D,0x0A + .str "TODO: MSX-DOS1 set command." + .db 0x0D,0x0A + .db 0x1A + +; TODO: code +; +; SUPPORT: set FOO=BAR +; SUPPORT: set BAR=bar %FOO% bar +; - open command.env (auto create) +; - read command.env +; - replace env variable of argument +; - append or replace parsed argument line to env file +; - exit +MAIN: + RET + +.area _DATA diff --git a/src/tools/gluedos1/ver.asm b/src/tools/gluedos1/ver.asm new file mode 100644 index 0000000..aee53f2 --- /dev/null +++ b/src/tools/gluedos1/ver.asm @@ -0,0 +1,18 @@ + +.area _CODE + JP MAIN + .db 0x0D + .db 0x0D,0x0A + .str "TODO: MSX-DOS1 ver(sion) command." + .db 0x0D,0x0A + .db 0x1A + +; TODO: code +; +; SUPPORT: ver +; - Prints DBOS version +; - exit +MAIN: + RET + +.area _DATA diff --git a/src/tools/gluemsx1/0module.mk b/src/tools/gluemsx1/0module.mk index 16e8eb9..021d20a 100644 --- a/src/tools/gluemsx1/0module.mk +++ b/src/tools/gluemsx1/0module.mk @@ -1,10 +1,10 @@ -MBBOOT80_SRC := $(call mb_make_call,mb_proj_module_path_src,$(PATH_SRC)) -MBBOOT80_BIN := $(call mb_make_call,mb_proj_module_path_bin,$(PATH_SRC),$(PATH_BIN)) - +MBBOOT80_SRC := $(call mb_make_call,mb_make_module_path_src,$(PATH_SRC)) +MBBOOT80_BIN := $(call mb_make_call,mb_make_module_path_bin,$(PATH_SRC),$(PATH_BIN)) +MBBOOT80_DEPS := $(call mb_make_call,mb_make_module_local_deps,$(MBBOOT80_BIN),$(patsubst %.asm,%.com,$(shell ls -1 $(MBBOOT80_SRC)/*.asm))) $(call mb_make_call,mb_flow_sdcc_bdos_mono,$(MBBOOT80_BIN),$(MBBOOT80_SRC),mbboot80) -$(call mb_make_call,mb_assert_flow_bdos_grep,$(MBBOOT80_BIN),check-boot80,mbboot80,"mb::boot mode 80") +$(call mb_make_call,mb_flow_assert_msxpipe_grep_test,$(MBBOOT80_BIN),$(MBBOOT80_DEPS),check-boot80,mbboot80,"mb::boot mode 80") $(MBBOOT80_BIN)/@release-local: | $(MBBOOT80_BIN)/@build From ef798ffe5c3bf5cb7a5a2100e2ce61f18cb7f0fc Mon Sep 17 00:00:00 2001 From: Willem Date: Sun, 14 Jul 2024 14:33:46 +0200 Subject: [PATCH 207/274] Fixed run machine in flow. --- lib/make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk index e2c8bd0..3cfd71d 100644 --- a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk +++ b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk @@ -273,7 +273,7 @@ define __mb_flow_proj_module_run .RECIPEPREFIX := $(MB_@RECIPE) $(1)/@run: $(1)/@build -$(MB_@RECIPE)$$(call mb_make_call,mb_msxpipe_run_gui,$(1),$(2)) +$(MB_@RECIPE)$$(call mb_make_call,mb_msxpipe_run_gui,$(1),,$(2)) $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target,$(1)/@run,"Run manually with gui.") $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_run,$(1)/@run,"Run manually with gui.") $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(1)/@run) From 48de748ae88c07b616922564ea458ca01a7daf2b Mon Sep 17 00:00:00 2001 From: Willem Date: Sun, 14 Jul 2024 14:38:32 +0200 Subject: [PATCH 208/274] Added workaround for async screenshots. --- README.md | 16 ++++++++-------- lib/openmsx/share/scripts/night_flight.tcl | 10 +++++++++- 2 files changed, 17 insertions(+), 9 deletions(-) diff --git a/README.md b/README.md index 71ae793..99eb57b 100644 --- a/README.md +++ b/README.md @@ -121,8 +121,8 @@ When you want to see whats happening do a debug run; time VERBOSE=on DEBUG=on MB_OPENMSX_HEADLESS=off MB_OPENMSX_THROTTLE=on MB_OPENMSX_SPEED=100 make @package-qa real 2m37.379s - record run with screenshot on exit; (black screens without throttle) - time MB_OPENMSX_HEADLESS=off MB_OPENMSX_THROTTLE=on MB_FLIGHT_SCREEN=on make @package-qa + record build run with screenshot on exit; + time MB_OPENMSX_HEADLESS=off MB_FLIGHT_SCREEN=on make @build real 2m40.784s record run with video per session and merge to one video file; @@ -156,13 +156,13 @@ When you want to see whats happening do a debug run; * Only all all 16 bit unicode fonts because unicode is 21 bit but imgui is not * (FIXED:rm-SDL) Bug: screenshots sometimes segfaults when using SDL renderer -* Screenshots don't work without throttle +* (FIXED:add-issue) Screenshots don't work without throttle * (FIXED++) Audio device init option + warning messages on computer without sound card -* MIDI device init option + warning messages on computer without sound card -* Enhancing the IDE CD-ROM support -* Allow `IDEHDOPENMSX IDEHD` now hardcoded in IDEHD.CC:37 + update default for mode 40 -* Diskmanipulator export (sync) fully non-cased filename compare (duplicates) -* Diskmanipulator export (sync) don't touch/overwrite unmodified files +* (#1669) MIDI device init option + warning messages on computer without sound card +* (#1671) Enhancing the IDE CD-ROM support +* (#1704) Allow `IDEHDOPENMSX IDEHD` now hardcoded in IDEHD.CC:37 + update default for mode 40 +* (#1705) Diskmanipulator export (sync) fully non-cased filename compare (duplicates) +* (#1705) Diskmanipulator export (sync) don't touch/overwrite unmodified files * Diskmanipulator reuse the device defined filename * Diskmanipulator Imported folders in openMSX; `cd utils;cd ..;dir` breaks, use `cd utils:cd a:\;dir` as workaround * run instance-id or run/process-label to replace hardcoded "untitled1" path diff --git a/lib/openmsx/share/scripts/night_flight.tcl b/lib/openmsx/share/scripts/night_flight.tcl index b27d0ee..80c101d 100644 --- a/lib/openmsx/share/scripts/night_flight.tcl +++ b/lib/openmsx/share/scripts/night_flight.tcl @@ -32,7 +32,15 @@ proc night_flight_save_screenshot {{prefix_id 0}} { } else { set file_prefix "$night_flight_prefix$night_flight_seperator" } - screenshot -prefix $file_prefix + global throttle + global speed + set old_throttle [set throttle] + set old_speed [set speed] + set throttle on + set speed 100 + after time 1 "screenshot -prefix $file_prefix" + after time 2 "set throttle $old_throttle" + after time 2 "set speed $old_speed" return "mb::save flight screenshot" } From de377cf420a7981ff96931e1aba13f33f6004264 Mon Sep 17 00:00:00 2001 From: Willem Date: Sun, 14 Jul 2024 16:43:39 +0200 Subject: [PATCH 209/274] Added code for cls.com and start of ver.com --- src/tools/gluedos1/cls.asm | 16 +++++++------- src/tools/gluedos1/ver.asm | 43 ++++++++++++++++++++++++++++++++------ 2 files changed, 46 insertions(+), 13 deletions(-) diff --git a/src/tools/gluedos1/cls.asm b/src/tools/gluedos1/cls.asm index 0c6210b..e6dab2a 100644 --- a/src/tools/gluedos1/cls.asm +++ b/src/tools/gluedos1/cls.asm @@ -1,18 +1,20 @@ +CALLSLT .equ 0x001C +EXPTBL .equ 0xFCC1 +BIOS_CLS .equ 0x00C3 + .area _CODE JP MAIN .db 0x0D .db 0x0D,0x0A - .str "TODO: MSX-DOS1 cls command." + .str "MSX-DOS1 cls command." .db 0x0D,0x0A .db 0x1A -; TODO: code -; -; SUPPORT: cls -; - clear the screen -; - exit MAIN: - RET + XOR A + LD IY,(EXPTBL) + LD IX,#BIOS_CLS + JP CALLSLT .area _DATA diff --git a/src/tools/gluedos1/ver.asm b/src/tools/gluedos1/ver.asm index aee53f2..419583a 100644 --- a/src/tools/gluedos1/ver.asm +++ b/src/tools/gluedos1/ver.asm @@ -1,18 +1,49 @@ +BDOS .equ 0x0005 +_DOSVER .equ 0x6F +_STROUT .equ 0x09 + .area _CODE JP MAIN .db 0x0D .db 0x0D,0x0A - .str "TODO: MSX-DOS1 ver(sion) command." + .str "MSX-DOS1 ver(sion) command." .db 0x0D,0x0A .db 0x1A -; TODO: code -; -; SUPPORT: ver -; - Prints DBOS version -; - exit MAIN: + XOR A + LD DE,#TXT_DOS + LD C,#_STROUT + CALL BDOS + + XOR A + LD C,#_DOSVER + CALL BDOS + + LD A,B; DOS VERSION + CP #1 + LD C,#_STROUT + LD DE,#TXT_DOS1 + JP C,BDOS + + ; TODO: print full version + ; BC = MSX-DOS kernel version as BCD 0234h + ; DE = MSXDOS2.SYS version number + ADD #48 + LD DE,#TXT_VERSION + LD (DE),A + + XOR A + LD C,#_STROUT + CALL BDOS RET +TXT_DOS: + .str "Dos version $" +TXT_DOS1: + .str "1$" +TXT_VERSION: + .str "X.XX$" + .area _DATA From a1ae7248ea8982ad747d224d89526f8a6fddc5d3 Mon Sep 17 00:00:00 2001 From: Willem Date: Sun, 14 Jul 2024 18:36:59 +0200 Subject: [PATCH 210/274] Moved example into own sub folder and prefixed all. --- .../{mb_flow_m80.mk => mb_flow_macro80.mk} | 11 +++- lib/msxbuild.mk | 2 +- src/ahello-m80/0module.mk | 9 --- src/ahello-sdcc/0module.mk | 9 --- src/assert/msxhub/0module.mk | 55 ++++++++++++++++ src/dist-qa-dos1/0module.mk | 19 ------ src/dist-qa-dos2/0module.mk | 23 ------- src/dist-qa-msx1/0module.mk | 26 -------- src/dist-qa-msxhub/0module.mk | 64 ------------------- src/dist/0module.mk | 29 --------- src/example/dist-hello-qa-dos1/0module.mk | 20 ++++++ src/example/dist-hello-qa-dos2/0module.mk | 25 ++++++++ src/example/dist-hello-qa-msx1/0module.mk | 28 ++++++++ src/example/dist-hello/0module.mk | 34 ++++++++++ src/{dist => example/dist-hello}/readme.txt | 0 src/example/hello-macro80/0module.mk | 9 +++ .../hello-macro80/hello.mac} | 2 +- src/example/hello-make4msx/0module.mk | 51 +++++++++++++++ .../hello-make4msx}/build.bat | 16 ++--- src/example/hello-make4msx/hello.mac | 20 ++++++ src/example/hello-make4msx/hello.mf | 14 ++++ src/example/hello-sdcc-asm/0module.mk | 9 +++ .../hello-sdcc-asm/hello.asm} | 4 +- src/make-on-msx/0module.mk | 51 --------------- src/make-on-msx/nfbsshot.mac | 23 ------- src/make-on-msx/nfbsshot.mf | 14 ---- 26 files changed, 285 insertions(+), 282 deletions(-) rename lib/make/𓃬𓍄𓋹𓀭/{mb_flow_m80.mk => mb_flow_macro80.mk} (84%) delete mode 100644 src/ahello-m80/0module.mk delete mode 100644 src/ahello-sdcc/0module.mk create mode 100644 src/assert/msxhub/0module.mk delete mode 100644 src/dist-qa-dos1/0module.mk delete mode 100644 src/dist-qa-dos2/0module.mk delete mode 100644 src/dist-qa-msx1/0module.mk delete mode 100644 src/dist-qa-msxhub/0module.mk delete mode 100644 src/dist/0module.mk create mode 100644 src/example/dist-hello-qa-dos1/0module.mk create mode 100644 src/example/dist-hello-qa-dos2/0module.mk create mode 100644 src/example/dist-hello-qa-msx1/0module.mk create mode 100644 src/example/dist-hello/0module.mk rename src/{dist => example/dist-hello}/readme.txt (100%) create mode 100644 src/example/hello-macro80/0module.mk rename src/{ahello-m80/ahello.mac => example/hello-macro80/hello.mac} (82%) create mode 100644 src/example/hello-make4msx/0module.mk rename src/{make-on-msx => example/hello-make4msx}/build.bat (69%) create mode 100644 src/example/hello-make4msx/hello.mac create mode 100644 src/example/hello-make4msx/hello.mf create mode 100644 src/example/hello-sdcc-asm/0module.mk rename src/{ahello-sdcc/ahello.asm => example/hello-sdcc-asm/hello.asm} (90%) delete mode 100644 src/make-on-msx/0module.mk delete mode 100644 src/make-on-msx/nfbsshot.mac delete mode 100644 src/make-on-msx/nfbsshot.mf diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_m80.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_macro80.mk similarity index 84% rename from lib/make/𓃬𓍄𓋹𓀭/mb_flow_m80.mk rename to lib/make/𓃬𓍄𓋹𓀭/mb_flow_macro80.mk index 88d497b..d17a775 100644 --- a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_m80.mk +++ b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_macro80.mk @@ -1,5 +1,10 @@ -define __mb_flow_m80_bdos_mono +# TODO: to create bin files use; +# l80 /P:C000,hello,hello/N/X/Y/E +# and +# hextobin hello.hex hello.bin + +define __mb_flow_macro80_bdos_mono .RECIPEPREFIX := $(MB_@RECIPE) $(1)/@@process-deps:: $(1)/$(3).mac @@ -28,12 +33,12 @@ $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_proj_grow_deps_phase,@build,$(1) .RECIPEPREFIX := $(MB_@RECIPEPREFIX) endef -define mb_flow_m80_bdos_mono +define mb_flow_macro80_bdos_mono $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg3,$(0),$(1),$(2),$(3)) $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_flow_proj_setup,$(1),@init,$(1)) $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_flow_proj_module_run,$(1)) $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_flow_proj_prepare_packages,$(1),macro80 z80asmuk,utils) $(MB_ᕽᕽᕽ   )$(eval $(call mb_make_call,__$(0),$(1),$(2),$(3))) endef -$(call mb_make_call,mb_doc_function_flow,mb_flow_m80_bdos_mono,"Inject flow of m80 toolchain bdos mono file module."," ") +$(call mb_make_call,mb_doc_function_flow,mb_flow_macro80_bdos_mono,"Inject flow of m80 toolchain bdos mono file module."," ") diff --git a/lib/msxbuild.mk b/lib/msxbuild.mk index 2f3882f..3860717 100644 --- a/lib/msxbuild.mk +++ b/lib/msxbuild.mk @@ -57,7 +57,7 @@ $(eval $(call __mb_include,make/𓃬𓍄𓋹𓀭/mb_flow_0module.mk)) $(eval $(call __mb_include,make/𓃬𓍄𓋹𓀭/mb_flow_help.mk)) $(eval $(call __mb_include,make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk)) $(eval $(call __mb_include,make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk)) -$(eval $(call __mb_include,make/𓃬𓍄𓋹𓀭/mb_flow_m80.mk)) +$(eval $(call __mb_include,make/𓃬𓍄𓋹𓀭/mb_flow_macro80.mk)) $(eval $(call __mb_include,make/𓃬𓍄𓋹𓀭/mb_flow_sdcc.mk)) $(eval $(call __mb_include,make/𓃬𓍄𓋹𓀭/mb_flow_clone.mk)) @@include-libs:: $(MB_@INCLUDE_CHAIN) diff --git a/src/ahello-m80/0module.mk b/src/ahello-m80/0module.mk deleted file mode 100644 index 1698aa0..0000000 --- a/src/ahello-m80/0module.mk +++ /dev/null @@ -1,9 +0,0 @@ - -AHELLO_M80_SRC := $(call mb_make_call,mb_make_module_path_src,$(PATH_SRC)) -AHELLO_M80_BIN := $(call mb_make_call,mb_make_module_path_bin,$(PATH_SRC),$(PATH_BIN)) -AHELLO_M80_DEPS := $(AHELLO_M80_BIN)/ahello.com - -$(call mb_make_call,mb_flow_m80_bdos_mono,$(AHELLO_M80_BIN),$(AHELLO_M80_SRC),ahello) -$(call mb_make_call,mb_flow_assert_msxpipe_grep_test,$(AHELLO_M80_BIN),$(AHELLO_M80_DEPS),check-m80,ahello,M80) -$(call mb_make_call,mb_flow_assert_msxpipe_grep_test,$(AHELLO_M80_BIN),$(AHELLO_M80_DEPS),check-txt,ahello,"o world...") - diff --git a/src/ahello-sdcc/0module.mk b/src/ahello-sdcc/0module.mk deleted file mode 100644 index 287a0ec..0000000 --- a/src/ahello-sdcc/0module.mk +++ /dev/null @@ -1,9 +0,0 @@ - -AHELLO_SDCC_SRC := $(call mb_make_call,mb_make_module_path_src,$(PATH_SRC)) -AHELLO_SDCC_BIN := $(call mb_make_call,mb_make_module_path_bin,$(PATH_SRC),$(PATH_BIN)) -AHELLO_SDCC_DEPS := $(AHELLO_SDCC_BIN)/ahello.com - -$(call mb_make_call,mb_flow_sdcc_bdos_mono,$(AHELLO_SDCC_BIN),$(AHELLO_SDCC_SRC),ahello) -$(call mb_make_call,mb_flow_assert_msxpipe_grep_test,$(AHELLO_SDCC_BIN),$(AHELLO_SDCC_DEPS),check-sdcc,ahello,SDCC) -$(call mb_make_call,mb_flow_assert_msxpipe_grep_test,$(AHELLO_SDCC_BIN),$(AHELLO_SDCC_DEPS),check-hell,ahello,Hell) - diff --git a/src/assert/msxhub/0module.mk b/src/assert/msxhub/0module.mk new file mode 100644 index 0000000..300d236 --- /dev/null +++ b/src/assert/msxhub/0module.mk @@ -0,0 +1,55 @@ + +ASSERT_MSXHUB_SRC := $(call mb_make_call,mb_make_module_path_src,$(PATH_SRC)) +ASSERT_MSXHUB_BIN := $(call mb_make_call,mb_make_module_path_bin,$(PATH_SRC),$(PATH_BIN)) + +ASSERT_MSXHUB_MACHINE ?= Boosted_MSX2+_JP +$(call mb_make_call,mb_doc_variable,ASSERT_MSXHUB_MACHINE,"The machine to run the msxhub module on.") + + +$(ASSERT_MSXHUB_BIN): | @init +_$(MB_ᕽᕽᕽ  )$(if $(wildcard $(ASSERT_MSXHUB_BIN)),,$(call mb_make_call,mb_os_dir_create,$(ASSERT_MSXHUB_BIN))) +_$(MB_ᕽᕽᕽ  )$(if $(wildcard $(ASSERT_MSXHUB_BIN)/utils),,$(call mb_make_call,mb_os_dir_create,$(ASSERT_MSXHUB_BIN)/utils)) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_msxdos2_utils,$(ASSERT_MSXHUB_BIN)/utils) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_nextor_utils,$(ASSERT_MSXHUB_BIN)/utils) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_macro80,$(ASSERT_MSXHUB_BIN)/utils) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_z80asmuk,$(ASSERT_MSXHUB_BIN)/utils) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_wbass2,$(ASSERT_MSXHUB_BIN)/utils) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_konpass,$(ASSERT_MSXHUB_BIN)/utils) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_pmarc,$(ASSERT_MSXHUB_BIN)/utils) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_lhpack,$(ASSERT_MSXHUB_BIN)/utils) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_lhext,$(ASSERT_MSXHUB_BIN)/utils) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_gunzip,$(ASSERT_MSXHUB_BIN)/utils) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_tunzip,$(ASSERT_MSXHUB_BIN)/utils) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_popcom,$(ASSERT_MSXHUB_BIN)/utils) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_make,$(ASSERT_MSXHUB_BIN)/utils) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_adir,$(ASSERT_MSXHUB_BIN)/utils) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_turbo,$(ASSERT_MSXHUB_BIN)/utils) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_baskom,$(ASSERT_MSXHUB_BIN)/utils) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_binldr,$(ASSERT_MSXHUB_BIN)/utils) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_dmphex,$(ASSERT_MSXHUB_BIN)/utils) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_zd,$(ASSERT_MSXHUB_BIN)/utils) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_msxdos2t,$(ASSERT_MSXHUB_BIN)/utils) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_gfxage,$(ASSERT_MSXHUB_BIN)/utils) + + +$(ASSERT_MSXHUB_BIN)/@run: | $(ASSERT_MSXHUB_BIN) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxpipe_run_gui,$(ASSERT_MSXHUB_BIN),,$(ASSERT_MSXHUB_MACHINE)) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target_run,$(ASSERT_MSXHUB_BIN)/@run,"Run the dist with all msxhub packages.") +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_target_phony,$(ASSERT_MSXHUB_BIN)/@run) + + +$(ASSERT_MSXHUB_BIN)/@assert: | $(ASSERT_MSXHUB_BIN) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_file_delete,$(ASSERT_MSXHUB_BIN)/ahello1.out) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_file_delete,$(ASSERT_MSXHUB_BIN)/ahello2.out) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_file_delete,$(ASSERT_MSXHUB_BIN)/utils.out) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_write_default,$(ASSERT_MSXHUB_BIN)) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_assert,$(ASSERT_MSXHUB_BIN),dir utils > utils.out) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_exit,$(ASSERT_MSXHUB_BIN)) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_openmsx_dosctl,$(ASSERT_MSXHUB_BIN),$(ASSERT_MSXHUB_MACHINE)) +_$(MB_ᕽᕽᕽ  )grep -q "KONPASS COM" $(ASSERT_MSXHUB_BIN)/utils.out +_$(MB_ᕽᕽᕽ  )grep -q "MAKE COM" $(ASSERT_MSXHUB_BIN)/utils.out +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_echo_assert,$(MB_I18N_ASSERT_SUCCESS) $(ASSERT_MSXHUB_BIN)/@assert) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target,$(ASSERT_MSXHUB_BIN)/@assert,"Asserts that all dist artifacts execute results.") +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_proj_grow_deps_phase,@test,$(ASSERT_MSXHUB_BIN)/@assert) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_target_phony,$(ASSERT_MSXHUB_BIN)/@assert) + diff --git a/src/dist-qa-dos1/0module.mk b/src/dist-qa-dos1/0module.mk deleted file mode 100644 index b82dc1f..0000000 --- a/src/dist-qa-dos1/0module.mk +++ /dev/null @@ -1,19 +0,0 @@ - -DIST_QA_DOS1_SRC := $(call mb_make_call,mb_make_module_path_src,$(PATH_SRC)) -DIST_QA_DOS1_BIN := $(call mb_make_call,mb_make_module_path_bin,$(PATH_SRC),$(PATH_BIN)) - - -$(call mb_make_call,mb_flow_clone_deps,$(DIST_QA_DOS1_BIN),$(DIST_BIN),$(DIST_DEPS),msxdos1_boot) - - -$(DIST_QA_DOS1_BIN)/@assert: $(DIST_QA_DOS1_BIN)/@build -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_write_default,$(DIST_QA_DOS1_BIN)) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_assert,$(DIST_QA_DOS1_BIN),ahello1) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_assert,$(DIST_QA_DOS1_BIN),ahello2) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_exit,$(DIST_QA_DOS1_BIN)) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_openmsx_dosctl,$(DIST_QA_DOS1_BIN)) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_echo_assert,$(MB_I18N_ASSERT_SUCCESS) $(DIST_QA_DOS1_BIN)/@assert) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target,$(DIST_QA_DOS1_BIN)/@assert,"Assert binaries on msxdos1.") -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_proj_grow_deps_phase,@package-qa,$(DIST_QA_DOS1_BIN)/@assert) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_target_phony,$(DIST_QA_DOS1_BIN)/@assert) - diff --git a/src/dist-qa-dos2/0module.mk b/src/dist-qa-dos2/0module.mk deleted file mode 100644 index c19acae..0000000 --- a/src/dist-qa-dos2/0module.mk +++ /dev/null @@ -1,23 +0,0 @@ - -DIST_QA_DOS2_SRC := $(call mb_make_call,mb_make_module_path_src,$(PATH_SRC)) -DIST_QA_DOS2_BIN := $(call mb_make_call,mb_make_module_path_bin,$(PATH_SRC),$(PATH_BIN)) - - -$(call mb_make_call,mb_flow_clone_deps,$(DIST_QA_DOS2_BIN),$(DIST_BIN),$(DIST_DEPS),msxdos2_boot) - - -$(DIST_QA_DOS2_BIN)/@assert: $(DIST_QA_DOS2_BIN)/@build -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_file_delete,$(DIST_QA_DOS2_BIN)/ahello1.out) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_file_delete,$(DIST_QA_DOS2_BIN)/ahello2.out) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_write_default,$(DIST_QA_DOS2_BIN)) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_assert,$(DIST_QA_DOS2_BIN),ahello1 > ahello1.out) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_assert,$(DIST_QA_DOS2_BIN),ahello2 > ahello2.out) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_exit,$(DIST_QA_DOS2_BIN)) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_openmsx_dosctl,$(DIST_QA_DOS2_BIN)) -_$(MB_ᕽᕽᕽ  )grep -q "M80: Hello world..." $(DIST_QA_DOS2_BIN)/ahello1.out -_$(MB_ᕽᕽᕽ  )grep -q "SDCC: Hello world..." $(DIST_QA_DOS2_BIN)/ahello2.out -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_echo_assert,$(MB_I18N_ASSERT_SUCCESS) $(DIST_QA_DOS2_BIN)/@assert) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target,$(DIST_QA_DOS2_BIN)/@assert,"Assert binaries on msxdos2.") -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_proj_grow_deps_phase,@package-qa,$(DIST_QA_DOS2_BIN)/@assert) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_target_phony,$(DIST_QA_DOS2_BIN)/@assert) - diff --git a/src/dist-qa-msx1/0module.mk b/src/dist-qa-msx1/0module.mk deleted file mode 100644 index 09ebcde..0000000 --- a/src/dist-qa-msx1/0module.mk +++ /dev/null @@ -1,26 +0,0 @@ - -DIST_QA_MSX1_SRC := $(call mb_make_call,mb_make_module_path_src,$(PATH_SRC)) -DIST_QA_MSX1_BIN := $(call mb_make_call,mb_make_module_path_bin,$(PATH_SRC),$(PATH_BIN)) -#DIST_QA_MSX1_DEPS := $(call mb_make_call,mb_make_module_local_deps,$(DIST_QA_MSX1_BIN),$(DIST_DEPS)) - -DIST_QA_MSX1_MACHINE ?= Canon_V-20 -$(call mb_make_call,mb_doc_variable,DIST_QA_MSX1_MACHINE,"The machine to run the msx1 qa module on.") - - -$(call mb_make_call,mb_flow_clone_deps,$(DIST_QA_MSX1_BIN),$(DIST_BIN),$(DIST_DEPS),,$(DIST_QA_MSX1_MACHINE)) - -$(DIST_QA_MSX1_BIN)/@assert: $(DIST_QA_MSX1_BIN)/@build -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_file_delete,$(DIST_QA_MSX1_BIN)/ahello1.out) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_file_delete,$(DIST_QA_MSX1_BIN)/ahello2.out) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_write_default,$(DIST_QA_MSX1_BIN),40) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_assert,$(DIST_QA_MSX1_BIN),ahello1 > ahello1.out,33) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_assert,$(DIST_QA_MSX1_BIN),ahello2 > ahello2.out,66,99) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_exit,$(DIST_QA_MSX1_BIN)) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_openmsx_dosctl,$(DIST_QA_MSX1_BIN),$(DIST_QA_MSX1_MACHINE)) -_$(MB_ᕽᕽᕽ  )grep -q "M80: Hello world..." $(DIST_QA_MSX1_BIN)/ahello1.out -_$(MB_ᕽᕽᕽ  )grep -q "SDCC: Hello world..." $(DIST_QA_MSX1_BIN)/ahello2.out -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_echo_assert,$(MB_I18N_ASSERT_SUCCESS) $(DIST_QA_MSX1_BIN)/@assert) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target,$(DIST_QA_MSX1_BIN)/@assert,"Assert binaries on MSX1 machine.") -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_proj_grow_deps_phase,@package-qa,$(DIST_QA_MSX1_BIN)/@assert) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_target_phony,$(DIST_QA_MSX1_BIN)/@assert) - diff --git a/src/dist-qa-msxhub/0module.mk b/src/dist-qa-msxhub/0module.mk deleted file mode 100644 index f43959c..0000000 --- a/src/dist-qa-msxhub/0module.mk +++ /dev/null @@ -1,64 +0,0 @@ - -DIST_QA_MSXHUB_SRC := $(call mb_make_call,mb_make_module_path_src,$(PATH_SRC)) -DIST_QA_MSXHUB_BIN := $(call mb_make_call,mb_make_module_path_bin,$(PATH_SRC),$(PATH_BIN)) -DIST_QA_MSXHUB_DEPS := $(call mb_make_call,mb_make_module_local_deps,$(DIST_QA_MSXHUB_BIN),$(DIST_DEPS)) - -DIST_QA_MSXHUB_MACHINE ?= Boosted_MSX2+_JP -$(call mb_make_call,mb_doc_variable,DIST_QA_MSXHUB_MACHINE,"The machine to run the msxhub module on.") - - -$(DIST_QA_MSXHUB_BIN): | @init -_$(MB_ᕽᕽᕽ  )$(if $(wildcard $(DIST_QA_MSXHUB_BIN)),,$(call mb_make_call,mb_os_dir_create,$(DIST_QA_MSXHUB_BIN))) -_$(MB_ᕽᕽᕽ  )$(if $(wildcard $(DIST_QA_MSXHUB_BIN)/utils),,$(call mb_make_call,mb_os_dir_create,$(DIST_QA_MSXHUB_BIN)/utils)) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_msxdos2_utils,$(DIST_QA_MSXHUB_BIN)/utils) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_nextor_utils,$(DIST_QA_MSXHUB_BIN)/utils) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_macro80,$(DIST_QA_MSXHUB_BIN)/utils) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_z80asmuk,$(DIST_QA_MSXHUB_BIN)/utils) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_wbass2,$(DIST_QA_MSXHUB_BIN)/utils) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_konpass,$(DIST_QA_MSXHUB_BIN)/utils) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_pmarc,$(DIST_QA_MSXHUB_BIN)/utils) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_lhpack,$(DIST_QA_MSXHUB_BIN)/utils) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_lhext,$(DIST_QA_MSXHUB_BIN)/utils) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_gunzip,$(DIST_QA_MSXHUB_BIN)/utils) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_tunzip,$(DIST_QA_MSXHUB_BIN)/utils) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_popcom,$(DIST_QA_MSXHUB_BIN)/utils) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_make,$(DIST_QA_MSXHUB_BIN)/utils) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_adir,$(DIST_QA_MSXHUB_BIN)/utils) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_turbo,$(DIST_QA_MSXHUB_BIN)/utils) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_baskom,$(DIST_QA_MSXHUB_BIN)/utils) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_binldr,$(DIST_QA_MSXHUB_BIN)/utils) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_dmphex,$(DIST_QA_MSXHUB_BIN)/utils) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_zd,$(DIST_QA_MSXHUB_BIN)/utils) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_msxdos2t,$(DIST_QA_MSXHUB_BIN)/utils) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_gfxage,$(DIST_QA_MSXHUB_BIN)/utils) - - -$(DIST_QA_MSXHUB_BIN)/%: $(DIST_BIN)/% $(DIST_DEPS) | $(DIST_QA_MSXHUB_BIN) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_file_copy,$<,$@) - - -$(DIST_QA_MSXHUB_BIN)/@run: | $(DIST_QA_MSXHUB_DEPS) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxpipe_run_gui,$(DIST_QA_MSXHUB_BIN),,$(DIST_QA_MSXHUB_MACHINE)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target_run,$(DIST_QA_MSXHUB_BIN)/@run,"Run the dist with all msxhub packages.") -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_target_phony,$(DIST_QA_MSXHUB_BIN)/@run) - - -$(DIST_QA_MSXHUB_BIN)/@assert: | $(DIST_QA_MSXHUB_DEPS) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_file_delete,$(DIST_QA_MSXHUB_BIN)/ahello1.out) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_file_delete,$(DIST_QA_MSXHUB_BIN)/ahello2.out) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_file_delete,$(DIST_QA_MSXHUB_BIN)/utils.out) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_write_default,$(DIST_QA_MSXHUB_BIN)) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_assert,$(DIST_QA_MSXHUB_BIN),ahello1 > ahello1.out) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_assert,$(DIST_QA_MSXHUB_BIN),ahello2 > ahello2.out) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_assert,$(DIST_QA_MSXHUB_BIN),dir utils > utils.out) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_exit,$(DIST_QA_MSXHUB_BIN)) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_openmsx_dosctl,$(DIST_QA_MSXHUB_BIN),$(DIST_QA_MSXHUB_MACHINE)) -_$(MB_ᕽᕽᕽ  )grep -q "M80: Hello world..." $(DIST_QA_MSXHUB_BIN)/ahello1.out -_$(MB_ᕽᕽᕽ  )grep -q "SDCC: Hello world..." $(DIST_QA_MSXHUB_BIN)/ahello2.out -_$(MB_ᕽᕽᕽ  )grep -q "KONPASS COM" $(DIST_QA_MSXHUB_BIN)/utils.out -_$(MB_ᕽᕽᕽ  )grep -q "MAKE COM" $(DIST_QA_MSXHUB_BIN)/utils.out -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_echo_assert,$(MB_I18N_ASSERT_SUCCESS) $(DIST_QA_MSXHUB_BIN)/@assert) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target,$(DIST_QA_MSXHUB_BIN)/@assert,"Asserts that all dist artifacts execute results.") -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_proj_grow_deps_phase,@package-qa,$(DIST_QA_MSXHUB_BIN)/@assert) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_target_phony,$(DIST_QA_MSXHUB_BIN)/@assert) - diff --git a/src/dist/0module.mk b/src/dist/0module.mk deleted file mode 100644 index 120227f..0000000 --- a/src/dist/0module.mk +++ /dev/null @@ -1,29 +0,0 @@ - -DIST_MOD := dist -DIST_SRC := $(PATH_SRC)/$(DIST_MOD) -DIST_BIN := $(PATH_BIN)/$(DIST_MOD) -DIST_OUT := $(PATH_BIN)/$(DIST_MOD).tar.gz -DIST_DEPS := $(DIST_BIN)/readme.txt $(DIST_BIN)/ahello1.com $(DIST_BIN)/ahello2.com - -$(DIST_BIN): | @init -_$(MB_ᕽᕽᕽ  )$(if $(wildcard $(DIST_BIN)),,$(call mb_make_call,mb_os_dir_create,$(DIST_BIN))) - -$(DIST_BIN)/readme.txt: $(DIST_SRC)/readme.txt | $(DIST_BIN) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_conv_unix2dos,$<,$@) -$(call mb_make_call,mb_proj_grow_deps_phase,@process,$(DIST_BIN)/readme.txt) - -$(DIST_BIN)/ahello1.com: bin/ahello-m80/ahello.com | $(DIST_BIN) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_file_copy,$<,$@) - -$(DIST_BIN)/ahello2.com: bin/ahello-sdcc/ahello.com | $(DIST_BIN) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_file_copy,$<,$@) - -$(DIST_BIN)/@prepare: | $(DIST_DEPS) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target,$(DIST_BIN)/@prepare,"Prepare folder with binaries for distribution.") -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_target_phony,$(DIST_BIN)/@prepare) - -$(DIST_OUT): | $(DIST_BIN)/@prepare -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_package_create_archive,$(DIST_BIN),$@) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target,$(DIST_OUT),"Build archive distribution artifact.") -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_proj_grow_deps_phase,@package,$(DIST_OUT)) - diff --git a/src/example/dist-hello-qa-dos1/0module.mk b/src/example/dist-hello-qa-dos1/0module.mk new file mode 100644 index 0000000..eff178b --- /dev/null +++ b/src/example/dist-hello-qa-dos1/0module.mk @@ -0,0 +1,20 @@ + +EXAMPLE_DIST_HELLO_QA_DOS1_SRC := $(call mb_make_call,mb_make_module_path_src,$(PATH_SRC)) +EXAMPLE_DIST_HELLO_QA_DOS1_BIN := $(call mb_make_call,mb_make_module_path_bin,$(PATH_SRC),$(PATH_BIN)) + + +$(call mb_make_call,mb_flow_clone_deps,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN),$(EXAMPLE_DIST_HELLO_BIN),$(EXAMPLE_DIST_HELLO_DEPS),msxdos1_boot) + + +$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN)/@assert: $(EXAMPLE_DIST_HELLO_QA_DOS1_BIN)/@build +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_write_default,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN)) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_assert,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN),hello1) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_assert,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN),hello2) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_assert,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN),hello3) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_exit,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN)) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_openmsx_dosctl,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN)) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_echo_assert,$(MB_I18N_ASSERT_SUCCESS) $(EXAMPLE_DIST_HELLO_QA_DOS1_BIN)/@assert) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN)/@assert,"Assert binaries on msxdos1.") +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_proj_grow_deps_phase,@package-qa,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN)/@assert) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_target_phony,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN)/@assert) + diff --git a/src/example/dist-hello-qa-dos2/0module.mk b/src/example/dist-hello-qa-dos2/0module.mk new file mode 100644 index 0000000..687ed17 --- /dev/null +++ b/src/example/dist-hello-qa-dos2/0module.mk @@ -0,0 +1,25 @@ + +EXAMPLE_DIST_HELLO_QA_DOS2_SRC := $(call mb_make_call,mb_make_module_path_src,$(PATH_SRC)) +EXAMPLE_DIST_HELLO_QA_DOS2_BIN := $(call mb_make_call,mb_make_module_path_bin,$(PATH_SRC),$(PATH_BIN)) + + +$(call mb_make_call,mb_flow_clone_deps,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN),$(EXAMPLE_DIST_HELLO_BIN),$(EXAMPLE_DIST_HELLO_DEPS),msxdos2_boot) + + +$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/@assert: $(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/@build +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_file_delete,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/ahello1.out) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_file_delete,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/ahello2.out) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_write_default,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_assert,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN),hello1 > hello1.out) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_assert,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN),hello2 > hello2.out) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_assert,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN),hello3 > hello3.out) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_exit,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_openmsx_dosctl,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)) +_$(MB_ᕽᕽᕽ  )grep -q "M80: Hello MSX" $(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/hello1.out +_$(MB_ᕽᕽᕽ  )grep -q "SDCC: Hello MSX" $(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/hello2.out +_$(MB_ᕽᕽᕽ  )grep -q "MAKE: Hello MSX" $(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/hello3.out +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_echo_assert,$(MB_I18N_ASSERT_SUCCESS) $(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/@assert) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/@assert,"Assert binaries on msxdos2.") +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_proj_grow_deps_phase,@package-qa,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/@assert) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_target_phony,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/@assert) + diff --git a/src/example/dist-hello-qa-msx1/0module.mk b/src/example/dist-hello-qa-msx1/0module.mk new file mode 100644 index 0000000..e7614c6 --- /dev/null +++ b/src/example/dist-hello-qa-msx1/0module.mk @@ -0,0 +1,28 @@ + +EXAMPLE_DIST_HELLO_QA_MSX1_SRC := $(call mb_make_call,mb_make_module_path_src,$(PATH_SRC)) +EXAMPLE_DIST_HELLO_QA_MSX1_BIN := $(call mb_make_call,mb_make_module_path_bin,$(PATH_SRC),$(PATH_BIN)) + +EXAMPLE_DIST_HELLO_QA_MSX1_MACHINE ?= Canon_V-20 +$(call mb_make_call,mb_doc_variable,EXAMPLE_DIST_HELLO_QA_MSX1_MACHINE,"The machine to run the msx1 qa module on.") + + +$(call mb_make_call,mb_flow_clone_deps,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN),$(EXAMPLE_DIST_HELLO_BIN),$(EXAMPLE_DIST_HELLO_DEPS),,$(EXAMPLE_DIST_HELLO_QA_MSX1_MACHINE)) + + +$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/@assert: $(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/@build +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_file_delete,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/ahello1.out) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_file_delete,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/ahello2.out) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_write_default,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN),40) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_assert,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN),hello1 > hello1.out,33) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_assert,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN),hello2 > hello2.out,66,99) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_assert,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN),hello3 > hello3.out,50,100) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_exit,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_openmsx_dosctl,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN),$(EXAMPLE_DIST_HELLO_QA_MSX1_MACHINE)) +_$(MB_ᕽᕽᕽ  )grep -q "M80: Hello MSX" $(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/hello1.out +_$(MB_ᕽᕽᕽ  )grep -q "SDCC: Hello MSX" $(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/hello2.out +_$(MB_ᕽᕽᕽ  )grep -q "MAKE: Hello MSX" $(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/hello3.out +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_echo_assert,$(MB_I18N_ASSERT_SUCCESS) $(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/@assert) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/@assert,"Assert binaries on MSX1 machine.") +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_proj_grow_deps_phase,@package-qa,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/@assert) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_target_phony,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/@assert) + diff --git a/src/example/dist-hello/0module.mk b/src/example/dist-hello/0module.mk new file mode 100644 index 0000000..2bb31d0 --- /dev/null +++ b/src/example/dist-hello/0module.mk @@ -0,0 +1,34 @@ + +EXAMPLE_DIST_HELLO_SRC := $(call mb_make_call,mb_make_module_path_src,$(PATH_SRC)) +EXAMPLE_DIST_HELLO_BIN := $(call mb_make_call,mb_make_module_path_bin,$(PATH_SRC),$(PATH_BIN)) +EXAMPLE_DIST_HELLO_OUT := $(PATH_BIN)/dist-hello.tar.gz +EXAMPLE_DIST_HELLO_DEPS := $(EXAMPLE_DIST_HELLO_BIN)/readme.txt \ + $(EXAMPLE_DIST_HELLO_BIN)/hello1.com \ + $(EXAMPLE_DIST_HELLO_BIN)/hello2.com \ + $(EXAMPLE_DIST_HELLO_BIN)/hello3.com \ + +$(EXAMPLE_DIST_HELLO_BIN): | @init +_$(MB_ᕽᕽᕽ  )$(if $(wildcard $(EXAMPLE_DIST_HELLO_BIN)),,$(call mb_make_call,mb_os_dir_create,$(EXAMPLE_DIST_HELLO_BIN))) + +$(EXAMPLE_DIST_HELLO_BIN)/readme.txt: $(EXAMPLE_DIST_HELLO_SRC)/readme.txt | $(EXAMPLE_DIST_HELLO_BIN) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_conv_unix2dos,$<,$@) +$(call mb_make_call,mb_proj_grow_deps_phase,@process,$(EXAMPLE_DIST_HELLO_BIN)/readme.txt) + +$(EXAMPLE_DIST_HELLO_BIN)/hello1.com: bin/example/hello-macro80/hello.com | $(EXAMPLE_DIST_HELLO_BIN) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_file_copy,$<,$@) + +$(EXAMPLE_DIST_HELLO_BIN)/hello2.com: bin/example/hello-sdcc-asm/hello.com | $(EXAMPLE_DIST_HELLO_BIN) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_file_copy,$<,$@) + +$(EXAMPLE_DIST_HELLO_BIN)/hello3.com: bin/example/hello-make4msx/hello.com | $(EXAMPLE_DIST_HELLO_BIN) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_file_copy,$<,$@) + +$(EXAMPLE_DIST_HELLO_BIN)/@prepare: | $(EXAMPLE_DIST_HELLO_DEPS) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target,$(EXAMPLE_DIST_HELLO_BIN)/@prepare,"Prepare folder with binaries for distribution.") +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_target_phony,$(EXAMPLE_DIST_HELLO_BIN)/@prepare) + +$(EXAMPLE_DIST_HELLO_OUT): | $(EXAMPLE_DIST_HELLO_BIN)/@prepare +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_package_create_archive,$(EXAMPLE_DIST_HELLO_BIN),$@) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target,$(EXAMPLE_DIST_HELLO_OUT),"Build archive distribution artifact.") +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_proj_grow_deps_phase,@package,$(EXAMPLE_DIST_HELLO_OUT)) + diff --git a/src/dist/readme.txt b/src/example/dist-hello/readme.txt similarity index 100% rename from src/dist/readme.txt rename to src/example/dist-hello/readme.txt diff --git a/src/example/hello-macro80/0module.mk b/src/example/hello-macro80/0module.mk new file mode 100644 index 0000000..a6ffb6d --- /dev/null +++ b/src/example/hello-macro80/0module.mk @@ -0,0 +1,9 @@ + +EXAMPLE_HELLO_MACRO80_SRC := $(call mb_make_call,mb_make_module_path_src,$(PATH_SRC)) +EXAMPLE_HELLO_MACRO80_BIN := $(call mb_make_call,mb_make_module_path_bin,$(PATH_SRC),$(PATH_BIN)) +EXAMPLE_HELLO_MACRO80_DEPS := $(EXAMPLE_HELLO_MACRO80_BIN)/hello.com + +$(call mb_make_call,mb_flow_macro80_bdos_mono,$(EXAMPLE_HELLO_MACRO80_BIN),$(EXAMPLE_HELLO_MACRO80_SRC),hello) +$(call mb_make_call,mb_flow_assert_msxpipe_grep_test,$(EXAMPLE_HELLO_MACRO80_BIN),$(EXAMPLE_HELLO_MACRO80_DEPS),check-m80,hello,M80) +$(call mb_make_call,mb_flow_assert_msxpipe_grep_test,$(EXAMPLE_HELLO_MACRO80_BIN),$(EXAMPLE_HELLO_MACRO80_DEPS),check-txt,hello,"o MSX world...") + diff --git a/src/ahello-m80/ahello.mac b/src/example/hello-macro80/hello.mac similarity index 82% rename from src/ahello-m80/ahello.mac rename to src/example/hello-macro80/hello.mac index 4e477c7..6106b33 100644 --- a/src/ahello-m80/ahello.mac +++ b/src/example/hello-macro80/hello.mac @@ -14,7 +14,7 @@ MAIN: RET TXT_HELLO: - DB "M80: Hello world...$" + DB "M80: Hello MSX world...@ASM$" END DSEG diff --git a/src/example/hello-make4msx/0module.mk b/src/example/hello-make4msx/0module.mk new file mode 100644 index 0000000..70262e1 --- /dev/null +++ b/src/example/hello-make4msx/0module.mk @@ -0,0 +1,51 @@ + +EXAMPLE_HELLO_MAKE4MSX_SRC := $(call mb_make_call,mb_make_module_path_src,$(PATH_SRC)) +EXAMPLE_HELLO_MAKE4MSX_BIN := $(call mb_make_call,mb_make_module_path_bin,$(PATH_SRC),$(PATH_BIN)) + + +$(EXAMPLE_HELLO_MAKE4MSX_BIN): | @init +_$(MB_ᕽᕽᕽ  )$(if $(wildcard $(EXAMPLE_HELLO_MAKE4MSX_BIN)),,$(call mb_make_call,mb_os_dir_create,$(EXAMPLE_HELLO_MAKE4MSX_BIN))) +_$(MB_ᕽᕽᕽ  )$(if $(wildcard $(EXAMPLE_HELLO_MAKE4MSX_BIN)/utils),,$(call mb_make_call,mb_os_dir_create,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/utils)) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_make,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/utils) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_macro80,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/utils) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_z80asmuk,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/utils) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target_deep,$(EXAMPLE_HELLO_MAKE4MSX_BIN)) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_target_phony,$(EXAMPLE_HELLO_MAKE4MSX_BIN)) + + +$(EXAMPLE_HELLO_MAKE4MSX_BIN)/hello.mac: $(EXAMPLE_HELLO_MAKE4MSX_SRC)/hello.mac | $(EXAMPLE_HELLO_MAKE4MSX_BIN) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_conv_unix2dos,$<,$@) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target_deep,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/hello.mac) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_proj_grow_deps_phase,@process,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/hello.mac) + + +$(EXAMPLE_HELLO_MAKE4MSX_BIN)/hello.mf: $(EXAMPLE_HELLO_MAKE4MSX_SRC)/hello.mf $(EXAMPLE_HELLO_MAKE4MSX_BIN)/hello.mac +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_conv_unix2dos,$<,$@) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target_deep,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/hello.mf) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_proj_grow_deps_phase,@process,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/hello.mf) + + +$(EXAMPLE_HELLO_MAKE4MSX_BIN)/build.bat: $(EXAMPLE_HELLO_MAKE4MSX_SRC)/build.bat $(EXAMPLE_HELLO_MAKE4MSX_BIN)/hello.mf +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_conv_unix2dos,$<,$@) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target_deep,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/build.bat) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_proj_grow_deps_phase,@process,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/build.bat) + + +$(EXAMPLE_HELLO_MAKE4MSX_BIN)/hello.com: $(EXAMPLE_HELLO_MAKE4MSX_BIN)/build.bat +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxpipe_safe_cmd,$(EXAMPLE_HELLO_MAKE4MSX_BIN),build shutdown) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target_deep,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/hello.com) + + +$(EXAMPLE_HELLO_MAKE4MSX_BIN)/@build: $(EXAMPLE_HELLO_MAKE4MSX_BIN)/hello.com +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/@build,"Compiles binary with make on msx.") +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_proj_grow_deps_phase,@build,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/@build) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_target_phony,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/@build) + + +$(EXAMPLE_HELLO_MAKE4MSX_BIN)/@run: $(EXAMPLE_HELLO_MAKE4MSX_BIN)/@build +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxpipe_run_gui,$(EXAMPLE_HELLO_MAKE4MSX_BIN)) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target_run,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/@run,"Run the make build manually.") +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_target_phony,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/@run) + +$(call mb_make_call,mb_flow_assert_grep_binary_test,$(EXAMPLE_HELLO_MAKE4MSX_BIN),check-bin,hello.com,MAKE) + diff --git a/src/make-on-msx/build.bat b/src/example/hello-make4msx/build.bat similarity index 69% rename from src/make-on-msx/build.bat rename to src/example/hello-make4msx/build.bat index c9eb234..2bc6bca 100644 --- a/src/make-on-msx/build.bat +++ b/src/example/hello-make4msx/build.bat @@ -2,21 +2,21 @@ echo === Trigger workaround rem Fixme make will not build without targets files rem Fixme make will not build without updated time stamps dir -copy /T build.bat NFBSSHOT.BIN +copy /T build.bat HELLO.COM dir/w utils -copy /T build.bat NFBSSHOT.HEX +copy /T build.bat HELLO.HEX dir/w utils -copy /T build.bat NFBSSHOT.REL +copy /T build.bat HELLO.REL dir/w utils -copy /T NFBSSHOT.MAC NFBSSHOT.BAK +copy /T HELLO.MAC HELLO.BAK dir/w utils -copy /T NFBSSHOT.BAK NFBSSHOT.MAC +copy /T HELLO.BAK HELLO.MAC echo === Running make omsxctl fail_after 300 seconds err_make 1 -echo "dir" > build1.bat -make NFBSSHOT.MF /x > build2.bat +echo "echo --- build init" > build1.bat +make HELLO.MF /x > build2.bat echo === Concat shutdown -echo "dir" > build3.bat +echo "echo --- build shutdown" > build3.bat IFF "shutdown" == %1 SET lastcmd=shutdown ELSE diff --git a/src/example/hello-make4msx/hello.mac b/src/example/hello-make4msx/hello.mac new file mode 100644 index 0000000..e22c432 --- /dev/null +++ b/src/example/hello-make4msx/hello.mac @@ -0,0 +1,20 @@ + title M80 MAKE Hello world +.Z80 + +WRITESTR EQU 9h +BDOS EQU 5h + +ASEG +ORG 0100H + +MAIN: + LD C,WRITESTR + LD DE,TXT_HELLO + CALL BDOS + RET + w +TXT_HELLO: + DB "MAKE: Hello MSX world...@ASM$" + +END +DSEG diff --git a/src/example/hello-make4msx/hello.mf b/src/example/hello-make4msx/hello.mf new file mode 100644 index 0000000..e4327e3 --- /dev/null +++ b/src/example/hello-make4msx/hello.mf @@ -0,0 +1,14 @@ +# Makefile to run on MSX + +hello.rel: hello.mac + echo Making hello.rel + m80 =hello/Z + +hello.hex: hello.rel + echo Making hello.hex + l80 hello,hello/N/X/Y/E + +hello.com: hello.hex + echo Making hello.com + hextocom hello + diff --git a/src/example/hello-sdcc-asm/0module.mk b/src/example/hello-sdcc-asm/0module.mk new file mode 100644 index 0000000..93f8d72 --- /dev/null +++ b/src/example/hello-sdcc-asm/0module.mk @@ -0,0 +1,9 @@ + +EXAMPLE_HELLO_SDCC_ASM_SRC := $(call mb_make_call,mb_make_module_path_src,$(PATH_SRC)) +EXAMPLE_HELLO_SDCC_ASM_BIN := $(call mb_make_call,mb_make_module_path_bin,$(PATH_SRC),$(PATH_BIN)) +EXAMPLE_HELLO_SDCC_ASM_DEPS := $(EXAMPLE_HELLO_SDCC_ASM_BIN)/hello.com + +$(call mb_make_call,mb_flow_sdcc_bdos_mono,$(EXAMPLE_HELLO_SDCC_ASM_BIN),$(EXAMPLE_HELLO_SDCC_ASM_SRC),hello) +$(call mb_make_call,mb_flow_assert_msxpipe_grep_test,$(EXAMPLE_HELLO_SDCC_ASM_BIN),$(EXAMPLE_HELLO_SDCC_ASM_DEPS),check-sdcc,hello,SDCC) +$(call mb_make_call,mb_flow_assert_msxpipe_grep_test,$(EXAMPLE_HELLO_SDCC_ASM_BIN),$(EXAMPLE_HELLO_SDCC_ASM_DEPS),check-hell,hello,Hell) + diff --git a/src/ahello-sdcc/ahello.asm b/src/example/hello-sdcc-asm/hello.asm similarity index 90% rename from src/ahello-sdcc/ahello.asm rename to src/example/hello-sdcc-asm/hello.asm index 5a36e8b..d224f0f 100644 --- a/src/ahello-sdcc/ahello.asm +++ b/src/example/hello-sdcc-asm/hello.asm @@ -31,11 +31,11 @@ PUT_TXT: JR PUT_TXT TXT_HELLO: - .str "SDCC: Hello world..." + .str "SDCC: Hello MSX world..." .db 0x1D TXT_HELLO_SRC: - .str "from asm." + .str "@ASM." .db 0x0D,0x0A .db 0x1D diff --git a/src/make-on-msx/0module.mk b/src/make-on-msx/0module.mk deleted file mode 100644 index 586bf60..0000000 --- a/src/make-on-msx/0module.mk +++ /dev/null @@ -1,51 +0,0 @@ - -MAKE_ON_MSX_SRC := $(call mb_make_call,mb_make_module_path_src,$(PATH_SRC)) -MAKE_ON_MSX_BIN := $(call mb_make_call,mb_make_module_path_bin,$(PATH_SRC),$(PATH_BIN)) - - -$(MAKE_ON_MSX_BIN): | @init -_$(MB_ᕽᕽᕽ  )$(if $(wildcard $(MAKE_ON_MSX_BIN)),,$(call mb_make_call,mb_os_dir_create,$(MAKE_ON_MSX_BIN))) -_$(MB_ᕽᕽᕽ  )$(if $(wildcard $(MAKE_ON_MSX_BIN)/utils),,$(call mb_make_call,mb_os_dir_create,$(MAKE_ON_MSX_BIN)/utils)) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_make,$(MAKE_ON_MSX_BIN)/utils) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_macro80,$(MAKE_ON_MSX_BIN)/utils) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_z80asmuk,$(MAKE_ON_MSX_BIN)/utils) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target_deep,$(MAKE_ON_MSX_BIN)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_target_phony,$(MAKE_ON_MSX_BIN)) - - -$(MAKE_ON_MSX_BIN)/nfbsshot.mac: $(MAKE_ON_MSX_SRC)/nfbsshot.mac | $(MAKE_ON_MSX_BIN) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_conv_unix2dos,$<,$@) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target_deep,$(MAKE_ON_MSX_BIN)/nfbsshot.mac) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_proj_grow_deps_phase,@process,$(MAKE_ON_MSX_BIN)/nfbsshot.mac) - - -$(MAKE_ON_MSX_BIN)/nfbsshot.mf: $(MAKE_ON_MSX_SRC)/nfbsshot.mf $(MAKE_ON_MSX_BIN)/nfbsshot.mac -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_conv_unix2dos,$<,$@) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target_deep,$(MAKE_ON_MSX_BIN)/nfbsshot.mf) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_proj_grow_deps_phase,@process,$(MAKE_ON_MSX_BIN)/nfbsshot.mf) - - -$(MAKE_ON_MSX_BIN)/build.bat: $(MAKE_ON_MSX_SRC)/build.bat $(MAKE_ON_MSX_BIN)/nfbsshot.mf -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_conv_unix2dos,$<,$@) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target_deep,$(MAKE_ON_MSX_BIN)/build.bat) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_proj_grow_deps_phase,@process,$(MAKE_ON_MSX_BIN)/build.bat) - - -$(MAKE_ON_MSX_BIN)/nfbsshot.bin: $(MAKE_ON_MSX_BIN)/build.bat -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxpipe_safe_cmd,$(MAKE_ON_MSX_BIN),build shutdown) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target_deep,$(MAKE_ON_MSX_BIN)/nfbsshot.bin) - - -$(MAKE_ON_MSX_BIN)/@build: $(MAKE_ON_MSX_BIN)/nfbsshot.bin -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target,$(MAKE_ON_MSX_BIN)/@build,"Compiles binary with make on msx.") -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_proj_grow_deps_phase,@build,$(MAKE_ON_MSX_BIN)/@build) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_target_phony,$(MAKE_ON_MSX_BIN)/@build) - - -$(MAKE_ON_MSX_BIN)/@run: $(MAKE_ON_MSX_BIN)/@build -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxpipe_run_gui,$(MAKE_ON_MSX_BIN)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target_run,$(MAKE_ON_MSX_BIN)/@run,"Run the make build manually.") -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_target_phony,$(MAKE_ON_MSX_BIN)/@run) - -$(call mb_make_call,mb_flow_assert_grep_binary_test,$(MAKE_ON_MSX_BIN),check-bin,nfbsshot.bin,screenshot) - diff --git a/src/make-on-msx/nfbsshot.mac b/src/make-on-msx/nfbsshot.mac deleted file mode 100644 index d0f7af3..0000000 --- a/src/make-on-msx/nfbsshot.mac +++ /dev/null @@ -1,23 +0,0 @@ - title Night Flight Basic Screen SHOT -.Z80 - -DEBUG_PORT EQU 2Dh - -ASEG -ORG X'C000' - -MAIN: - LD HL,COMMAND_TXT - LD DE,COMMAND_BUFF - LD BC,0 - OUT (DEBUG_PORT),A - RET - -COMMAND_TXT: - DB "night_flight_save_screenshot$" - -COMMAND_BUFF: - DS 100 - -END -DSEG diff --git a/src/make-on-msx/nfbsshot.mf b/src/make-on-msx/nfbsshot.mf deleted file mode 100644 index c574aea..0000000 --- a/src/make-on-msx/nfbsshot.mf +++ /dev/null @@ -1,14 +0,0 @@ -# Makefile to run on MSX - -nfbsshot.rel: nfbsshot.mac - echo Making nfbsshot.rel - m80 =nfbsshot/Z - -nfbsshot.hex: nfbsshot.rel - echo Making nfbsshot.hex - l80 /P:C000,nfbsshot,nfbsshot/N/X/Y/E - -nfbsshot.bin: nfbsshot.hex - echo Making nfbsshot.bin - hextobin nfbsshot.hex nfbsshot.bin - From 2237ff126110e552f4d9b38296699b24d2db088c Mon Sep 17 00:00:00 2001 From: Willem Date: Sun, 14 Jul 2024 20:53:44 +0200 Subject: [PATCH 211/274] Refactored the layout of the bin folder. --- README.md | 2 +- lib/make/mb_flight.mk | 22 ++++-- lib/make/ i18n/mb_i18n.mk | 3 + lib/make/ i18n/mb_i18n_BASIC.mk | 1 + lib/make/ i18n/mb_i18n_iu.mk | 1 + lib/make/ i18n/mb_i18n_ja.mk | 1 + lib/make/天房系統擴展/mb_msxrom.mk | 88 +++++++++++------------ lib/make/天房系統擴展/mb_openmsx.mk | 38 +++++----- lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk | 10 +-- lib/make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk | 40 +++++++---- lib/msxbuild.mk | 3 + src/assert/msxhub/0module.mk | 2 + src/example/dist-hello-qa-dos2/0module.mk | 3 + src/example/dist-hello-qa-msx1/0module.mk | 3 + src/example/dist-hello/0module.mk | 2 +- 15 files changed, 132 insertions(+), 87 deletions(-) diff --git a/README.md b/README.md index 99eb57b..4eb79c9 100644 --- a/README.md +++ b/README.md @@ -126,7 +126,7 @@ When you want to see whats happening do a debug run; real 2m40.784s record run with video per session and merge to one video file; - time make @flight-video-package-qa + time make @flight-video-all real 0m18.184s build parallel; diff --git a/lib/make/mb_flight.mk b/lib/make/mb_flight.mk index 991e936..a92f69b 100644 --- a/lib/make/mb_flight.mk +++ b/lib/make/mb_flight.mk @@ -20,10 +20,10 @@ $(call mb_make_call,mb_doc_variable,MB_FLIGHT_VIDEO_NAME,"Video file name of the define mb_flight_video_merge $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg1,mb_flight_video_merge,$(1)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_os_echo_good,Indexing flight videos) -$(MB_ᕽᕽᕽ   )ls -1tr $(1)/*-omsx/videos/*.avi | awk -F "$(1)/" -v s="file '" -v e="'" '{print s$$2e}' > $(1)/$(MB_FLIGHT_VIDEO_NAME).lst +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_os_echo_command,Indexing flight videos) +$(MB_ᕽᕽᕽ   )find $(1) -type f -name '*.avi' -printf '%T@ %Tc %p\n' | sort -n | awk -F "$(1)/" -v s="file '" -v e="'" '{print s$$2e}' > $(1)/$(MB_FLIGHT_VIDEO_NAME).lst $(MB_ᕽᕽᕽ   )ffmpeg -v quiet -y -f concat -i $(1)/$(MB_FLIGHT_VIDEO_NAME).lst -c copy $(1)/$(MB_FLIGHT_VIDEO_NAME).avi -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_os_echo_good,Flight video completed) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_os_echo_remark,Flight video completed) endef $(call mb_make_call,mb_doc_function,mb_flight_video_merge,"Merged all flight videos to one.","") @@ -49,7 +49,7 @@ $(MB_@RECIPE)$$(if $$(filter on,$$(MB_FLIGHT_VIDEO)),$$(call mb_make_call,mb_fli @flight-video-test: @clean $(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_before) -$(MB_@RECIPE)MB_OPENMSX_HEADLESS$(MB_MAKE_EQUALS)off MB_FLIGHT_VIDEO$(MB_MAKE_EQUALS)on $$(MAKE) -s @@flight-video-test +$(MB_@RECIPE)MB_OPENMSX_HEADLESS$(MB_MAKE_EQUALS)off MB_FLIGHT_VIDEO$(MB_MAKE_EQUALS)on $$(MAKE) @@flight-video-test $(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@flight-video-test,"Runs @clean and @test with recorder and merges flight videos to one.") $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@flight-video-test) @@ -61,11 +61,23 @@ $(MB_@RECIPE)$$(if $$(filter on,$$(MB_FLIGHT_VIDEO)),$$(call mb_make_call,mb_fli @flight-video-package-qa: @clean $(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_before) -$(MB_@RECIPE)MB_OPENMSX_HEADLESS$(MB_MAKE_EQUALS)ff MB_FLIGHT_VIDEO$(MB_MAKE_EQUALS)on $$(MAKE) -s @@flight-video-package-qa +$(MB_@RECIPE)MB_OPENMSX_HEADLESS$(MB_MAKE_EQUALS)off MB_FLIGHT_VIDEO$(MB_MAKE_EQUALS)on $$(MAKE) @@flight-video-package-qa $(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@flight-video-package-qa,"Runs @clean and @package-qa with recorder and merges flight videos to one.") $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@flight-video-package-qa) + +@@flight-video-all: @all +$(MB_@RECIPE)$$(if $$(filter on,$$(MB_FLIGHT_VIDEO)),$$(call mb_make_call,mb_flight_video_merge,$(1))) + + +@flight-video-all: @clean +$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_before) +$(MB_@RECIPE)MB_OPENMSX_HEADLESS$(MB_MAKE_EQUALS)off MB_FLIGHT_VIDEO$(MB_MAKE_EQUALS)on $$(MAKE) @@flight-video-all +$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@flight-video-all,"Runs @clean and @all with recorder and merges flight videos to one.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@flight-video-all) + .RECIPEPREFIX := $(MB_@RECIPEPREFIX) endef define mb_flight_proj_flow_video diff --git a/lib/make/ i18n/mb_i18n.mk b/lib/make/ i18n/mb_i18n.mk index 19eb6ae..5d8cdfb 100644 --- a/lib/make/ i18n/mb_i18n.mk +++ b/lib/make/ i18n/mb_i18n.mk @@ -62,6 +62,9 @@ $(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_DIR_CREATE,"Message for cr MB_I18N_PROJ_DIR_DELETE ?= Removing folder $(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_DIR_DELETE,"Message for deleting a folder.") +MB_I18N_PROJ_ASSERT_COUNT ?= Total assertions done +$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_ASSERT_COUNT,"Message for reporting the assertion count of a phase.") + MB_I18N_FLOW_CLONE_REPORT ?= Clone troopers $(call mb_make_call,mb_doc_variable_i18n,MB_I18N_FLOW_CLONE_REPORT,"Message for total amount of cloned files.") diff --git a/lib/make/ i18n/mb_i18n_BASIC.mk b/lib/make/ i18n/mb_i18n_BASIC.mk index bda1fc5..f6fb1dd 100644 --- a/lib/make/ i18n/mb_i18n_BASIC.mk +++ b/lib/make/ i18n/mb_i18n_BASIC.mk @@ -19,6 +19,7 @@ MB_I18N_PROJ_STEP_AFTER = $(MB_MAKE_HASH)$(MB_MAKE_HASH)$(MB_MAKE_HASH)$(MB_MAKE MB_I18N_PROJ_STEP_DONE = BASIC RUN COMPLETED: MB_I18N_PROJ_DIR_CREATE = FOLDER CREATE: MB_I18N_PROJ_DIR_DELETE = FOLDER DELETE: +MB_I18N_PROJ_ASSERT_COUNT = TOTAL ASSERT OK: MB_I18N_FLOW_CLONE_REPORT = GEM⁴ TROOPERS: MB_I18N_SDCC_COMPILE = ATARI COMPILE: MB_I18N_SDCC_ARLIB = ATARI ARLIB: diff --git a/lib/make/ i18n/mb_i18n_iu.mk b/lib/make/ i18n/mb_i18n_iu.mk index 0abb70a..3f32cc7 100644 --- a/lib/make/ i18n/mb_i18n_iu.mk +++ b/lib/make/ i18n/mb_i18n_iu.mk @@ -19,6 +19,7 @@ MB_I18N_PROJ_STEP_AFTER = ᑭᖑᓂᖓᓂ MB_I18N_PROJ_STEP_DONE = ᐱᓕᕆᐊᖅ ᐱᐊᓂᒃᑕᐅᔪᖅ MB_I18N_PROJ_DIR_CREATE = ᑐᖅᑯᐃᕝᕕᓕᐅᕐᓂᖅ MB_I18N_PROJ_DIR_DELETE = ᑐᖅᑯᖅᓯᓯᒪᕝᕕᖓ ᐲᔭᖅᑕᐅᓗᓂ +MB_I18N_PROJ_ASSERT_COUNT = ᑲᑎᖦᖢᒋᑦ ᑕᐃᒪᐃᓐᓂᕋᖅᑕᐅᔪᑦ MB_I18N_FLOW_CLONE_REPORT = ᐃᓐᓈᕈᐃᑦ MB_I18N_SDCC_COMPILE = ᖃᕆᑕᐅᔭᕋᓛᖅᓄᐊᑦᓯᓂᖅ MB_I18N_SDCC_ARLIB = ᖃᕆᑕᐅᔭᕋᓛᖅᑐᖅᑯᖅᑕᐅᓯᒪᔪᑦ diff --git a/lib/make/ i18n/mb_i18n_ja.mk b/lib/make/ i18n/mb_i18n_ja.mk index b85145e..34bde50 100644 --- a/lib/make/ i18n/mb_i18n_ja.mk +++ b/lib/make/ i18n/mb_i18n_ja.mk @@ -19,6 +19,7 @@ MB_I18N_PROJ_STEP_AFTER = フェーズ後 MB_I18N_PROJ_STEP_DONE = プライムパイターゲットの実行を終了しました MB_I18N_PROJ_DIR_CREATE = フォルダーの作成 MB_I18N_PROJ_DIR_DELETE = フォルダーの削除 +MB_I18N_PROJ_ASSERT_COUNT = 完了したアサーションの合計 MB_I18N_FLOW_CLONE_REPORT = クローン・トルーパー MB_I18N_SDCC_COMPILE = 標準マイクロコンパイラ MB_I18N_SDCC_ARLIB = 標準マイクロビルドライブラリ diff --git a/lib/make/天房系統擴展/mb_msxrom.mk b/lib/make/天房系統擴展/mb_msxrom.mk index 8515417..404b895 100644 --- a/lib/make/天房系統擴展/mb_msxrom.mk +++ b/lib/make/天房系統擴展/mb_msxrom.mk @@ -22,150 +22,150 @@ $(call mb_make_call,mb_doc_function_deep,mb_msxrom_file,"Installs msxroms from s define mb_msxrom_setup -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)-omsx),,$(call mb_make_call,mb_os_dir_create,$(1)-omsx)) -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)-omsx/share),,$(call mb_make_call,mb_os_dir_create,$(1)-omsx/share)) -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)-omsx/share/systemroms),,$(call mb_make_call,mb_os_dir_create,$(1)-omsx/share/systemroms)) -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)-omsx/share/systemroms/machines),,$(call mb_make_call,mb_os_dir_create,$(1)-omsx/share/systemroms/machines)) -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)-omsx/share/systemroms/extensions),,$(call mb_make_call,mb_os_dir_create,$(1)-omsx/share/systemroms/extensions)) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))),,$(call mb_make_call,mb_os_dir_create,$(1)$(MB_@WORK)$(notdir $(1)))) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/share),,$(call mb_make_call,mb_os_dir_create,$(1)$(MB_@WORK)$(notdir $(1))/share)) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/share/systemroms),,$(call mb_make_call,mb_os_dir_create,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms)) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines),,$(call mb_make_call,mb_os_dir_create,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines)) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/extensions),,$(call mb_make_call,mb_os_dir_create,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/extensions)) endef $(call mb_make_call,mb_doc_function_deep,mb_msxrom_setup,"Creates needed systemrom folders.","") define mb_msxrom_extension_ide -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/ide240.dat) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/extensions,extensions/ide240.dat) endef $(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_ide,"Installs sunrise IDE classic rom.","") define mb_msxrom_extension_ide_nextor -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/Nextor-2.1.1.SunriseIDE.ROM) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/extensions,extensions/Nextor-2.1.1.SunriseIDE.ROM) endef $(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_ide_nextor,"Installs sunrise IDE nextor rom.","") define mb_msxrom_extension_scsi_novaxis -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/novaxis.rom) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/extensions,extensions/novaxis.rom) endef $(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_scsi_novaxis,"Installs Gouda SCSI novaxis rom.","") define mb_msxrom_extension_msxdos22 -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/msxdos22.rom) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/extensions,extensions/msxdos22.rom) endef $(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_msxdos22,"Installs msxdos 2.20 rom.","") define mb_msxrom_extension_rs232 -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/rs232.rom) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/extensions,extensions/rs232.rom) endef $(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_rs232,"Installs rs232 rom.","") define mb_msxrom_extension_fmpac -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/fmpac.rom) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/extensions,extensions/fmpac.rom) endef $(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_fmpac,"Installs fmpac rom.","") define mb_msxrom_extension_fmpac_en -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/fmpac_en.rom) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/extensions,extensions/fmpac_en.rom) endef $(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_fmpac_en,"Installs fmpac english rom.","") define mb_msxrom_extension_moonsound -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/yrw801.rom) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/extensions,extensions/yrw801.rom) endef $(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_moonsound,"Installs moonsound rom.","") define mb_msxrom_extension_basickun -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,machines/sanyo/phc-70fd2_basickun.rom) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/extensions,machines/sanyo/phc-70fd2_basickun.rom) endef $(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_basickun,"Installs basickun compiler rom.","") define mb_msxrom_machine_Canon_V-20 -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/canon/v-20_basic-bios1.rom) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/canon/v-20_basic-bios1.rom) endef $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Canon_V-20,"Installs machine roms.","") define mb_msxrom_machine_Mitsubishi_ML-F80 -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/mitsubishi/ml-f80_basic-bios1.rom) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/mitsubishi/ml-f80_basic-bios1.rom) endef $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Mitsubishi_ML-F80,"Installs machine roms.","") define mb_msxrom_machine_Mitsubishi_ML-FX1 -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/mitsubishi/ml-fx1_basic-bios1.rom) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/mitsubishi/ml-fx1_basic-bios1.rom) endef $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Mitsubishi_ML-FX1,"Installs machine roms.","") define mb_msxrom_machine_Mitsubishi_ML-G3_ES -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/mitsubishi/ml-g3_es_basic-bios2.rom) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/mitsubishi/ml-g3_es_disk.rom) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/mitsubishi/ml-g3_es_msx2sub.rom) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/mitsubishi/ml-g3_es_rs232.rom) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/mitsubishi/ml-g3_es_basic-bios2.rom) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/mitsubishi/ml-g3_es_disk.rom) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/mitsubishi/ml-g3_es_msx2sub.rom) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/mitsubishi/ml-g3_es_rs232.rom) endef $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Mitsubishi_ML-G3_ES,"Installs machine roms.","") define mb_msxrom_machine_Philips_VG_8000 -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/philips/vg8000_basic-bios1.rom) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/philips/vg8000_basic-bios1.rom) endef $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Philips_VG_8000,"Installs machine roms.","") define mb_msxrom_machine_Philips_VG_8230 -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/philips/vg8230_basic-bios2.rom) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/philips/vg8230_disk.rom) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/philips/vg8230_msx2sub.rom) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/philips/vg8230_basic-bios2.rom) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/philips/vg8230_disk.rom) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/philips/vg8230_msx2sub.rom) endef $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Philips_VG_8230,"Installs machine roms.","") define mb_msxrom_machine_Philips_NMS_8250 -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/philips/nms8250_basic-bios2.rom) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/philips/nms8250_msx2sub.rom) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/philips/nms8250_disk.rom) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/philips/nms8250_basic-bios2.rom) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/philips/nms8250_msx2sub.rom) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/philips/nms8250_disk.rom) endef $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Philips_NMS_8250,"Installs machine roms.","") define mb_msxrom_machine_Toshiba_HX-21 -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/toshiba/HX21-IC2.BIN) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/toshiba/HX21-IC3.BIN) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/toshiba/HX21-IC2.BIN) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/toshiba/HX21-IC3.BIN) endef $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Toshiba_HX-21,"Installs machine roms.","") define mb_msxrom_machine_Yamaha_AX200 -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/yamaha/ax200_basic-bios1.rom) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/yamaha/ax200_arabic.rom) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/yamaha/ax200_music.rom) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/yamaha/ax200_basic-bios1.rom) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/yamaha/ax200_arabic.rom) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/yamaha/ax200_music.rom) endef $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Yamaha_AX200,"Installs machine roms.","") define mb_msxrom_machine_Yamaha_YIS-503IIIR -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/yamaha/yis503iii_basic-bios2.rom) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/yamaha/yis503iii_msx2sub.rom) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/yamaha/yis503iii_cpm.rom) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/yamaha/yis503iii_net_2.rom) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/yamaha/yis503iii_basic-bios2.rom) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/yamaha/yis503iii_msx2sub.rom) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/yamaha/yis503iii_cpm.rom) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/yamaha/yis503iii_net_2.rom) endef $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Yamaha_YIS-503IIIR,"Installs machine roms.","") define mb_msxrom_machine_Panasonic_FS-A1WSX -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/panasonic/fs-a1wsx_basic-bios2p.rom) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/panasonic/fs-a1wsx_disk.rom) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/panasonic/fs-a1wsx_firmware.rom) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/panasonic/fs-a1wsx_fmbasic.rom) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/panasonic/fs-a1wsx_kanjibasic.rom) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/panasonic/fs-a1wsx_kanjifont.rom) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/panasonic/fs-a1wsx_msx2psub.rom) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/panasonic/fs-a1wsx_basic-bios2p.rom) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/panasonic/fs-a1wsx_disk.rom) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/panasonic/fs-a1wsx_firmware.rom) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/panasonic/fs-a1wsx_fmbasic.rom) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/panasonic/fs-a1wsx_kanjibasic.rom) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/panasonic/fs-a1wsx_kanjifont.rom) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/panasonic/fs-a1wsx_msx2psub.rom) endef $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Panasonic_FS-A1WSX,"Installs machine roms.","") diff --git a/lib/make/天房系統擴展/mb_openmsx.mk b/lib/make/天房系統擴展/mb_openmsx.mk index f9463b6..04b493a 100644 --- a/lib/make/天房系統擴展/mb_openmsx.mk +++ b/lib/make/天房系統擴展/mb_openmsx.mk @@ -44,19 +44,19 @@ $(call mb_make_call,mb_doc_variable_deep,MB_OPENMSX_STDERR_IGNORE,"Kills logging define mb_openmsx_setup $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg2,$(0),$(1),$(2)) -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)-omsx),,$(call mb_make_call,mb_os_dir_create,$(1)-omsx)) -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)-omsx/stdio.xml),,$(call mb_make_call,mb_os_file_copy,$(MB_@BASEPATH)openmsx/stdio.xml,$(1)-omsx)) -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)-omsx/share),,$(call mb_make_call,mb_os_dir_create,$(1)-omsx/share)) -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)-omsx/share/settings.xml),,$(call mb_make_call,mb_os_file_copy,$(MB_@BASEPATH)openmsx/share/settings.xml,$(1)-omsx/share)) -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)-omsx/share/scripts),,$(call mb_make_call,mb_os_dir_create,$(1)-omsx/share/scripts)) -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)-omsx/share/scripts/boot_exec.tcl),,$(call mb_make_call,mb_os_file_copy,$(MB_@BASEPATH)openmsx/share/scripts/boot_exec.tcl,$(1)-omsx/share/scripts)) -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)-omsx/share/scripts/fail_after.tcl),,$(call mb_make_call,mb_os_file_copy,$(MB_@BASEPATH)openmsx/share/scripts/fail_after.tcl,$(1)-omsx/share/scripts)) -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)-omsx/share/scripts/fire_hdd.tcl),,$(call mb_make_call,mb_os_file_copy,$(MB_@BASEPATH)openmsx/share/scripts/fire_hdd.tcl,$(1)-omsx/share/scripts)) -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)-omsx/share/scripts/headless.tcl),,$(call mb_make_call,mb_os_file_copy,$(MB_@BASEPATH)openmsx/share/scripts/headless.tcl,$(1)-omsx/share/scripts)) -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)-omsx/share/scripts/night_flight.tcl),,$(call mb_make_call,mb_os_file_copy,$(MB_@BASEPATH)openmsx/share/scripts/night_flight.tcl,$(1)-omsx/share/scripts)) -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)-omsx/share/scripts/omsxctl.tcl),,$(call mb_msxhub_file,$(1)-omsx/share/scripts,OMSXCTL/1.0-1/get/OMSXCTL/omsxctl.tcl)) -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)-omsx/share/extensions),,$(call mb_make_call,mb_os_dir_create,$(1)-omsx/share/extensions)) -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)-omsx/share/extensions/fire-hdd.xml),,$(call mb_make_call,mb_os_file_copy,$(MB_@BASEPATH)openmsx/share/extensions/fire-hdd.xml,$(1)-omsx/share/extensions)) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))),,$(call mb_make_call,mb_os_dir_create,$(1)$(MB_@WORK)$(notdir $(1)))) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/stdio.xml),,$(call mb_make_call,mb_os_file_copy,$(MB_@BASEPATH)openmsx/stdio.xml,$(1)$(MB_@WORK)$(notdir $(1)))) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/share),,$(call mb_make_call,mb_os_dir_create,$(1)$(MB_@WORK)$(notdir $(1))/share)) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/share/settings.xml),,$(call mb_make_call,mb_os_file_copy,$(MB_@BASEPATH)openmsx/share/settings.xml,$(1)$(MB_@WORK)$(notdir $(1))/share)) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/share/scripts),,$(call mb_make_call,mb_os_dir_create,$(1)$(MB_@WORK)$(notdir $(1))/share/scripts)) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/share/scripts/boot_exec.tcl),,$(call mb_make_call,mb_os_file_copy,$(MB_@BASEPATH)openmsx/share/scripts/boot_exec.tcl,$(1)$(MB_@WORK)$(notdir $(1))/share/scripts)) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/share/scripts/fail_after.tcl),,$(call mb_make_call,mb_os_file_copy,$(MB_@BASEPATH)openmsx/share/scripts/fail_after.tcl,$(1)$(MB_@WORK)$(notdir $(1))/share/scripts)) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/share/scripts/fire_hdd.tcl),,$(call mb_make_call,mb_os_file_copy,$(MB_@BASEPATH)openmsx/share/scripts/fire_hdd.tcl,$(1)$(MB_@WORK)$(notdir $(1))/share/scripts)) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/share/scripts/headless.tcl),,$(call mb_make_call,mb_os_file_copy,$(MB_@BASEPATH)openmsx/share/scripts/headless.tcl,$(1)$(MB_@WORK)$(notdir $(1))/share/scripts)) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/share/scripts/night_flight.tcl),,$(call mb_make_call,mb_os_file_copy,$(MB_@BASEPATH)openmsx/share/scripts/night_flight.tcl,$(1)$(MB_@WORK)$(notdir $(1))/share/scripts)) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/share/scripts/omsxctl.tcl),,$(call mb_msxhub_file,$(1)$(MB_@WORK)$(notdir $(1))/share/scripts,OMSXCTL/1.0-1/get/OMSXCTL/omsxctl.tcl)) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/share/extensions),,$(call mb_make_call,mb_os_dir_create,$(1)$(MB_@WORK)$(notdir $(1))/share/extensions)) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/share/extensions/fire-hdd.xml),,$(call mb_make_call,mb_os_file_copy,$(MB_@BASEPATH)openmsx/share/extensions/fire-hdd.xml,$(1)$(MB_@WORK)$(notdir $(1))/share/extensions)) $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_setup,$(1)) $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_extension_ide_nextor,$(1)) $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_machine_$(2),$(1)) @@ -71,12 +71,12 @@ endef define _mb_openmsx_run $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_os_echo_command,$(MB_I18N_OPENMSX_STARTUP) $@ - $(2)) -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)-omsx/persistent/fire-hdd/untitled1/sync),,$(call mb_make_call,mb_os_dir_create,$(1)-omsx/persistent/fire-hdd/untitled1/sync)) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/persistent/fire-hdd/untitled1/sync),,$(call mb_make_call,mb_os_dir_create,$(1)$(MB_@WORK)$(notdir $(1))/persistent/fire-hdd/untitled1/sync)) $(MB_ᕽᕽᕽ   )BOOT_WATCHDOG=$(MB_OPENMSX_BOOT_TIMEOUT) \ $(MB_ᕽᕽᕽ   )FIRE_HDD_PATH="$(1)" \ $(MB_ᕽᕽᕽ   )FIRE_HDD_SIZE=$(MB_OPENMSX_HDD_SIZE) \ -$(MB_ᕽᕽᕽ   )FIRE_HDD_IMAGE="$(1)-omsx/persistent/fire-hdd/untitled1/image-hda.dsk" \ -$(MB_ᕽᕽᕽ   )FIRE_HDD_PATH_EXPORT="$(1)-omsx/persistent/fire-hdd/untitled1/sync" \ +$(MB_ᕽᕽᕽ   )FIRE_HDD_IMAGE="$(1)$(MB_@WORK)$(notdir $(1))/persistent/fire-hdd/untitled1/image-hda.dsk" \ +$(MB_ᕽᕽᕽ   )FIRE_HDD_PATH_EXPORT="$(1)$(MB_@WORK)$(notdir $(1))/persistent/fire-hdd/untitled1/sync" \ $(MB_ᕽᕽᕽ   )SCALE_FACTOR=$(MB_OPENMSX_SCALE_FACTOR) \ $(MB_ᕽᕽᕽ   )SPEED=$(MB_OPENMSX_SPEED) \ $(MB_ᕽᕽᕽ   )HEADLESS=$(MB_OPENMSX_HEADLESS) \ @@ -85,7 +85,7 @@ $(MB_ᕽᕽᕽ   )THROTTLE=$(MB_OPENMSX_THROTTLE) \ $(MB_ᕽᕽᕽ   )NF_PREFIX=$(MB_FLIGHT_PREFIX) \ $(MB_ᕽᕽᕽ   )NF_SEPERATOR=$(MB_FLIGHT_SEPERATOR) \ $(MB_ᕽᕽᕽ   )NF_RECORD_FLAG=$(MB_FLIGHT_RECORD_FLAG) \ -$(MB_ᕽᕽᕽ   )OPENMSX_HOME="$(1)-omsx" \ +$(MB_ᕽᕽᕽ   )OPENMSX_HOME="$(1)$(MB_@WORK)$(notdir $(1))" \ $(MB_ᕽᕽᕽ   )$(call mb_make_call,_mb_openmsx_path,openmsx) \ $(MB_ᕽᕽᕽ      )-machine $(2) \ $(MB_ᕽᕽᕽ      )-ext slotexpander \ @@ -97,10 +97,10 @@ $(MB_ᕽᕽᕽ      )$(if $(filter ram1mb,$(3)),-ext ram1mb) \ $(MB_ᕽᕽᕽ      )$(if $(filter ram4mb,$(3)),-ext ram2mb) \ $(MB_ᕽᕽᕽ      )$(if $(filter ram4mb,$(3)),-ext ram4mb) \ $(MB_ᕽᕽᕽ      )$(MB_OPENMSX_ARGS) \ -$(MB_ᕽᕽᕽ      )-control stdio < $(1)-omsx/stdio.xml \ +$(MB_ᕽᕽᕽ      )-control stdio < $(1)$(MB_@WORK)$(notdir $(1))/stdio.xml \ $(MB_ᕽᕽᕽ      )$(if $(filter on,$(MB_OPENMSX_STDOUT_IGNORE)),$(MB_OS_STDOUT_IGNORE)) \ $(MB_ᕽᕽᕽ      )$(if $(filter on,$(MB_OPENMSX_STDERR_IGNORE)),$(MB_OS_STDERR_IGNORE)) -$(MB_ᕽᕽᕽ   )rsync --checksum --recursive "$(1)-omsx/persistent/fire-hdd/untitled1/sync/" "$(1)/" +$(MB_ᕽᕽᕽ   )rsync --checksum --recursive "$(1)$(MB_@WORK)$(notdir $(1))/persistent/fire-hdd/untitled1/sync/" "$(1)/" endef diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk index b6dee1e..8360ac1 100644 --- a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk +++ b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk @@ -7,6 +7,7 @@ $(1)/@@$(5)-deps:: $(1)/@assert/$(5)/$(2) $(1)/@assert/$(5)/$(2): $(1)/@build $(MB_@RECIPE)grep -q -U $(4) $(1)/$(3) $(MB_@RECIPE)$$(call mb_make_call,mb_os_echo_assert,$$(MB_I18N_ASSERT_SUCCESS) $(1)/@assert/$(2)/$(5)) +$(MB_@RECIPE)$$(call mb_make_call,mb_proj_grow_assert_success,$(5)) $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target,$(1)/@assert/$(5)/$(2),"Asserts that $(3) output matches.") $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(1)/@assert/$(5)/$(2)) $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_proj_grow_deps_phase,$(5),$(1)/@assert/$(5)/$(2)) @@ -40,6 +41,7 @@ $(MB_@RECIPE)$$(if $$(wildcard $(7)/$(3).out),,$$(call mb_make_call,mb_os_file_d $(MB_@RECIPE)$$(call mb_make_call,mb_msxpipe_safe_assert,$(7),$(3) > $(3).out,$(6)) $(MB_@RECIPE)grep -q $(4) $(7)/$(3).out $(MB_@RECIPE)$$(call mb_make_call,mb_os_echo_assert,$$(MB_I18N_ASSERT_SUCCESS) $(1)/@assert/$(2)/$(5)) +$(MB_@RECIPE)$$(call mb_make_call,mb_proj_grow_assert_success,$(5)) $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target,$(1)/@assert/$(5)/$(2),"Asserts that $(3) output matches.") $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(1)/@assert/$(5)/$(2)) $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_proj_grow_deps_phase,$(5),$(1)/@assert/$(5)/$(2)) @@ -48,14 +50,14 @@ $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_proj_grow_deps_phase,$(5),$(1)/@ endef define mb_flow_assert_msxpipe_grep_test $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg5,$(0),$(1),$(2),$(3),$(4),$(5)) -$(MB_ᕽᕽᕽ   )$(eval $(call mb_make_call,mb_flow_clone_deps,$(1)-assert/@test-$(3),$(1),$(2),$(6),$(7))) -$(MB_ᕽᕽᕽ   )$(eval $(call mb_make_call,__mb_flow_assert_msxpipe_grep_x,$(1),$(3),$(4),$(5),@test,$(7),$(1)-assert/@test-$(3))) +$(MB_ᕽᕽᕽ   )$(eval $(call mb_make_call,mb_flow_clone_deps,$(1)$(MB_@WORK)_assert/$(notdir $(1))/test-$(3),$(1),$(2),$(6),$(7))) +$(MB_ᕽᕽᕽ   )$(eval $(call mb_make_call,__mb_flow_assert_msxpipe_grep_x,$(1),$(3),$(4),$(5),@test,$(7),$(1)$(MB_@WORK)_assert/$(notdir $(1))/test-$(3))) endef $(call mb_make_call,mb_doc_function_flow,mb_flow_assert_msxpipe_grep_test,"Inject flow of single grep assert."," [packages] [machine]") define mb_flow_assert_msxpipe_grep_package_qa $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg5,$(0),$(1),$(2),$(3),$(4),$(5)) -$(MB_ᕽᕽᕽ   )$(eval $(call mb_make_call,mb_flow_clone_deps,$(1)-assert/@package-qa-$(3),$(1),$(2),$(6),$(7))) -$(MB_ᕽᕽᕽ   )$(eval $(call mb_make_call,__mb_flow_assert_msxpipe_grep_x,$(1),$(3),$(4),$(5),@package-qa,$(7),$(1)-assert/@package-qa-$(3))) +$(MB_ᕽᕽᕽ   )$(eval $(call mb_make_call,mb_flow_clone_deps,$(1)$(MB_@WORK)_assert/$(notdir $(1))/package-qa-$(3),$(1),$(2),$(6),$(7))) +$(MB_ᕽᕽᕽ   )$(eval $(call mb_make_call,__mb_flow_assert_msxpipe_grep_x,$(1),$(3),$(4),$(5),@package-qa,$(7),$(1)$(MB_@WORK)_assert/$(notdir $(1))/package-qa-$(3))) endef $(call mb_make_call,mb_doc_function_flow,mb_flow_assert_msxpipe_grep_package_qa,"Inject flow of single grep assert."," [packages] [machine]") diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk index 3cfd71d..780cadb 100644 --- a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk +++ b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk @@ -26,12 +26,24 @@ $(call mb_make_call,mb_doc_variable_flow,MB_PROJ_FIRE_MODULE_INIT,"List of uniqu MB_PROJ_FIRE_MODULE_RUN ?= $(call mb_make_call,mb_doc_variable_flow,MB_PROJ_FIRE_MODULE_RUN,"List of unique module project modules run targets.") +MB_PROJ_FIRE_ASSERT_SUCCESS ?= +$(call mb_make_call,mb_doc_variable_flow,MB_PROJ_FIRE_ASSERT_SUCCESS,"Word count of total asserts successes.") + + +define mb_proj_grow_assert_success +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg1,$(0),$(1)) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_value_valid,$(0),$(1),@test @package-qa) +$(MB_ᕽᕽᕽ   )$(eval MB_PROJ_FIRE_ASSERT_SUCCESS $(MB_MAKE_APPEND) $(1)) +endef +$(call mb_make_call,mb_doc_function_deep,mb_proj_grow_assert_success,"Grow the success counter of assertions.","") + + define mb_proj_grow_deps_phase $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg2,$(0),$(1),$(2)) $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_value_valid,$(0),$(1),$(MB_PROJ_PHASE_ALL)) $(MB_ᕽᕽᕽ   )$(eval @$(1)-deps:: $(2)) endef -$(call mb_make_call,mb_doc_function_deep,mb_proj_grow_deps_phase_clean,"Grow the deps of the selected phase.."," ") +$(call mb_make_call,mb_doc_function_deep,mb_proj_grow_deps_phase_clean,"Grow the deps of the selected phase."," ") define _mb_proj_flow_step_before @@ -55,7 +67,7 @@ $(3)@clean: $(3)@@clean $(3)@@clean-deps $(MB_@RECIPE)$$(if $$(wildcard $(1)),$$(call mb_make_call,mb_os_echo_command,$$(MB_I18N_PROJ_DIR_DELETE) $(1))) $(MB_@RECIPE)$$(if $$(wildcard $(1)),$$(call mb_make_call,mb_os_dir_delete,$(1))) $(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target$(if $(3),,_help),$(3)@clean,"Clean's the project build folders.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target$$(if $(3),,_help),$(3)@clean,"Clean's the project build folders.") $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@clean) $(3)@@init: $(2) @@ -67,7 +79,7 @@ $(3)@init: $(3)@@init $(3)@@init-deps $(MB_@RECIPE)$$(if $$(wildcard $(1)),,$$(call mb_make_call,mb_os_echo_command,$$(MB_I18N_PROJ_DIR_CREATE) $(1))) $(MB_@RECIPE)$$(if $$(wildcard $(1)),,$$(call mb_make_call,mb_os_dir_create,$(1))) $(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target$(if $(3),,_help),$(3)@init,"Create the project output folders.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target$$(if $(3),,_help),$(3)@init,"Create the project output folders.") $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@init) $(3)@@prepare: $(3)@init @@ -77,7 +89,7 @@ $(3)@@prepare-deps:: $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@prepare-deps) $(3)@prepare: $(3)@@prepare $(3)@@prepare-deps $(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target$(if $(3),,_help),$(3)@prepare,"Prepare sources before processing.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target$$(if $(3),,_help),$(3)@prepare,"Prepare sources before processing.") $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@prepare) $(3)@@process: $(3)@prepare @@ -87,7 +99,7 @@ $(3)@@process-deps:: $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@process-deps) $(3)@process: $(3)@@process $(3)@@process-deps $(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target$(if $(3),,_help),$(3)@process,"Process sources before compiling.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target$$(if $(3),,_help),$(3)@process,"Process sources before compiling.") $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@process) $(3)@@compile: $(3)@process @@ -97,7 +109,7 @@ $(3)@@compile-deps:: $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@compile-deps) $(3)@compile: $(3)@@compile $(3)@@compile-deps $(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target$(if $(3),,_help),$(3)@compile,"Compiles all project sources.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target$$(if $(3),,_help),$(3)@compile,"Compiles all project sources.") $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@compile) $(3)@@link: $(3)@compile @@ -107,7 +119,7 @@ $(3)@@link-deps:: $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@link-deps) $(3)@link: $(3)@@link $(3)@@link-deps $(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target$(if $(3),,_help),$(3)@link,"Link all project intermediate files.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target$$(if $(3),,_help),$(3)@link,"Link all project intermediate files.") $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@link) $(3)@@build: $(3)@link @@ -117,7 +129,7 @@ $(3)@@build-deps:: $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@build-deps) $(3)@build: $(3)@@build $(3)@@build-deps $(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target$(if $(3),,_help),$(3)@build,"Build all project artifacts.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target$$(if $(3),,_help),$(3)@build,"Build all project artifacts.") $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@build) $(3)@@test: $(3)@build @@ -126,8 +138,9 @@ $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@test) $(3)@@test-deps:: $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@test-deps) $(3)@test: $(3)@@test $(3)@@test-deps +$(MB_@RECIPE)$$(if $(3),,$$(call mb_make_call,mb_os_echo_fail,$$(MB_I18N_PROJ_ASSERT_COUNT) @test: $$(words $$(filter @test,$$(MB_PROJ_FIRE_ASSERT_SUCCESS))))) $(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target$(if $(3),,_help),$(3)@test,"Run all assertion tests.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target$$(if $(3),,_help),$(3)@test,"Run all assertion tests.") $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@test) $(3)@@package: $(3)@test @@ -137,7 +150,7 @@ $(3)@@package-deps:: $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@package-deps) $(3)@package: $(3)@@package $(3)@@package-deps $(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target$(if $(3),,_help),$(3)@package,"Create all packages of project.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target$$(if $(3),,_help),$(3)@package,"Create all packages of project.") $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@package) $(3)@@package-qa: $(3)@package @@ -146,8 +159,9 @@ $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@package- $(3)@@package-qa-deps:: $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@package-qa-deps) $(3)@package-qa: $(3)@@package-qa $(3)@@package-qa-deps +$(MB_@RECIPE)$$(if $(3),,$$(call mb_make_call,mb_os_echo_fail,$$(MB_I18N_PROJ_ASSERT_COUNT) @package-qa: $$(words $$(filter @package-qa,$$(MB_PROJ_FIRE_ASSERT_SUCCESS))))) $(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target$(if $(3),,_help),$(3)@package-qa,"Run all packages QA of project.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target$$(if $(3),,_help),$(3)@package-qa,"Run all packages QA of project.") $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@package-qa) $(3)@@package-deploy: $(3)@package-qa @@ -157,7 +171,7 @@ $(3)@@package-deploy-deps:: $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@package-deploy-deps) $(3)@package-deploy: $(3)@@package-deploy $(3)@@package-deploy-deps $(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target$(if $(3),,_help),$(3)@package-deploy,"Deploy all the packages.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target$$(if $(3),,_help),$(3)@package-deploy,"Deploy all the packages.") $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@package-deploy) $(3)@@all: $(3)@package-qa @@ -167,7 +181,7 @@ $(3)@@all-deps:: $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@all-deps) $(3)@all: $(3)@@all $(3)@@all-deps $(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target$(if $(3),,_help),$(3)@all,"Run full build and package QA tests.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target$$(if $(3),,_help),$(3)@all,"Run full build and package QA tests.") $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@all) .RECIPEPREFIX := $(MB_@RECIPEPREFIX) diff --git a/lib/msxbuild.mk b/lib/msxbuild.mk index 3860717..34821c1 100644 --- a/lib/msxbuild.mk +++ b/lib/msxbuild.mk @@ -19,6 +19,7 @@ endif MB_@RECIPE := ] MB_@RECIPEPREFIX := $(.RECIPEPREFIX) MB_@BASEPATH := $(dir $(lastword $(MAKEFILE_LIST))) +MB_@WORK := /../_work/ MB_@INCLUDE_CHAIN := # Build multiple groups of chains of includes @@ -81,6 +82,8 @@ MB_@INCLUDE_CHAIN := $(call mb_make_call,mb_doc_variable_rock,MB_@RECIPE,"Recipe prefix to set and indent flow eval rules.") $(call mb_make_call,mb_doc_variable_rock,MB_@RECIPEPREFIX,"Recipe prefix to restore to after flow eval rules.") $(call mb_make_call,mb_doc_variable_rock,MB_@BASEPATH,"Path where msxbuild lib folder is located.") +$(call mb_make_call,mb_doc_variable_rock,MB_@WORK,"Shorthand to move to the work folder of module.") +$(call mb_make_call,mb_doc_variable_rock,MB_@INCLUDE_CHAIN,"Helper to chain includes into groups.") # Provider+doc easy single function start point for user define mb_setup_default diff --git a/src/assert/msxhub/0module.mk b/src/assert/msxhub/0module.mk index 300d236..30e3fa9 100644 --- a/src/assert/msxhub/0module.mk +++ b/src/assert/msxhub/0module.mk @@ -49,6 +49,8 @@ _$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_openmsx_dosctl,$(ASSERT_MSXHUB_BIN) _$(MB_ᕽᕽᕽ  )grep -q "KONPASS COM" $(ASSERT_MSXHUB_BIN)/utils.out _$(MB_ᕽᕽᕽ  )grep -q "MAKE COM" $(ASSERT_MSXHUB_BIN)/utils.out _$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_echo_assert,$(MB_I18N_ASSERT_SUCCESS) $(ASSERT_MSXHUB_BIN)/@assert) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_proj_grow_assert_success,@test) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_proj_grow_assert_success,@test) $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target,$(ASSERT_MSXHUB_BIN)/@assert,"Asserts that all dist artifacts execute results.") $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_proj_grow_deps_phase,@test,$(ASSERT_MSXHUB_BIN)/@assert) $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_target_phony,$(ASSERT_MSXHUB_BIN)/@assert) diff --git a/src/example/dist-hello-qa-dos2/0module.mk b/src/example/dist-hello-qa-dos2/0module.mk index 687ed17..d5e101e 100644 --- a/src/example/dist-hello-qa-dos2/0module.mk +++ b/src/example/dist-hello-qa-dos2/0module.mk @@ -19,6 +19,9 @@ _$(MB_ᕽᕽᕽ  )grep -q "M80: Hello MSX" $(EXAMPLE_DIST_HELLO_QA_DOS2_BIN) _$(MB_ᕽᕽᕽ  )grep -q "SDCC: Hello MSX" $(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/hello2.out _$(MB_ᕽᕽᕽ  )grep -q "MAKE: Hello MSX" $(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/hello3.out _$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_echo_assert,$(MB_I18N_ASSERT_SUCCESS) $(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/@assert) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_proj_grow_assert_success,@package-qa) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_proj_grow_assert_success,@package-qa) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_proj_grow_assert_success,@package-qa) $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/@assert,"Assert binaries on msxdos2.") $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_proj_grow_deps_phase,@package-qa,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/@assert) $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_target_phony,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/@assert) diff --git a/src/example/dist-hello-qa-msx1/0module.mk b/src/example/dist-hello-qa-msx1/0module.mk index e7614c6..ca6dd38 100644 --- a/src/example/dist-hello-qa-msx1/0module.mk +++ b/src/example/dist-hello-qa-msx1/0module.mk @@ -22,6 +22,9 @@ _$(MB_ᕽᕽᕽ  )grep -q "M80: Hello MSX" $(EXAMPLE_DIST_HELLO_QA_MSX1_BIN) _$(MB_ᕽᕽᕽ  )grep -q "SDCC: Hello MSX" $(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/hello2.out _$(MB_ᕽᕽᕽ  )grep -q "MAKE: Hello MSX" $(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/hello3.out _$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_echo_assert,$(MB_I18N_ASSERT_SUCCESS) $(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/@assert) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_proj_grow_assert_success,@package-qa) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_proj_grow_assert_success,@package-qa) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_proj_grow_assert_success,@package-qa) $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/@assert,"Assert binaries on MSX1 machine.") $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_proj_grow_deps_phase,@package-qa,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/@assert) $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_target_phony,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/@assert) diff --git a/src/example/dist-hello/0module.mk b/src/example/dist-hello/0module.mk index 2bb31d0..065dbed 100644 --- a/src/example/dist-hello/0module.mk +++ b/src/example/dist-hello/0module.mk @@ -1,7 +1,7 @@ EXAMPLE_DIST_HELLO_SRC := $(call mb_make_call,mb_make_module_path_src,$(PATH_SRC)) EXAMPLE_DIST_HELLO_BIN := $(call mb_make_call,mb_make_module_path_bin,$(PATH_SRC),$(PATH_BIN)) -EXAMPLE_DIST_HELLO_OUT := $(PATH_BIN)/dist-hello.tar.gz +EXAMPLE_DIST_HELLO_OUT := $(PATH_BIN)/example-dist-hello.tar.gz EXAMPLE_DIST_HELLO_DEPS := $(EXAMPLE_DIST_HELLO_BIN)/readme.txt \ $(EXAMPLE_DIST_HELLO_BIN)/hello1.com \ $(EXAMPLE_DIST_HELLO_BIN)/hello2.com \ From 337f8666e4d631edf964707513b8a3693836620d Mon Sep 17 00:00:00 2001 From: Willem Date: Sun, 14 Jul 2024 22:39:03 +0200 Subject: [PATCH 212/274] Split help targets more. --- README.md | 4 ++-- lib/make/सिस्टमₚᵣₐᵧToੴ/mb_doc.mk | 14 +++++++++++++ lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk | 4 ++-- lib/make/𓃬𓍄𓋹𓀭/mb_flow_help.mk | 18 ++++++++++++++++ lib/make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk | 25 +++++++++++------------ src/assert/msxhub/0module.mk | 2 +- src/example/dist-hello-qa-dos1/0module.mk | 2 +- src/example/dist-hello-qa-dos2/0module.mk | 2 +- src/example/dist-hello-qa-msx1/0module.mk | 2 +- src/tools/gluedos1/0module.mk | 2 +- 10 files changed, 53 insertions(+), 22 deletions(-) diff --git a/README.md b/README.md index 4eb79c9..708d0a3 100644 --- a/README.md +++ b/README.md @@ -134,10 +134,10 @@ When you want to see whats happening do a debug run; real 0m4.226s manual test all packages on other MSX1 machine; - make DIST_QA_MSXHUB_MACHINE=Philips_VG_8000 bin/dist-qa-msxhub/@run + make ASSERT_MSXHUB_MACHINE=Philips_VG_8000 bin/assert/msxhub/@run view the make graph of an target; - make -Bnd bin/dist-qa-msx1/@assert | make2graph | dot -Tpng -o /tmp/out.png;open /tmp/out.png + make -Bnd bin/example/dist-hello-qa-msx1/@assert | make2graph | dot -Tpng -o /tmp/out.png;open /tmp/out.png ## Errata diff --git a/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_doc.mk b/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_doc.mk index 8242f8c..59aab60 100644 --- a/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_doc.mk +++ b/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_doc.mk @@ -32,6 +32,12 @@ MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,_mb_doc_variable4u_flow,MB_DOC_ MB_DOC_FIRE_TARGET_RUN ?= \\n MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,_mb_doc_variable4u_flow,MB_DOC_FIRE_TARGET_RUN,"Flow builder of firemake run target data.") +MB_DOC_FIRE_TARGET_MODULE ?= \\n +MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,_mb_doc_variable4u_flow,MB_DOC_FIRE_TARGET_MODULE,"Flow builder of firemake module target data.") + +MB_DOC_FIRE_TARGET_ASSERT ?= \\n +MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,_mb_doc_variable4u_flow,MB_DOC_FIRE_TARGET_ASSERT,"Flow builder of firemake assert target data.") + MB_DOC_FIRE_TARGET_HELP ?= \\n MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,_mb_doc_variable4u_flow,MB_DOC_FIRE_TARGET_HELP,"Flow builder of firemake help data.") @@ -149,4 +155,12 @@ define mb_doc_target_run $(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_TARGET_RUN += $$(call mb_make_call,_mb_doc_target_$$(MB_DOC_FORMAT),run,$(1),$(2))) endef MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_target_run,"Saves formatted documention of an run target."," [desc]") +define mb_doc_target_module +$(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_TARGET_MODULE += $$(call mb_make_call,_mb_doc_target_$$(MB_DOC_FORMAT),module,$(1),$(2))) +endef +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_target_module,"Saves formatted documention of an module target."," [desc]") +define mb_doc_target_assert +$(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_TARGET_ASSERT += $$(call mb_make_call,_mb_doc_target_$$(MB_DOC_FORMAT),assert,$(1),$(2))) +endef +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_target_assert,"Saves formatted documention of an assert target."," [desc]") diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk index 8360ac1..f571133 100644 --- a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk +++ b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk @@ -8,7 +8,7 @@ $(1)/@assert/$(5)/$(2): $(1)/@build $(MB_@RECIPE)grep -q -U $(4) $(1)/$(3) $(MB_@RECIPE)$$(call mb_make_call,mb_os_echo_assert,$$(MB_I18N_ASSERT_SUCCESS) $(1)/@assert/$(2)/$(5)) $(MB_@RECIPE)$$(call mb_make_call,mb_proj_grow_assert_success,$(5)) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target,$(1)/@assert/$(5)/$(2),"Asserts that $(3) output matches.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_assert,$(1)/@assert/$(5)/$(2),"Asserts that $(3) output matches.") $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(1)/@assert/$(5)/$(2)) $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_proj_grow_deps_phase,$(5),$(1)/@assert/$(5)/$(2)) @@ -42,7 +42,7 @@ $(MB_@RECIPE)$$(call mb_make_call,mb_msxpipe_safe_assert,$(7),$(3) > $(3).out,$( $(MB_@RECIPE)grep -q $(4) $(7)/$(3).out $(MB_@RECIPE)$$(call mb_make_call,mb_os_echo_assert,$$(MB_I18N_ASSERT_SUCCESS) $(1)/@assert/$(2)/$(5)) $(MB_@RECIPE)$$(call mb_make_call,mb_proj_grow_assert_success,$(5)) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target,$(1)/@assert/$(5)/$(2),"Asserts that $(3) output matches.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_assert,$(1)/@assert/$(5)/$(2),"Asserts that $(3) output matches.") $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(1)/@assert/$(5)/$(2)) $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_proj_grow_deps_phase,$(5),$(1)/@assert/$(5)/$(2)) diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_help.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_help.mk index d01133c..7e52c72 100644 --- a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_help.mk +++ b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_help.mk @@ -74,6 +74,18 @@ $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_RUN) $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@help-target-run,"Lists runnable targets.") $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@help-target-run) +@help-target-module: +$(MB_@RECIPE)@echo -e "Build one of the following module make targets;" +$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_MODULE) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@help-target-module,"Lists module targets.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@help-target-module) + +@help-target-assert: +$(MB_@RECIPE)@echo -e "Build one of the following assert make targets;" +$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_ASSERT) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@help-target-assert,"Lists assert targets.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@help-target-assert) + @help-all: $(MB_@RECIPE)@echo -e "Documention of all fire functions/variables/targets;\\n" $(MB_@RECIPE)$$(call mb_make_call,mb_os_echo_good,"Variables") @@ -98,6 +110,10 @@ $(MB_@RECIPE)$$(call mb_make_call,mb_os_echo_good,"Targets in the deep") $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_DEEP) $(MB_@RECIPE)$$(call mb_make_call,mb_os_echo_good,"Targets that run away") $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_RUN) +$(MB_@RECIPE)$$(call mb_make_call,mb_os_echo_good,"Targets from modules builds") +$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_MODULE) +$(MB_@RECIPE)$$(call mb_make_call,mb_os_echo_good,"Targets that assert hopefully") +$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_ASSERT) $(MB_@RECIPE)$$(call mb_make_call,mb_os_echo_good,"Targets main project help") $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_HELP) $(MB_@RECIPE)$$(call mb_make_call,mb_os_echo_good,OK) @@ -118,6 +134,8 @@ $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_FUNCTION_FLOW) $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET) $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_DEEP) $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_RUN) +$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_MODULE) +$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_ASSERT) $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_HELP) $(MB_@RECIPE)@echo -e $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT)) $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@help-firemake,"Output all documention in XML for IDE integration.") diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk index 780cadb..f87af48 100644 --- a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk +++ b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk @@ -67,7 +67,7 @@ $(3)@clean: $(3)@@clean $(3)@@clean-deps $(MB_@RECIPE)$$(if $$(wildcard $(1)),$$(call mb_make_call,mb_os_echo_command,$$(MB_I18N_PROJ_DIR_DELETE) $(1))) $(MB_@RECIPE)$$(if $$(wildcard $(1)),$$(call mb_make_call,mb_os_dir_delete,$(1))) $(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target$$(if $(3),,_help),$(3)@clean,"Clean's the project build folders.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target$$(if $(3),_module,_help),$(3)@clean,"Clean's the project build folders.") $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@clean) $(3)@@init: $(2) @@ -79,7 +79,7 @@ $(3)@init: $(3)@@init $(3)@@init-deps $(MB_@RECIPE)$$(if $$(wildcard $(1)),,$$(call mb_make_call,mb_os_echo_command,$$(MB_I18N_PROJ_DIR_CREATE) $(1))) $(MB_@RECIPE)$$(if $$(wildcard $(1)),,$$(call mb_make_call,mb_os_dir_create,$(1))) $(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target$$(if $(3),,_help),$(3)@init,"Create the project output folders.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target$$(if $(3),_module,_help),$(3)@init,"Create the project output folders.") $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@init) $(3)@@prepare: $(3)@init @@ -89,7 +89,7 @@ $(3)@@prepare-deps:: $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@prepare-deps) $(3)@prepare: $(3)@@prepare $(3)@@prepare-deps $(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target$$(if $(3),,_help),$(3)@prepare,"Prepare sources before processing.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target$$(if $(3),_module,_help),$(3)@prepare,"Prepare sources before processing.") $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@prepare) $(3)@@process: $(3)@prepare @@ -99,7 +99,7 @@ $(3)@@process-deps:: $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@process-deps) $(3)@process: $(3)@@process $(3)@@process-deps $(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target$$(if $(3),,_help),$(3)@process,"Process sources before compiling.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target$$(if $(3),_module,_help),$(3)@process,"Process sources before compiling.") $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@process) $(3)@@compile: $(3)@process @@ -109,7 +109,7 @@ $(3)@@compile-deps:: $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@compile-deps) $(3)@compile: $(3)@@compile $(3)@@compile-deps $(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target$$(if $(3),,_help),$(3)@compile,"Compiles all project sources.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target$$(if $(3),_module,_help),$(3)@compile,"Compiles all project sources.") $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@compile) $(3)@@link: $(3)@compile @@ -119,7 +119,7 @@ $(3)@@link-deps:: $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@link-deps) $(3)@link: $(3)@@link $(3)@@link-deps $(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target$$(if $(3),,_help),$(3)@link,"Link all project intermediate files.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target$$(if $(3),_module,_help),$(3)@link,"Link all project intermediate files.") $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@link) $(3)@@build: $(3)@link @@ -129,7 +129,7 @@ $(3)@@build-deps:: $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@build-deps) $(3)@build: $(3)@@build $(3)@@build-deps $(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target$$(if $(3),,_help),$(3)@build,"Build all project artifacts.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target$$(if $(3),_module,_help),$(3)@build,"Build all project artifacts.") $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@build) $(3)@@test: $(3)@build @@ -140,7 +140,7 @@ $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@test-dep $(3)@test: $(3)@@test $(3)@@test-deps $(MB_@RECIPE)$$(if $(3),,$$(call mb_make_call,mb_os_echo_fail,$$(MB_I18N_PROJ_ASSERT_COUNT) @test: $$(words $$(filter @test,$$(MB_PROJ_FIRE_ASSERT_SUCCESS))))) $(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target$$(if $(3),,_help),$(3)@test,"Run all assertion tests.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target$$(if $(3),_module,_help),$(3)@test,"Run all assertion tests.") $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@test) $(3)@@package: $(3)@test @@ -150,7 +150,7 @@ $(3)@@package-deps:: $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@package-deps) $(3)@package: $(3)@@package $(3)@@package-deps $(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target$$(if $(3),,_help),$(3)@package,"Create all packages of project.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target$$(if $(3),_module,_help),$(3)@package,"Create all packages of project.") $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@package) $(3)@@package-qa: $(3)@package @@ -161,7 +161,7 @@ $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@package- $(3)@package-qa: $(3)@@package-qa $(3)@@package-qa-deps $(MB_@RECIPE)$$(if $(3),,$$(call mb_make_call,mb_os_echo_fail,$$(MB_I18N_PROJ_ASSERT_COUNT) @package-qa: $$(words $$(filter @package-qa,$$(MB_PROJ_FIRE_ASSERT_SUCCESS))))) $(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target$$(if $(3),,_help),$(3)@package-qa,"Run all packages QA of project.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target$$(if $(3),_module,_help),$(3)@package-qa,"Run all packages QA of project.") $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@package-qa) $(3)@@package-deploy: $(3)@package-qa @@ -171,7 +171,7 @@ $(3)@@package-deploy-deps:: $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@package-deploy-deps) $(3)@package-deploy: $(3)@@package-deploy $(3)@@package-deploy-deps $(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target$$(if $(3),,_help),$(3)@package-deploy,"Deploy all the packages.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target$$(if $(3),_module,_help),$(3)@package-deploy,"Deploy all the packages.") $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@package-deploy) $(3)@@all: $(3)@package-qa @@ -181,7 +181,7 @@ $(3)@@all-deps:: $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@all-deps) $(3)@all: $(3)@@all $(3)@@all-deps $(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target$$(if $(3),,_help),$(3)@all,"Run full build and package QA tests.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target$$(if $(3),_module,_help),$(3)@all,"Run full build and package QA tests.") $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@all) .RECIPEPREFIX := $(MB_@RECIPEPREFIX) @@ -288,7 +288,6 @@ define __mb_flow_proj_module_run $(1)/@run: $(1)/@build $(MB_@RECIPE)$$(call mb_make_call,mb_msxpipe_run_gui,$(1),,$(2)) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target,$(1)/@run,"Run manually with gui.") $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_run,$(1)/@run,"Run manually with gui.") $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(1)/@run) diff --git a/src/assert/msxhub/0module.mk b/src/assert/msxhub/0module.mk index 30e3fa9..b533d8e 100644 --- a/src/assert/msxhub/0module.mk +++ b/src/assert/msxhub/0module.mk @@ -51,7 +51,7 @@ _$(MB_ᕽᕽᕽ  )grep -q "MAKE COM" $(ASSERT_MSXHUB_BIN)/utils.out _$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_echo_assert,$(MB_I18N_ASSERT_SUCCESS) $(ASSERT_MSXHUB_BIN)/@assert) _$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_proj_grow_assert_success,@test) _$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_proj_grow_assert_success,@test) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target,$(ASSERT_MSXHUB_BIN)/@assert,"Asserts that all dist artifacts execute results.") +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target_assert,$(ASSERT_MSXHUB_BIN)/@assert,"Asserts that all dist artifacts execute results.") $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_proj_grow_deps_phase,@test,$(ASSERT_MSXHUB_BIN)/@assert) $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_target_phony,$(ASSERT_MSXHUB_BIN)/@assert) diff --git a/src/example/dist-hello-qa-dos1/0module.mk b/src/example/dist-hello-qa-dos1/0module.mk index eff178b..7eed1ab 100644 --- a/src/example/dist-hello-qa-dos1/0module.mk +++ b/src/example/dist-hello-qa-dos1/0module.mk @@ -14,7 +14,7 @@ _$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_assert,$(EXAMP _$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_exit,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN)) _$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_openmsx_dosctl,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN)) _$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_echo_assert,$(MB_I18N_ASSERT_SUCCESS) $(EXAMPLE_DIST_HELLO_QA_DOS1_BIN)/@assert) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN)/@assert,"Assert binaries on msxdos1.") +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target_assert,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN)/@assert,"Assert binaries on msxdos1.") $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_proj_grow_deps_phase,@package-qa,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN)/@assert) $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_target_phony,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN)/@assert) diff --git a/src/example/dist-hello-qa-dos2/0module.mk b/src/example/dist-hello-qa-dos2/0module.mk index d5e101e..a12aed2 100644 --- a/src/example/dist-hello-qa-dos2/0module.mk +++ b/src/example/dist-hello-qa-dos2/0module.mk @@ -22,7 +22,7 @@ _$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_echo_assert,$(MB_I18N_ASSERT_SUC _$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_proj_grow_assert_success,@package-qa) _$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_proj_grow_assert_success,@package-qa) _$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_proj_grow_assert_success,@package-qa) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/@assert,"Assert binaries on msxdos2.") +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target_assert,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/@assert,"Assert binaries on msxdos2.") $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_proj_grow_deps_phase,@package-qa,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/@assert) $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_target_phony,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/@assert) diff --git a/src/example/dist-hello-qa-msx1/0module.mk b/src/example/dist-hello-qa-msx1/0module.mk index ca6dd38..43c04da 100644 --- a/src/example/dist-hello-qa-msx1/0module.mk +++ b/src/example/dist-hello-qa-msx1/0module.mk @@ -25,7 +25,7 @@ _$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_echo_assert,$(MB_I18N_ASSERT_SUC _$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_proj_grow_assert_success,@package-qa) _$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_proj_grow_assert_success,@package-qa) _$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_proj_grow_assert_success,@package-qa) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/@assert,"Assert binaries on MSX1 machine.") +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target_assert,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/@assert,"Assert binaries on MSX1 machine.") $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_proj_grow_deps_phase,@package-qa,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/@assert) $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_target_phony,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/@assert) diff --git a/src/tools/gluedos1/0module.mk b/src/tools/gluedos1/0module.mk index 2789843..5c57dc8 100644 --- a/src/tools/gluedos1/0module.mk +++ b/src/tools/gluedos1/0module.mk @@ -23,7 +23,7 @@ _$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_assert,$(GLUED _$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_exit,$(GLUEDOS1_BIN)) _$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_openmsx_dosctl,$(GLUEDOS1_BIN)) _$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_echo_assert,$(MB_I18N_ASSERT_SUCCESS) $(GLUEDOS1_BIN)/@assert/@test/check-simple) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target,$(GLUEDOS1_BIN)/@assert/@test/check-simple,"Assert binaries on msxdos1.") +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target_assert,$(GLUEDOS1_BIN)/@assert/@test/check-simple,"Assert binaries on msxdos1.") $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_proj_grow_deps_phase,@test,$(GLUEDOS1_BIN)/@assert/@test/check-simple) $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_target_phony,$(GLUEDOS1_BIN)/@assert/@test/check-simple) From 1b799cfca26c2858ddc38a425e64ab0b5a2b3167 Mon Sep 17 00:00:00 2001 From: Willem Date: Sun, 14 Jul 2024 22:47:57 +0200 Subject: [PATCH 213/274] Updated with new targets. --- README.md | 17 ++++++++++------- 1 file changed, 10 insertions(+), 7 deletions(-) diff --git a/README.md b/README.md index 708d0a3..e755ad9 100644 --- a/README.md +++ b/README.md @@ -83,6 +83,8 @@ When using the `mb_setup_default` this add a help system which works by running: * @help-target * @help-target-deep * @help-target-run + * @help-target-module + * @help-target-assert * @help-all * @help-firemake * @clean @@ -100,25 +102,26 @@ When using the `mb_setup_default` this add a help system which works by running: * @flight-video-build * @flight-video-test * @flight-video-package-qa + * @flight-video-all -Running: `make @help-all | grep "*" | wc -l` to count all documented items of an project; +Running: `make @help-all | grep "*" | wc -l` to count all documented items of an (example) project; - 381 + 546 ## Example Project When you want to see whats happening do a debug run; normal run; - time make @package-qa + time make real 0m8.058s debug run at 11 Mhz; - time VERBOSE=on DEBUG=on MB_OPENMSX_HEADLESS=off MB_OPENMSX_THROTTLE=on make @package-qa + time VERBOSE=on DEBUG=on MB_OPENMSX_HEADLESS=off MB_OPENMSX_THROTTLE=on make real 2m34.071s debug run real msx speed; - time VERBOSE=on DEBUG=on MB_OPENMSX_HEADLESS=off MB_OPENMSX_THROTTLE=on MB_OPENMSX_SPEED=100 make @package-qa + time VERBOSE=on DEBUG=on MB_OPENMSX_HEADLESS=off MB_OPENMSX_THROTTLE=on MB_OPENMSX_SPEED=100 make real 2m37.379s record build run with screenshot on exit; @@ -129,8 +132,8 @@ When you want to see whats happening do a debug run; time make @flight-video-all real 0m18.184s - build parallel; - time make -j4 @package-qa + full build parallel; + time make -j4 @all real 0m4.226s manual test all packages on other MSX1 machine; From 893c848d1d88239266f4b292566cdd4b9ce98a53 Mon Sep 17 00:00:00 2001 From: Willem Date: Sun, 14 Jul 2024 22:58:47 +0200 Subject: [PATCH 214/274] More colors. --- lib/make/天房系統擴展/mb_msxpipe.mk | 8 ++++---- src/assert/msxhub/0module.mk | 2 +- src/example/dist-hello-qa-dos1/0module.mk | 2 +- src/example/dist-hello-qa-dos2/0module.mk | 2 +- src/example/dist-hello-qa-msx1/0module.mk | 2 +- src/example/hello-make4msx/0module.mk | 2 +- 6 files changed, 9 insertions(+), 9 deletions(-) diff --git a/lib/make/天房系統擴展/mb_msxpipe.mk b/lib/make/天房系統擴展/mb_msxpipe.mk index d3ee1a8..9fdc839 100644 --- a/lib/make/天房系統擴展/mb_msxpipe.mk +++ b/lib/make/天房系統擴展/mb_msxpipe.mk @@ -19,21 +19,21 @@ $(call mb_make_call,mb_doc_variable,MB_MSXPIPE_COLOR_BG_RUN_GUI,"Background colo define mb_msxpipe_safe_cmd -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_write_default,$(1),$(MB_MSXPIPE_COLOR_FG_SAFE_CMD),$(MB_MSXPIPE_COLOR_BG_SAFE_CMD)) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_write_default,$(1),$(if $(4),$(4),$(MB_MSXPIPE_COLOR_FG_SAFE_CMD)),$(if $(),$(5),$(MB_MSXPIPE_COLOR_BG_SAFE_CMD))) $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_safe_cmd,$(1),$(2)) $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_exit,$(1)) $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_openmsx_dosctl,$(1),$(3)) endef -$(call mb_make_call,mb_doc_function,mb_msxpipe_safe_cmd,"Runs openMSX and safely executes one command."," [machine]") +$(call mb_make_call,mb_doc_function,mb_msxpipe_safe_cmd,"Runs openMSX and safely executes one command."," [machine] [fg-color] [bg-color]") define mb_msxpipe_safe_assert -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_write_default,$(1),$(MB_MSXPIPE_COLOR_FG_SAFE_ASSERT),$(MB_MSXPIPE_COLOR_BG_SAFE_ASSERT)) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_write_default,$(1),$(if $(4),$(4),$(MB_MSXPIPE_COLOR_FG_SAFE_ASSERT)),$(if $(5),$(5),$(MB_MSXPIPE_COLOR_BG_SAFE_ASSERT))) $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_safe_assert,$(1),$(2)) $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_exit,$(1)) $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_openmsx_dosctl,$(1),$(3)) endef -$(call mb_make_call,mb_doc_function,mb_msxpipe_safe_assert,"Runs openMSX and safely executes one assert."," [machine]") +$(call mb_make_call,mb_doc_function,mb_msxpipe_safe_assert,"Runs openMSX and safely executes one assert."," [machine] [fg-color] [bg-color]") define _mb_msxpipe_run_gui diff --git a/src/assert/msxhub/0module.mk b/src/assert/msxhub/0module.mk index b533d8e..eec52aa 100644 --- a/src/assert/msxhub/0module.mk +++ b/src/assert/msxhub/0module.mk @@ -42,7 +42,7 @@ $(ASSERT_MSXHUB_BIN)/@assert: | $(ASSERT_MSXHUB_BIN) _$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_file_delete,$(ASSERT_MSXHUB_BIN)/ahello1.out) _$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_file_delete,$(ASSERT_MSXHUB_BIN)/ahello2.out) _$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_file_delete,$(ASSERT_MSXHUB_BIN)/utils.out) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_write_default,$(ASSERT_MSXHUB_BIN)) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_write_default,$(ASSERT_MSXHUB_BIN),336) _$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_assert,$(ASSERT_MSXHUB_BIN),dir utils > utils.out) _$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_exit,$(ASSERT_MSXHUB_BIN)) _$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_openmsx_dosctl,$(ASSERT_MSXHUB_BIN),$(ASSERT_MSXHUB_MACHINE)) diff --git a/src/example/dist-hello-qa-dos1/0module.mk b/src/example/dist-hello-qa-dos1/0module.mk index 7eed1ab..ba8cdbf 100644 --- a/src/example/dist-hello-qa-dos1/0module.mk +++ b/src/example/dist-hello-qa-dos1/0module.mk @@ -7,7 +7,7 @@ $(call mb_make_call,mb_flow_clone_deps,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN),$(EXAMP $(EXAMPLE_DIST_HELLO_QA_DOS1_BIN)/@assert: $(EXAMPLE_DIST_HELLO_QA_DOS1_BIN)/@build -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_write_default,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN)) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_write_default,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN),515) _$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_assert,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN),hello1) _$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_assert,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN),hello2) _$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_assert,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN),hello3) diff --git a/src/example/dist-hello-qa-dos2/0module.mk b/src/example/dist-hello-qa-dos2/0module.mk index a12aed2..5c03687 100644 --- a/src/example/dist-hello-qa-dos2/0module.mk +++ b/src/example/dist-hello-qa-dos2/0module.mk @@ -9,7 +9,7 @@ $(call mb_make_call,mb_flow_clone_deps,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN),$(EXAMP $(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/@assert: $(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/@build _$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_file_delete,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/ahello1.out) _$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_file_delete,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/ahello2.out) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_write_default,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_write_default,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN),515) _$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_assert,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN),hello1 > hello1.out) _$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_assert,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN),hello2 > hello2.out) _$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_assert,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN),hello3 > hello3.out) diff --git a/src/example/dist-hello-qa-msx1/0module.mk b/src/example/dist-hello-qa-msx1/0module.mk index 43c04da..5348abd 100644 --- a/src/example/dist-hello-qa-msx1/0module.mk +++ b/src/example/dist-hello-qa-msx1/0module.mk @@ -12,7 +12,7 @@ $(call mb_make_call,mb_flow_clone_deps,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN),$(EXAMP $(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/@assert: $(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/@build _$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_file_delete,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/ahello1.out) _$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_file_delete,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/ahello2.out) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_write_default,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN),40) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_write_default,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN),515) _$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_assert,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN),hello1 > hello1.out,33) _$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_assert,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN),hello2 > hello2.out,66,99) _$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_assert,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN),hello3 > hello3.out,50,100) diff --git a/src/example/hello-make4msx/0module.mk b/src/example/hello-make4msx/0module.mk index 70262e1..5951ef8 100644 --- a/src/example/hello-make4msx/0module.mk +++ b/src/example/hello-make4msx/0module.mk @@ -32,7 +32,7 @@ $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_proj_grow_deps_phase,@process,$(E $(EXAMPLE_HELLO_MAKE4MSX_BIN)/hello.com: $(EXAMPLE_HELLO_MAKE4MSX_BIN)/build.bat -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxpipe_safe_cmd,$(EXAMPLE_HELLO_MAKE4MSX_BIN),build shutdown) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxpipe_safe_cmd,$(EXAMPLE_HELLO_MAKE4MSX_BIN),build shutdown,,662) $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target_deep,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/hello.com) From be64071e8868b9f2d90d93d4049ce1045af76867 Mon Sep 17 00:00:00 2001 From: Willem Date: Mon, 15 Jul 2024 00:12:42 +0200 Subject: [PATCH 215/274] Added nestor80 example. --- lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_nestor80.mk | 74 +++++++++++++++++++++++ lib/make/ i18n/mb_i18n.mk | 6 ++ lib/make/ i18n/mb_i18n_BASIC.mk | 2 + lib/make/ i18n/mb_i18n_iu.mk | 2 + lib/make/ i18n/mb_i18n_ja.mk | 2 + lib/make/天房系統擴展/mb_msxpipe.mk | 2 +- lib/make/𓃬𓍄𓋹𓀭/mb_flow_nestor80.mk | 32 ++++++++++ lib/msxbuild.mk | 2 + src/example/dist-hello-qa-dos1/0module.mk | 1 + src/example/dist-hello-qa-dos2/0module.mk | 3 + src/example/dist-hello-qa-msx1/0module.mk | 9 ++- src/example/dist-hello/0module.mk | 4 ++ src/example/hello-nestor80/0module.mk | 9 +++ src/example/hello-nestor80/hello.mac | 20 ++++++ 14 files changed, 164 insertions(+), 4 deletions(-) create mode 100644 lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_nestor80.mk create mode 100644 lib/make/𓃬𓍄𓋹𓀭/mb_flow_nestor80.mk create mode 100644 src/example/hello-nestor80/0module.mk create mode 100644 src/example/hello-nestor80/hello.mac diff --git a/lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_nestor80.mk b/lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_nestor80.mk new file mode 100644 index 0000000..3a94a29 --- /dev/null +++ b/lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_nestor80.mk @@ -0,0 +1,74 @@ + +MB_NESTOR80_PATH ?= +$(call mb_make_call,mb_doc_variable,MB_NESTOR80_PATH,"Optional path where Nestor80 binaries are located.") + +MB_NESTOR80_FLAG_VERBOSE ?=--no-show-banner --no-color-output --verbosity 0 +$(call mb_make_call,mb_doc_variable_deep,MB_NESTOR80_FLAG_VERBOSE,"The Nestor80 shared verbose flags.") + +MB_NESTOR80_N80_FLAGS ?= +$(call mb_make_call,mb_doc_variable_deep,MB_NESTOR80_N80_FLAGS,"The N80 compile flags.") + +MB_NESTOR80_LK80_FLAGS ?= +$(call mb_make_call,mb_doc_variable_deep,MB_NESTOR80_LK80_FLAGS,"The LK80 linker flags.") + + +define _mb_nestor80_path +$(MB_ᕽᕽᕽ   )$(if $(MB_NESTOR80_PATH),$(MB_NESTOR80_PATH)/$(1),$(1)) +endef + + +define mb_nestor80_compile +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_os_echo_command,$(MB_I18N_NESTOR80_COMPILE) $(2)) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,_mb_nestor80_path,N80) $(1) $(2) $(MB_NESTOR80_N80_FLAGS) $(MB_NESTOR80_FLAG_VERBOSE) +endef +$(call mb_make_call,mb_doc_function,mb_nestor80_compile,"Compiles source code."," ") + + +define mb_nestor80_link +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_os_echo_command,$(MB_I18N_NESTOR80_LINK) $(2) @ $(3)) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,_mb_nestor80_path,LK80) $(MB_NESTOR80_LK80_FLAGS) $(MB_NESTOR80_FLAG_VERBOSE) --code $(3) --output-format bin -o $(2) $(1) +endef +$(call mb_make_call,mb_doc_function,mb_nestor80_link,"Links to custom code location."," ") + + +define mb_nestor80_link_0000 +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_nestor80_link,$(1),$(2),0000h) +endef +$(call mb_make_call,mb_doc_function,mb_nestor80_link_0000,"Links to 0x0000."," ") + + +define mb_nestor80_link_0100 +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_nestor80_link,$(1),$(2),0100h) +endef +$(call mb_make_call,mb_doc_function,mb_nestor80_link_0100,"Links to 0x0100."," ") + + +define mb_nestor80_link_1000 +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_nestor80_link,$(1),$(2),1000h) +endef +$(call mb_make_call,mb_doc_function,mb_nestor80_link_1000,"Links to 0x1000."," ") + + +define mb_nestor80_link_4000 +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_nestor80_link,$(1),$(2),4000h) +endef +$(call mb_make_call,mb_doc_function,mb_nestor80_link_4000,"Links to 0x4000."," ") + + +define mb_nestor80_link_8000 +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_nestor80_link,$(1),$(2),8000h) +endef +$(call mb_make_call,mb_doc_function,mb_nestor80_link_8000,"Links to 0x8000."," ") + + +define mb_nestor80_link_C000 +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_nestor80_link,$(1),$(2),C000h) +endef +$(call mb_make_call,mb_doc_function,mb_nestor80_link_C000,"Links to 0xC000."," ") + + +define mb_nestor80_link_bdos +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_nestor80_link_0100,$(1),$(2)) +endef +$(call mb_make_call,mb_doc_function,mb_nestor80_link_bdos,"Links to BDOS."," ") + diff --git a/lib/make/ i18n/mb_i18n.mk b/lib/make/ i18n/mb_i18n.mk index 5d8cdfb..fa44a11 100644 --- a/lib/make/ i18n/mb_i18n.mk +++ b/lib/make/ i18n/mb_i18n.mk @@ -68,6 +68,12 @@ $(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_ASSERT_COUNT,"Message for MB_I18N_FLOW_CLONE_REPORT ?= Clone troopers $(call mb_make_call,mb_doc_variable_i18n,MB_I18N_FLOW_CLONE_REPORT,"Message for total amount of cloned files.") +MB_I18N_NESTOR80_COMPILE ?= Nestor80 compile +$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_NESTOR80_COMPILE,"Message for Nestor80 compiler run.") + +MB_I18N_NESTOR80_LINK ?= Nestor80 linking +$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_NESTOR80_LINK,"Message for Nestor80 linker run.") + MB_I18N_SDCC_COMPILE ?= SDCC Compile super $(call mb_make_call,mb_doc_variable_i18n,MB_I18N_SDCC_COMPILE,"Message for SDCC compiler run.") diff --git a/lib/make/ i18n/mb_i18n_BASIC.mk b/lib/make/ i18n/mb_i18n_BASIC.mk index f6fb1dd..fc16c34 100644 --- a/lib/make/ i18n/mb_i18n_BASIC.mk +++ b/lib/make/ i18n/mb_i18n_BASIC.mk @@ -21,6 +21,8 @@ MB_I18N_PROJ_DIR_CREATE = FOLDER CREATE: MB_I18N_PROJ_DIR_DELETE = FOLDER DELETE: MB_I18N_PROJ_ASSERT_COUNT = TOTAL ASSERT OK: MB_I18N_FLOW_CLONE_REPORT = GEM⁴ TROOPERS: +MB_I18N_NESTOR80_COMPILE = N80 CHURCH: +MB_I18N_NESTOR80_LINK = LK80 PRAYER: MB_I18N_SDCC_COMPILE = ATARI COMPILE: MB_I18N_SDCC_ARLIB = ATARI ARLIB: MB_I18N_SDCC_LINK = ATARI LINK []: diff --git a/lib/make/ i18n/mb_i18n_iu.mk b/lib/make/ i18n/mb_i18n_iu.mk index 3f32cc7..46884cb 100644 --- a/lib/make/ i18n/mb_i18n_iu.mk +++ b/lib/make/ i18n/mb_i18n_iu.mk @@ -21,6 +21,8 @@ MB_I18N_PROJ_DIR_CREATE = ᑐᖅᑯᐃᕝᕕᓕᐅᕐᓂᖅ MB_I18N_PROJ_DIR_DELETE = ᑐᖅᑯᖅᓯᓯᒪᕝᕕᖓ ᐲᔭᖅᑕᐅᓗᓂ MB_I18N_PROJ_ASSERT_COUNT = ᑲᑎᖦᖢᒋᑦ ᑕᐃᒪᐃᓐᓂᕋᖅᑕᐅᔪᑦ MB_I18N_FLOW_CLONE_REPORT = ᐃᓐᓈᕈᐃᑦ +MB_I18N_NESTOR80_COMPILE = ᓂᖀᑦ ᓄᑕᐅᙱᑦᑐᑦ ᑲᑎᖅᓱᒐᑦ +MB_I18N_NESTOR80_LINK = ᓱᓪᓗᑯᑖᒃᑯᑦ ᐊᒃᑐᐊᖃᑦᑕᐅᑎᓂᖅ MB_I18N_SDCC_COMPILE = ᖃᕆᑕᐅᔭᕋᓛᖅᓄᐊᑦᓯᓂᖅ MB_I18N_SDCC_ARLIB = ᖃᕆᑕᐅᔭᕋᓛᖅᑐᖅᑯᖅᑕᐅᓯᒪᔪᑦ MB_I18N_SDCC_LINK = ᖃᕆᑕᐅᔭᕋᓛᖅᐊᑦᑕᑕᕐᕕᒃᓴ diff --git a/lib/make/ i18n/mb_i18n_ja.mk b/lib/make/ i18n/mb_i18n_ja.mk index 34bde50..6f7a048 100644 --- a/lib/make/ i18n/mb_i18n_ja.mk +++ b/lib/make/ i18n/mb_i18n_ja.mk @@ -21,6 +21,8 @@ MB_I18N_PROJ_DIR_CREATE = フォルダーの作成 MB_I18N_PROJ_DIR_DELETE = フォルダーの削除 MB_I18N_PROJ_ASSERT_COUNT = 完了したアサーションの合計 MB_I18N_FLOW_CLONE_REPORT = クローン・トルーパー +MB_I18N_NESTOR80_COMPILE = ネスターの古いコンパイル +MB_I18N_NESTOR80_LINK = ネストルの古いリンク MB_I18N_SDCC_COMPILE = 標準マイクロコンパイラ MB_I18N_SDCC_ARLIB = 標準マイクロビルドライブラリ MB_I18N_SDCC_LINK = 標準マイクロリンクメッカ図書館 diff --git a/lib/make/天房系統擴展/mb_msxpipe.mk b/lib/make/天房系統擴展/mb_msxpipe.mk index 9fdc839..cf02236 100644 --- a/lib/make/天房系統擴展/mb_msxpipe.mk +++ b/lib/make/天房系統擴展/mb_msxpipe.mk @@ -19,7 +19,7 @@ $(call mb_make_call,mb_doc_variable,MB_MSXPIPE_COLOR_BG_RUN_GUI,"Background colo define mb_msxpipe_safe_cmd -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_write_default,$(1),$(if $(4),$(4),$(MB_MSXPIPE_COLOR_FG_SAFE_CMD)),$(if $(),$(5),$(MB_MSXPIPE_COLOR_BG_SAFE_CMD))) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_write_default,$(1),$(if $(4),$(4),$(MB_MSXPIPE_COLOR_FG_SAFE_CMD)),$(if $(5),$(5),$(MB_MSXPIPE_COLOR_BG_SAFE_CMD))) $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_safe_cmd,$(1),$(2)) $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_exit,$(1)) $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_openmsx_dosctl,$(1),$(3)) diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_nestor80.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_nestor80.mk new file mode 100644 index 0000000..5e5c9c0 --- /dev/null +++ b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_nestor80.mk @@ -0,0 +1,32 @@ + +define __mb_flow_nestor80_bdos_mono +.RECIPEPREFIX := $(MB_@RECIPE) + +$(1)/@@prepare-deps:: $(1)/$(3).mac +$(1)/$(3).mac: $(2)/$(3).mac | $(1)/@init +$(MB_@RECIPE)$$(call mb_make_call,mb_os_file_copy,$$<,$$@) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).mac) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_proj_grow_deps_phase,@prepare,$(1)/$(3).mac) + +$(1)/@@compile-deps:: $(1)/$(3).rel +$(1)/$(3).rel: $(1)/$(3).mac +$(MB_@RECIPE)$$(call mb_make_call,mb_nestor80_compile,$$<,$$@) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).rel) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_proj_grow_deps_phase,@compile,$(1)/$(3).rel) + +$(1)/@@link-deps:: $(1)/$(3).com +$(1)/$(3).com: $(1)/$(3).rel +$(MB_@RECIPE)$$(call mb_make_call,mb_nestor80_link_bdos,$$<,$$@) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).com) +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_proj_grow_deps_phase,@link,$(1)/$(3).com) + +.RECIPEPREFIX := $(MB_@RECIPEPREFIX) +endef +define mb_flow_nestor80_bdos_mono +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg3,$(0),$(1),$(2),$(3)) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_flow_proj_setup,$(1),@init,$(1)) +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_flow_proj_module_run,$(1)) +$(MB_ᕽᕽᕽ   )$(eval $(call mb_make_call,__$(0),$(1),$(2),$(3))) +endef +$(call mb_make_call,mb_doc_function_flow,mb_flow_nestor80_bdos_mono,"Inject flow of Nestor80 toolchain bdos mono file module."," ") + diff --git a/lib/msxbuild.mk b/lib/msxbuild.mk index 34821c1..8009f17 100644 --- a/lib/msxbuild.mk +++ b/lib/msxbuild.mk @@ -45,6 +45,7 @@ $(eval $(call __mb_include,make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_os.mk)) $(eval $(call __mb_include,make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_conv.mk)) $(eval $(call __mb_include,make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_sdcc.mk)) $(eval $(call __mb_include,make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_package.mk)) +$(eval $(call __mb_include,make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_nestor80.mk)) @@include-libs:: $(MB_@INCLUDE_CHAIN) MB_@INCLUDE_CHAIN := @@include-parent $(eval $(call __mb_include,make/天房系統擴展/mb_msxrom.mk)) @@ -59,6 +60,7 @@ $(eval $(call __mb_include,make/𓃬𓍄𓋹𓀭/mb_flow_help.mk)) $(eval $(call __mb_include,make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk)) $(eval $(call __mb_include,make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk)) $(eval $(call __mb_include,make/𓃬𓍄𓋹𓀭/mb_flow_macro80.mk)) +$(eval $(call __mb_include,make/𓃬𓍄𓋹𓀭/mb_flow_nestor80.mk)) $(eval $(call __mb_include,make/𓃬𓍄𓋹𓀭/mb_flow_sdcc.mk)) $(eval $(call __mb_include,make/𓃬𓍄𓋹𓀭/mb_flow_clone.mk)) @@include-libs:: $(MB_@INCLUDE_CHAIN) diff --git a/src/example/dist-hello-qa-dos1/0module.mk b/src/example/dist-hello-qa-dos1/0module.mk index ba8cdbf..738c0f3 100644 --- a/src/example/dist-hello-qa-dos1/0module.mk +++ b/src/example/dist-hello-qa-dos1/0module.mk @@ -11,6 +11,7 @@ _$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_write_default,$(EXAMPLE_DI _$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_assert,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN),hello1) _$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_assert,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN),hello2) _$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_assert,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN),hello3) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_assert,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN),hello4) _$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_exit,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN)) _$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_openmsx_dosctl,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN)) _$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_echo_assert,$(MB_I18N_ASSERT_SUCCESS) $(EXAMPLE_DIST_HELLO_QA_DOS1_BIN)/@assert) diff --git a/src/example/dist-hello-qa-dos2/0module.mk b/src/example/dist-hello-qa-dos2/0module.mk index 5c03687..f2ad6a7 100644 --- a/src/example/dist-hello-qa-dos2/0module.mk +++ b/src/example/dist-hello-qa-dos2/0module.mk @@ -13,15 +13,18 @@ _$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_write_default,$(EXAMPLE_DI _$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_assert,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN),hello1 > hello1.out) _$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_assert,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN),hello2 > hello2.out) _$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_assert,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN),hello3 > hello3.out) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_assert,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN),hello4 > hello4.out) _$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_exit,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)) _$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_openmsx_dosctl,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)) _$(MB_ᕽᕽᕽ  )grep -q "M80: Hello MSX" $(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/hello1.out _$(MB_ᕽᕽᕽ  )grep -q "SDCC: Hello MSX" $(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/hello2.out _$(MB_ᕽᕽᕽ  )grep -q "MAKE: Hello MSX" $(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/hello3.out +_$(MB_ᕽᕽᕽ  )grep -q "N80: Hello MSX" $(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/hello4.out _$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_echo_assert,$(MB_I18N_ASSERT_SUCCESS) $(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/@assert) _$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_proj_grow_assert_success,@package-qa) _$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_proj_grow_assert_success,@package-qa) _$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_proj_grow_assert_success,@package-qa) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_proj_grow_assert_success,@package-qa) $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target_assert,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/@assert,"Assert binaries on msxdos2.") $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_proj_grow_deps_phase,@package-qa,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/@assert) $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_target_phony,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/@assert) diff --git a/src/example/dist-hello-qa-msx1/0module.mk b/src/example/dist-hello-qa-msx1/0module.mk index 5348abd..10e9e37 100644 --- a/src/example/dist-hello-qa-msx1/0module.mk +++ b/src/example/dist-hello-qa-msx1/0module.mk @@ -13,18 +13,21 @@ $(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/@assert: $(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/@bu _$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_file_delete,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/ahello1.out) _$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_file_delete,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/ahello2.out) _$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_write_default,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN),515) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_assert,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN),hello1 > hello1.out,33) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_assert,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN),hello2 > hello2.out,66,99) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_assert,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN),hello3 > hello3.out,50,100) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_assert,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN),hello1 > hello1.out) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_assert,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN),hello2 > hello2.out) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_assert,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN),hello3 > hello3.out) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_assert,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN),hello4 > hello4.out) _$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_exit,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)) _$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_openmsx_dosctl,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN),$(EXAMPLE_DIST_HELLO_QA_MSX1_MACHINE)) _$(MB_ᕽᕽᕽ  )grep -q "M80: Hello MSX" $(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/hello1.out _$(MB_ᕽᕽᕽ  )grep -q "SDCC: Hello MSX" $(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/hello2.out _$(MB_ᕽᕽᕽ  )grep -q "MAKE: Hello MSX" $(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/hello3.out +_$(MB_ᕽᕽᕽ  )grep -q "N80: Hello MSX" $(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/hello4.out _$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_echo_assert,$(MB_I18N_ASSERT_SUCCESS) $(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/@assert) _$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_proj_grow_assert_success,@package-qa) _$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_proj_grow_assert_success,@package-qa) _$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_proj_grow_assert_success,@package-qa) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_proj_grow_assert_success,@package-qa) $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target_assert,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/@assert,"Assert binaries on MSX1 machine.") $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_proj_grow_deps_phase,@package-qa,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/@assert) $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_target_phony,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/@assert) diff --git a/src/example/dist-hello/0module.mk b/src/example/dist-hello/0module.mk index 065dbed..b9ddd1c 100644 --- a/src/example/dist-hello/0module.mk +++ b/src/example/dist-hello/0module.mk @@ -6,6 +6,7 @@ EXAMPLE_DIST_HELLO_DEPS := $(EXAMPLE_DIST_HELLO_BIN)/readme.txt \ $(EXAMPLE_DIST_HELLO_BIN)/hello1.com \ $(EXAMPLE_DIST_HELLO_BIN)/hello2.com \ $(EXAMPLE_DIST_HELLO_BIN)/hello3.com \ + $(EXAMPLE_DIST_HELLO_BIN)/hello4.com $(EXAMPLE_DIST_HELLO_BIN): | @init _$(MB_ᕽᕽᕽ  )$(if $(wildcard $(EXAMPLE_DIST_HELLO_BIN)),,$(call mb_make_call,mb_os_dir_create,$(EXAMPLE_DIST_HELLO_BIN))) @@ -23,6 +24,9 @@ _$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_file_copy,$<,$@) $(EXAMPLE_DIST_HELLO_BIN)/hello3.com: bin/example/hello-make4msx/hello.com | $(EXAMPLE_DIST_HELLO_BIN) _$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_file_copy,$<,$@) +$(EXAMPLE_DIST_HELLO_BIN)/hello4.com: bin/example/hello-nestor80/hello.com | $(EXAMPLE_DIST_HELLO_BIN) +_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_file_copy,$<,$@) + $(EXAMPLE_DIST_HELLO_BIN)/@prepare: | $(EXAMPLE_DIST_HELLO_DEPS) $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target,$(EXAMPLE_DIST_HELLO_BIN)/@prepare,"Prepare folder with binaries for distribution.") $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_target_phony,$(EXAMPLE_DIST_HELLO_BIN)/@prepare) diff --git a/src/example/hello-nestor80/0module.mk b/src/example/hello-nestor80/0module.mk new file mode 100644 index 0000000..c5894f8 --- /dev/null +++ b/src/example/hello-nestor80/0module.mk @@ -0,0 +1,9 @@ + +EXAMPLE_HELLO_NESTOR80_SRC := $(call mb_make_call,mb_make_module_path_src,$(PATH_SRC)) +EXAMPLE_HELLO_NESTOR80_BIN := $(call mb_make_call,mb_make_module_path_bin,$(PATH_SRC),$(PATH_BIN)) +EXAMPLE_HELLO_NESTOR80_DEPS := $(EXAMPLE_HELLO_NESTOR80_BIN)/hello.com + +$(call mb_make_call,mb_flow_nestor80_bdos_mono,$(EXAMPLE_HELLO_NESTOR80_BIN),$(EXAMPLE_HELLO_NESTOR80_SRC),hello) +$(call mb_make_call,mb_flow_assert_msxpipe_grep_test,$(EXAMPLE_HELLO_NESTOR80_BIN),$(EXAMPLE_HELLO_NESTOR80_DEPS),check-m80,hello,N80) +$(call mb_make_call,mb_flow_assert_msxpipe_grep_test,$(EXAMPLE_HELLO_NESTOR80_BIN),$(EXAMPLE_HELLO_NESTOR80_DEPS),check-txt,hello,"o MSX world...") + diff --git a/src/example/hello-nestor80/hello.mac b/src/example/hello-nestor80/hello.mac new file mode 100644 index 0000000..150a36d --- /dev/null +++ b/src/example/hello-nestor80/hello.mac @@ -0,0 +1,20 @@ + title M80 CP/M Hello world +.Z80 + +WRITESTR EQU 9h +BDOS EQU 5h + +ASEG +ORG 0100H + +MAIN: + LD C,WRITESTR + LD DE,TXT_HELLO + CALL BDOS + RET + +TXT_HELLO: + DB "N80: Hello MSX world...@ASM$" + +END +DSEG From da0f1c4614321b75231683f623ec3bacfb298f21 Mon Sep 17 00:00:00 2001 From: Willem Date: Mon, 15 Jul 2024 00:31:46 +0200 Subject: [PATCH 216/274] Added openMSX invocation counter. --- lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_os.mk | 4 ++-- lib/make/ i18n/mb_i18n.mk | 3 +++ lib/make/ i18n/mb_i18n_BASIC.mk | 1 + lib/make/ i18n/mb_i18n_iu.mk | 1 + lib/make/ i18n/mb_i18n_ja.mk | 1 + lib/make/天房系統擴展/mb_openmsx.mk | 4 ++++ lib/make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk | 6 ++++-- 7 files changed, 16 insertions(+), 4 deletions(-) diff --git a/lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_os.mk b/lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_os.mk index 43a14dc..53f1705 100644 --- a/lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_os.mk +++ b/lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_os.mk @@ -84,10 +84,10 @@ endef $(call mb_make_call,mb_doc_function_deep,mb_os_crayon_tagged_echo,"Echo's an prefixed message to stdout with ansi color code."," ") -define mb_os_echo_fail +define mb_os_echo_report $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_os_crayon_tagged_echo,31,$(1)) endef -$(call mb_make_call,mb_doc_function_deep,mb_os_echo_fail,"Echo's an message to stdout with 'red' crayon.","") +$(call mb_make_call,mb_doc_function_deep,mb_os_echo_report,"Echo's an message to stdout with 'red' crayon.","") define mb_os_echo_good diff --git a/lib/make/ i18n/mb_i18n.mk b/lib/make/ i18n/mb_i18n.mk index fa44a11..1ee657d 100644 --- a/lib/make/ i18n/mb_i18n.mk +++ b/lib/make/ i18n/mb_i18n.mk @@ -65,6 +65,9 @@ $(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_DIR_DELETE,"Message for de MB_I18N_PROJ_ASSERT_COUNT ?= Total assertions done $(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_ASSERT_COUNT,"Message for reporting the assertion count of a phase.") +MB_I18N_PROJ_OPENMSX_COUNT ?= Total openMSX invokes +$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_OPENMSX_COUNT,"Message for reporting the openMSX invoke count of a session.") + MB_I18N_FLOW_CLONE_REPORT ?= Clone troopers $(call mb_make_call,mb_doc_variable_i18n,MB_I18N_FLOW_CLONE_REPORT,"Message for total amount of cloned files.") diff --git a/lib/make/ i18n/mb_i18n_BASIC.mk b/lib/make/ i18n/mb_i18n_BASIC.mk index fc16c34..3b07e7a 100644 --- a/lib/make/ i18n/mb_i18n_BASIC.mk +++ b/lib/make/ i18n/mb_i18n_BASIC.mk @@ -20,6 +20,7 @@ MB_I18N_PROJ_STEP_DONE = BASIC RUN COMPLETED: MB_I18N_PROJ_DIR_CREATE = FOLDER CREATE: MB_I18N_PROJ_DIR_DELETE = FOLDER DELETE: MB_I18N_PROJ_ASSERT_COUNT = TOTAL ASSERT OK: +MB_I18N_PROJ_OPENMSX_COUNT = TOTAL EMUCTL OK: MB_I18N_FLOW_CLONE_REPORT = GEM⁴ TROOPERS: MB_I18N_NESTOR80_COMPILE = N80 CHURCH: MB_I18N_NESTOR80_LINK = LK80 PRAYER: diff --git a/lib/make/ i18n/mb_i18n_iu.mk b/lib/make/ i18n/mb_i18n_iu.mk index 46884cb..d90b8ca 100644 --- a/lib/make/ i18n/mb_i18n_iu.mk +++ b/lib/make/ i18n/mb_i18n_iu.mk @@ -20,6 +20,7 @@ MB_I18N_PROJ_STEP_DONE = ᐱᓕᕆᐊᖅ ᐱᐊᓂᒃᑕᐅᔪᖅ MB_I18N_PROJ_DIR_CREATE = ᑐᖅᑯᐃᕝᕕᓕᐅᕐᓂᖅ MB_I18N_PROJ_DIR_DELETE = ᑐᖅᑯᖅᓯᓯᒪᕝᕕᖓ ᐲᔭᖅᑕᐅᓗᓂ MB_I18N_PROJ_ASSERT_COUNT = ᑲᑎᖦᖢᒋᑦ ᑕᐃᒪᐃᓐᓂᕋᖅᑕᐅᔪᑦ +MB_I18N_PROJ_OPENMSX_COUNT = ᑲᑎᖦᖢᒋᑦ ᒪᑐᐃᙶᖅᑐᑦ MB_I18N_FLOW_CLONE_REPORT = ᐃᓐᓈᕈᐃᑦ MB_I18N_NESTOR80_COMPILE = ᓂᖀᑦ ᓄᑕᐅᙱᑦᑐᑦ ᑲᑎᖅᓱᒐᑦ MB_I18N_NESTOR80_LINK = ᓱᓪᓗᑯᑖᒃᑯᑦ ᐊᒃᑐᐊᖃᑦᑕᐅᑎᓂᖅ diff --git a/lib/make/ i18n/mb_i18n_ja.mk b/lib/make/ i18n/mb_i18n_ja.mk index 6f7a048..29f66a0 100644 --- a/lib/make/ i18n/mb_i18n_ja.mk +++ b/lib/make/ i18n/mb_i18n_ja.mk @@ -20,6 +20,7 @@ MB_I18N_PROJ_STEP_DONE = プライムパイターゲットの実行を終了し MB_I18N_PROJ_DIR_CREATE = フォルダーの作成 MB_I18N_PROJ_DIR_DELETE = フォルダーの削除 MB_I18N_PROJ_ASSERT_COUNT = 完了したアサーションの合計 +MB_I18N_PROJ_OPENMSX_COUNT = オープンメッカが呼び出す合計数 MB_I18N_FLOW_CLONE_REPORT = クローン・トルーパー MB_I18N_NESTOR80_COMPILE = ネスターの古いコンパイル MB_I18N_NESTOR80_LINK = ネストルの古いリンク diff --git a/lib/make/天房系統擴展/mb_openmsx.mk b/lib/make/天房系統擴展/mb_openmsx.mk index 04b493a..9dec62b 100644 --- a/lib/make/天房系統擴展/mb_openmsx.mk +++ b/lib/make/天房系統擴展/mb_openmsx.mk @@ -41,6 +41,9 @@ $(call mb_make_call,mb_doc_variable_deep,MB_OPENMSX_STDOUT_IGNORE,"Kills logging MB_OPENMSX_STDERR_IGNORE ?= off $(call mb_make_call,mb_doc_variable_deep,MB_OPENMSX_STDERR_IGNORE,"Kills logging...rm after issues openMSX are solved.") +MB_OPENMSX_FIRE_PIPE_SMOKE ?= +$(call mb_make_call,mb_doc_variable_flow,MB_OPENMSX_FIRE_PIPE_SMOKE,"Word count of total openMSX executions.") + define mb_openmsx_setup $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg2,$(0),$(1),$(2)) @@ -70,6 +73,7 @@ endef define _mb_openmsx_run +$(MB_ᕽᕽᕽ   )$(eval MB_OPENMSX_FIRE_PIPE_SMOKE $(MB_MAKE_APPEND) OK) $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_os_echo_command,$(MB_I18N_OPENMSX_STARTUP) $@ - $(2)) $(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/persistent/fire-hdd/untitled1/sync),,$(call mb_make_call,mb_os_dir_create,$(1)$(MB_@WORK)$(notdir $(1))/persistent/fire-hdd/untitled1/sync)) $(MB_ᕽᕽᕽ   )BOOT_WATCHDOG=$(MB_OPENMSX_BOOT_TIMEOUT) \ diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk index f87af48..cc40e79 100644 --- a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk +++ b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk @@ -51,6 +51,7 @@ $(MB_ᕽᕽᕽ   )$(if $(filter $(subst @@,@,$@),$(MB_PROJ_PHASE_ALL)),$(c endef define _mb_proj_flow_step_done $(MB_ᕽᕽᕽ   )$(if $(filter $@,$(MB_PROJ_PHASE_ALL)),$(call mb_make_call,mb_os_echo_phase,$(MB_I18N_PROJ_STEP_AFTER) $@)) +$(MB_ᕽᕽᕽ   )$(if $(value MAKECMDGOALS),$(if $(filter $@,$(MAKECMDGOALS)),$(call mb_make_call,mb_os_echo_report,$(MB_I18N_PROJ_OPENMSX_COUNT) $(words $(MB_OPENMSX_FIRE_PIPE_SMOKE))))) $(MB_ᕽᕽᕽ   )$(if $(value MAKECMDGOALS),$(if $(filter $@,$(MAKECMDGOALS)),$(call mb_make_call,mb_os_echo_good,$(MB_I18N_PROJ_STEP_DONE) $@))) endef @@ -138,7 +139,7 @@ $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@test) $(3)@@test-deps:: $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@test-deps) $(3)@test: $(3)@@test $(3)@@test-deps -$(MB_@RECIPE)$$(if $(3),,$$(call mb_make_call,mb_os_echo_fail,$$(MB_I18N_PROJ_ASSERT_COUNT) @test: $$(words $$(filter @test,$$(MB_PROJ_FIRE_ASSERT_SUCCESS))))) +$(MB_@RECIPE)$$(if $(3),,$$(call mb_make_call,mb_os_echo_report,$$(MB_I18N_PROJ_ASSERT_COUNT) @test: $$(words $$(filter @test,$$(MB_PROJ_FIRE_ASSERT_SUCCESS))))) $(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target$$(if $(3),_module,_help),$(3)@test,"Run all assertion tests.") $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@test) @@ -159,7 +160,7 @@ $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@package- $(3)@@package-qa-deps:: $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@package-qa-deps) $(3)@package-qa: $(3)@@package-qa $(3)@@package-qa-deps -$(MB_@RECIPE)$$(if $(3),,$$(call mb_make_call,mb_os_echo_fail,$$(MB_I18N_PROJ_ASSERT_COUNT) @package-qa: $$(words $$(filter @package-qa,$$(MB_PROJ_FIRE_ASSERT_SUCCESS))))) +$(MB_@RECIPE)$$(if $(3),,$$(call mb_make_call,mb_os_echo_report,$$(MB_I18N_PROJ_ASSERT_COUNT) @package-qa: $$(words $$(filter @package-qa,$$(MB_PROJ_FIRE_ASSERT_SUCCESS))))) $(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target$$(if $(3),_module,_help),$(3)@package-qa,"Run all packages QA of project.") $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@package-qa) @@ -180,6 +181,7 @@ $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@all) $(3)@@all-deps:: $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@all-deps) $(3)@all: $(3)@@all $(3)@@all-deps +$(MB_@RECIPE)$$(if $$(value MAKECMDGOALS),,$$(call mb_make_call,mb_os_echo_report,$$(MB_I18N_PROJ_OPENMSX_COUNT) $$(words $$(MB_OPENMSX_FIRE_PIPE_SMOKE)))) $(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target$$(if $(3),_module,_help),$(3)@all,"Run full build and package QA tests.") $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@all) From 1c6954e47545260bb72c886e43c9e5aca8aa2909 Mon Sep 17 00:00:00 2001 From: Willem Date: Mon, 15 Jul 2024 02:34:29 +0200 Subject: [PATCH 217/274] Test the full matrix in japanse. --- .forgejo/workflows/run-test-asserts.yaml | 3 ++- README.md | 5 ++-- lib/make/mb_flight.mk | 2 +- lib/make/天房系統擴展/mb_msxrom.mk | 23 +++++++++++++++++++ lib/make/𓃬𓍄𓋹𓀭/mb_flow_0module.mk | 8 +++++++ lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk | 2 +- lib/make/𓃬𓍄𓋹𓀭/mb_flow_help.mk | 6 +++++ .../msxhub/{0module.mk => 0module100.mk} | 0 src/assert/msxrom/0module100.mk | 18 +++++++++++++++ .../{0module.mk => 0module010.mk} | 0 .../{0module.mk => 0module010.mk} | 0 .../{0module.mk => 0module010.mk} | 0 .../dist-hello/{0module.mk => 0module001.mk} | 0 .../{0module.mk => 0module000.mk} | 0 .../{0module.mk => 0module000.mk} | 0 .../{0module.mk => 0module000.mk} | 0 .../{0module.mk => 0module000.mk} | 0 .../gluedos1/{0module.mk => 0module000.mk} | 0 .../gluemsx1/{0module.mk => 0module000.mk} | 0 19 files changed, 62 insertions(+), 5 deletions(-) rename src/assert/msxhub/{0module.mk => 0module100.mk} (100%) create mode 100644 src/assert/msxrom/0module100.mk rename src/example/dist-hello-qa-dos1/{0module.mk => 0module010.mk} (100%) rename src/example/dist-hello-qa-dos2/{0module.mk => 0module010.mk} (100%) rename src/example/dist-hello-qa-msx1/{0module.mk => 0module010.mk} (100%) rename src/example/dist-hello/{0module.mk => 0module001.mk} (100%) rename src/example/hello-macro80/{0module.mk => 0module000.mk} (100%) rename src/example/hello-make4msx/{0module.mk => 0module000.mk} (100%) rename src/example/hello-nestor80/{0module.mk => 0module000.mk} (100%) rename src/example/hello-sdcc-asm/{0module.mk => 0module000.mk} (100%) rename src/tools/gluedos1/{0module.mk => 0module000.mk} (100%) rename src/tools/gluemsx1/{0module.mk => 0module000.mk} (100%) diff --git a/.forgejo/workflows/run-test-asserts.yaml b/.forgejo/workflows/run-test-asserts.yaml index fd96de7..54db9ca 100644 --- a/.forgejo/workflows/run-test-asserts.yaml +++ b/.forgejo/workflows/run-test-asserts.yaml @@ -7,7 +7,8 @@ on: env: VERBOSE: off DEBUG: off - MB_I18N: iu + MATRIX_ASSERT: on + MB_I18N: ja MB_OPENMSX_STDOUT_IGNORE: on MB_OPENMSX_STDERR_IGNORE: on MB_AUTOEXEC_EMBED_USER: GITHUB_ACTOR diff --git a/README.md b/README.md index e755ad9..a1e2662 100644 --- a/README.md +++ b/README.md @@ -85,6 +85,7 @@ When using the `mb_setup_default` this add a help system which works by running: * @help-target-run * @help-target-module * @help-target-assert + * @help-machine * @help-all * @help-firemake * @clean @@ -128,8 +129,8 @@ When you want to see whats happening do a debug run; time MB_OPENMSX_HEADLESS=off MB_FLIGHT_SCREEN=on make @build real 2m40.784s - record run with video per session and merge to one video file; - time make @flight-video-all + record matrix test run with video per session and merge to one video file; + time MATRIX_ASSERT=on make @flight-video-all real 0m18.184s full build parallel; diff --git a/lib/make/mb_flight.mk b/lib/make/mb_flight.mk index a92f69b..8f1eed5 100644 --- a/lib/make/mb_flight.mk +++ b/lib/make/mb_flight.mk @@ -22,7 +22,7 @@ define mb_flight_video_merge $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg1,mb_flight_video_merge,$(1)) $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_os_echo_command,Indexing flight videos) $(MB_ᕽᕽᕽ   )find $(1) -type f -name '*.avi' -printf '%T@ %Tc %p\n' | sort -n | awk -F "$(1)/" -v s="file '" -v e="'" '{print s$$2e}' > $(1)/$(MB_FLIGHT_VIDEO_NAME).lst -$(MB_ᕽᕽᕽ   )ffmpeg -v quiet -y -f concat -i $(1)/$(MB_FLIGHT_VIDEO_NAME).lst -c copy $(1)/$(MB_FLIGHT_VIDEO_NAME).avi +$(MB_ᕽᕽᕽ   )ffmpeg -v quiet -y -f concat -safe 0 -i $(1)/$(MB_FLIGHT_VIDEO_NAME).lst -c copy $(1)/$(MB_FLIGHT_VIDEO_NAME).avi $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_os_echo_remark,Flight video completed) endef $(call mb_make_call,mb_doc_function,mb_flight_video_merge,"Merged all flight videos to one.","") diff --git a/lib/make/天房系統擴展/mb_msxrom.mk b/lib/make/天房系統擴展/mb_msxrom.mk index 404b895..84489bf 100644 --- a/lib/make/天房系統擴展/mb_msxrom.mk +++ b/lib/make/天房系統擴展/mb_msxrom.mk @@ -6,6 +6,15 @@ $(call mb_make_call,mb_doc_variable_deep,MB_MSXROM_API,"Resource identifier for MB_MSXROM_CACHE ?= $(MB_OS_CACHE)/msxbuild/msxrom $(call mb_make_call,mb_doc_variable_deep,MB_MSXROM_CACHE,"Cache storage location.") +MB_MSXROM_FIRE_MACHINES ?= +$(call mb_make_call,mb_doc_variable_flow,MB_MSXROM_FIRE_MACHINES,"List of supported machines.") + + +define _mb_msxrom_grow_fire_machines +$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg1,$(0),$(1)) +$(MB_ᕽᕽᕽ   )$(eval MB_MSXROM_FIRE_MACHINES $(MB_MAKE_APPEND) $(1)) +endef + define _mb_msxrom_file_fetch $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_os_echo_command,$(MB_I18N_MSXROM_FILE_FETCH) $(subst $(MB_MSXROM_API)/,,$(1))) @@ -89,18 +98,21 @@ define mb_msxrom_machine_Canon_V-20 $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/canon/v-20_basic-bios1.rom) endef $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Canon_V-20,"Installs machine roms.","") +$(call mb_make_call,_mb_msxrom_grow_fire_machines,Canon_V-20) define mb_msxrom_machine_Mitsubishi_ML-F80 $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/mitsubishi/ml-f80_basic-bios1.rom) endef $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Mitsubishi_ML-F80,"Installs machine roms.","") +$(call mb_make_call,_mb_msxrom_grow_fire_machines,Mitsubishi_ML-F80) define mb_msxrom_machine_Mitsubishi_ML-FX1 $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/mitsubishi/ml-fx1_basic-bios1.rom) endef $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Mitsubishi_ML-FX1,"Installs machine roms.","") +$(call mb_make_call,_mb_msxrom_grow_fire_machines,Mitsubishi_ML-FX1) define mb_msxrom_machine_Mitsubishi_ML-G3_ES @@ -110,12 +122,14 @@ $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notd $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/mitsubishi/ml-g3_es_rs232.rom) endef $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Mitsubishi_ML-G3_ES,"Installs machine roms.","") +$(call mb_make_call,_mb_msxrom_grow_fire_machines,Mitsubishi_ML-G3_ES) define mb_msxrom_machine_Philips_VG_8000 $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/philips/vg8000_basic-bios1.rom) endef $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Philips_VG_8000,"Installs machine roms.","") +$(call mb_make_call,_mb_msxrom_grow_fire_machines,Philips_VG_8000) define mb_msxrom_machine_Philips_VG_8230 @@ -124,6 +138,7 @@ $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notd $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/philips/vg8230_msx2sub.rom) endef $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Philips_VG_8230,"Installs machine roms.","") +$(call mb_make_call,_mb_msxrom_grow_fire_machines,Philips_VG_8230) define mb_msxrom_machine_Philips_NMS_8250 @@ -132,6 +147,7 @@ $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notd $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/philips/nms8250_disk.rom) endef $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Philips_NMS_8250,"Installs machine roms.","") +$(call mb_make_call,_mb_msxrom_grow_fire_machines,Philips_NMS_8250) define mb_msxrom_machine_Toshiba_HX-21 @@ -139,6 +155,7 @@ $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notd $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/toshiba/HX21-IC3.BIN) endef $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Toshiba_HX-21,"Installs machine roms.","") +$(call mb_make_call,_mb_msxrom_grow_fire_machines,Toshiba_HX-21) define mb_msxrom_machine_Yamaha_AX200 @@ -147,6 +164,7 @@ $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notd $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/yamaha/ax200_music.rom) endef $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Yamaha_AX200,"Installs machine roms.","") +$(call mb_make_call,_mb_msxrom_grow_fire_machines,Yamaha_AX200) define mb_msxrom_machine_Yamaha_YIS-503IIIR @@ -156,6 +174,7 @@ $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notd $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/yamaha/yis503iii_net_2.rom) endef $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Yamaha_YIS-503IIIR,"Installs machine roms.","") +$(call mb_make_call,_mb_msxrom_grow_fire_machines,Yamaha_YIS-503IIIR) define mb_msxrom_machine_Panasonic_FS-A1WSX @@ -168,6 +187,7 @@ $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notd $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/panasonic/fs-a1wsx_msx2psub.rom) endef $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Panasonic_FS-A1WSX,"Installs machine roms.","") +$(call mb_make_call,_mb_msxrom_grow_fire_machines,Panasonic_FS-A1WSX) define mb_msxrom_machine_Boosted_MSX2_EN @@ -177,6 +197,7 @@ $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_extension_moonsound,$(1)) $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_extension_basickun,$(1)) endef $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Boosted_MSX2_EN,"Installs machine and extensions roms.","") +$(call mb_make_call,_mb_msxrom_grow_fire_machines,Boosted_MSX2_EN) define mb_msxrom_machine_Boosted_MSX2+_JP @@ -185,4 +206,6 @@ $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_extension_moonsound,$(1)) $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_extension_basickun,$(1)) endef $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Boosted_MSX2+_JP,"Installs machine and extensions roms.","") +$(call mb_make_call,_mb_msxrom_grow_fire_machines,Boosted_MSX2+_JP) + diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_0module.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_0module.mk index 6a49c0b..b775e94 100644 --- a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_0module.mk +++ b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_0module.mk @@ -8,6 +8,14 @@ endef define mb_flow_0module_setup $(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg1,$(0),$(1)) $(MB_ᕽᕽᕽ   )$(foreach _mod,$(call mb_make_call,mb_make_wildcard_treewalker,$(1),*/0module.mk),$(eval $(call mb_make_call,__$(0),$(_mod)))) +$(MB_ᕽᕽᕽ   )$(foreach _mod,$(call mb_make_call,mb_make_wildcard_treewalker,$(1),*/0module000.mk),$(eval $(call mb_make_call,__$(0),$(_mod)))) +$(MB_ᕽᕽᕽ   )$(foreach _mod,$(call mb_make_call,mb_make_wildcard_treewalker,$(1),*/0module001.mk),$(eval $(call mb_make_call,__$(0),$(_mod)))) +$(MB_ᕽᕽᕽ   )$(foreach _mod,$(call mb_make_call,mb_make_wildcard_treewalker,$(1),*/0module010.mk),$(eval $(call mb_make_call,__$(0),$(_mod)))) +$(MB_ᕽᕽᕽ   )$(foreach _mod,$(call mb_make_call,mb_make_wildcard_treewalker,$(1),*/0module011.mk),$(eval $(call mb_make_call,__$(0),$(_mod)))) +$(MB_ᕽᕽᕽ   )$(foreach _mod,$(call mb_make_call,mb_make_wildcard_treewalker,$(1),*/0module100.mk),$(eval $(call mb_make_call,__$(0),$(_mod)))) +$(MB_ᕽᕽᕽ   )$(foreach _mod,$(call mb_make_call,mb_make_wildcard_treewalker,$(1),*/0module101.mk),$(eval $(call mb_make_call,__$(0),$(_mod)))) +$(MB_ᕽᕽᕽ   )$(foreach _mod,$(call mb_make_call,mb_make_wildcard_treewalker,$(1),*/0module110.mk),$(eval $(call mb_make_call,__$(0),$(_mod)))) +$(MB_ᕽᕽᕽ   )$(foreach _mod,$(call mb_make_call,mb_make_wildcard_treewalker,$(1),*/0module111.mk),$(eval $(call mb_make_call,__$(0),$(_mod)))) endef $(call mb_make_call,mb_doc_function_flow,mb_flow_0module_setup,"Inject flow of recursive 0module.mk include.","") diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk index f571133..11c89b1 100644 --- a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk +++ b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk @@ -33,7 +33,7 @@ endef define __mb_flow_assert_msxpipe_grep_x .RECIPEPREFIX := $(MB_@RECIPE) -$(1)/@@$(5)-deps:: $(1)/@assert/$(5)/$(2) +$(1)/@$(5)-deps:: $(1)/@assert/$(5)/$(2) $(7)/@@init-deps:: $(1)/@build $(1)/@assert/$(5)/$(2): $(7)/@build diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_help.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_help.mk index 7e52c72..355e3cc 100644 --- a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_help.mk +++ b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_help.mk @@ -86,6 +86,12 @@ $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_ASSERT) $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@help-target-assert,"Lists assert targets.") $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@help-target-assert) +@help-machine: +$(MB_@RECIPE)@echo -e "Use one of the following machines to build targets;" +$(MB_@RECIPE)@echo -e "\n "$(foreach _rom,$(MB_MSXROM_FIRE_MACHINES)," * $(_rom)\n") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@help-machine,"Lists supported machines.") +$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@help-machine) + @help-all: $(MB_@RECIPE)@echo -e "Documention of all fire functions/variables/targets;\\n" $(MB_@RECIPE)$$(call mb_make_call,mb_os_echo_good,"Variables") diff --git a/src/assert/msxhub/0module.mk b/src/assert/msxhub/0module100.mk similarity index 100% rename from src/assert/msxhub/0module.mk rename to src/assert/msxhub/0module100.mk diff --git a/src/assert/msxrom/0module100.mk b/src/assert/msxrom/0module100.mk new file mode 100644 index 0000000..c99d904 --- /dev/null +++ b/src/assert/msxrom/0module100.mk @@ -0,0 +1,18 @@ + +ASSERT_MSXROM_SRC := $(call mb_make_call,mb_make_module_path_src,$(PATH_SRC)) +ASSERT_MSXROM_BIN := $(call mb_make_call,mb_make_module_path_bin,$(PATH_SRC),$(PATH_BIN)) +ASSERT_MSXROM_DEPS := $(call mb_make_call,mb_make_module_local_deps,$(PATH_BIN),$(EXAMPLE_DIST_HELLO_DEPS)) + + +# Test matrix = 13 * 2 * 4 = 104 assertion tests +$(call mb_make_call,mb_flow_clone_deps,$(ASSERT_MSXROM_BIN),$(EXAMPLE_DIST_HELLO_BIN),$(EXAMPLE_DIST_HELLO_DEPS)) +ifeq ("$(MATRIX_ASSERT)", "on") + $(foreach _rom,$(MB_MSXROM_FIRE_MACHINES),$(call mb_make_call,mb_flow_assert_msxpipe_grep_test,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-msxdos2-chk1,hello1,M80,,$(_rom))) + $(foreach _rom,$(MB_MSXROM_FIRE_MACHINES),$(call mb_make_call,mb_flow_assert_msxpipe_grep_test,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-msxdos2-chk2,hello2,SDCC,,$(_rom))) + $(foreach _rom,$(MB_MSXROM_FIRE_MACHINES),$(call mb_make_call,mb_flow_assert_msxpipe_grep_test,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-msxdos2-chk3,hello3,MAKE,,$(_rom))) + $(foreach _rom,$(MB_MSXROM_FIRE_MACHINES),$(call mb_make_call,mb_flow_assert_msxpipe_grep_test,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-msxdos1-chk4,hello4,N80,,$(_rom))) + $(foreach _rom,$(MB_MSXROM_FIRE_MACHINES),$(call mb_make_call,mb_flow_assert_msxpipe_grep_test,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-nextor-chk1,hello1,M80,,$(_rom))) + $(foreach _rom,$(MB_MSXROM_FIRE_MACHINES),$(call mb_make_call,mb_flow_assert_msxpipe_grep_test,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-nextor-chk2,hello2,SDCC,,$(_rom))) + $(foreach _rom,$(MB_MSXROM_FIRE_MACHINES),$(call mb_make_call,mb_flow_assert_msxpipe_grep_test,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-nextor-chk3,hello3,MAKE,,$(_rom))) + $(foreach _rom,$(MB_MSXROM_FIRE_MACHINES),$(call mb_make_call,mb_flow_assert_msxpipe_grep_test,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-nextor-chk4,hello4,N80,,$(_rom))) +endif diff --git a/src/example/dist-hello-qa-dos1/0module.mk b/src/example/dist-hello-qa-dos1/0module010.mk similarity index 100% rename from src/example/dist-hello-qa-dos1/0module.mk rename to src/example/dist-hello-qa-dos1/0module010.mk diff --git a/src/example/dist-hello-qa-dos2/0module.mk b/src/example/dist-hello-qa-dos2/0module010.mk similarity index 100% rename from src/example/dist-hello-qa-dos2/0module.mk rename to src/example/dist-hello-qa-dos2/0module010.mk diff --git a/src/example/dist-hello-qa-msx1/0module.mk b/src/example/dist-hello-qa-msx1/0module010.mk similarity index 100% rename from src/example/dist-hello-qa-msx1/0module.mk rename to src/example/dist-hello-qa-msx1/0module010.mk diff --git a/src/example/dist-hello/0module.mk b/src/example/dist-hello/0module001.mk similarity index 100% rename from src/example/dist-hello/0module.mk rename to src/example/dist-hello/0module001.mk diff --git a/src/example/hello-macro80/0module.mk b/src/example/hello-macro80/0module000.mk similarity index 100% rename from src/example/hello-macro80/0module.mk rename to src/example/hello-macro80/0module000.mk diff --git a/src/example/hello-make4msx/0module.mk b/src/example/hello-make4msx/0module000.mk similarity index 100% rename from src/example/hello-make4msx/0module.mk rename to src/example/hello-make4msx/0module000.mk diff --git a/src/example/hello-nestor80/0module.mk b/src/example/hello-nestor80/0module000.mk similarity index 100% rename from src/example/hello-nestor80/0module.mk rename to src/example/hello-nestor80/0module000.mk diff --git a/src/example/hello-sdcc-asm/0module.mk b/src/example/hello-sdcc-asm/0module000.mk similarity index 100% rename from src/example/hello-sdcc-asm/0module.mk rename to src/example/hello-sdcc-asm/0module000.mk diff --git a/src/tools/gluedos1/0module.mk b/src/tools/gluedos1/0module000.mk similarity index 100% rename from src/tools/gluedos1/0module.mk rename to src/tools/gluedos1/0module000.mk diff --git a/src/tools/gluemsx1/0module.mk b/src/tools/gluemsx1/0module000.mk similarity index 100% rename from src/tools/gluemsx1/0module.mk rename to src/tools/gluemsx1/0module000.mk From ea0fdc839f1c4c0457ac92add679b86efe0bb6ca Mon Sep 17 00:00:00 2001 From: Willem Date: Mon, 15 Jul 2024 02:36:06 +0200 Subject: [PATCH 218/274] With local targer. --- .forgejo/workflows/run-test-asserts.yaml | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/.forgejo/workflows/run-test-asserts.yaml b/.forgejo/workflows/run-test-asserts.yaml index 54db9ca..6f5158c 100644 --- a/.forgejo/workflows/run-test-asserts.yaml +++ b/.forgejo/workflows/run-test-asserts.yaml @@ -20,4 +20,4 @@ jobs: - name: Check out uses: actions/checkout@v3 - name: Run asserts - run: make @ᑕᒪᕐᒥᒃ + run: make @全て From 20fbbf1f659d580bec47ff11028547a06558c6d4 Mon Sep 17 00:00:00 2001 From: Willem Date: Mon, 15 Jul 2024 02:40:21 +0200 Subject: [PATCH 219/274] BASIC TEST --- .forgejo/workflows/run-test-asserts.yaml | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/.forgejo/workflows/run-test-asserts.yaml b/.forgejo/workflows/run-test-asserts.yaml index 6f5158c..755ec24 100644 --- a/.forgejo/workflows/run-test-asserts.yaml +++ b/.forgejo/workflows/run-test-asserts.yaml @@ -8,7 +8,7 @@ env: VERBOSE: off DEBUG: off MATRIX_ASSERT: on - MB_I18N: ja + MB_I18N: BASIC MB_OPENMSX_STDOUT_IGNORE: on MB_OPENMSX_STDERR_IGNORE: on MB_AUTOEXEC_EMBED_USER: GITHUB_ACTOR @@ -20,4 +20,4 @@ jobs: - name: Check out uses: actions/checkout@v3 - name: Run asserts - run: make @全て + run: make @ALL From 08b3e5b4c0b8aff9bfdce231c6b0f42f88bc08ad Mon Sep 17 00:00:00 2001 From: Willem Date: Mon, 15 Jul 2024 02:43:04 +0200 Subject: [PATCH 220/274] TEST QUAD CORE --- .forgejo/workflows/run-test-asserts.yaml | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/.forgejo/workflows/run-test-asserts.yaml b/.forgejo/workflows/run-test-asserts.yaml index 755ec24..fa8bde8 100644 --- a/.forgejo/workflows/run-test-asserts.yaml +++ b/.forgejo/workflows/run-test-asserts.yaml @@ -20,4 +20,4 @@ jobs: - name: Check out uses: actions/checkout@v3 - name: Run asserts - run: make @ALL + run: make -j @ALL From ecd735119227652167c763f752dcfdc12fa0aba5 Mon Sep 17 00:00:00 2001 From: Willem Date: Mon, 15 Jul 2024 02:49:21 +0200 Subject: [PATCH 221/274] Converted to documenting variable. --- .forgejo/workflows/run-test-asserts.yaml | 4 ++-- README.md | 2 +- src/assert/msxrom/0module100.mk | 5 ++++- 3 files changed, 7 insertions(+), 4 deletions(-) diff --git a/.forgejo/workflows/run-test-asserts.yaml b/.forgejo/workflows/run-test-asserts.yaml index fa8bde8..7102187 100644 --- a/.forgejo/workflows/run-test-asserts.yaml +++ b/.forgejo/workflows/run-test-asserts.yaml @@ -7,12 +7,12 @@ on: env: VERBOSE: off DEBUG: off - MATRIX_ASSERT: on + ASSERT_MSXROM_MATRIX: off MB_I18N: BASIC MB_OPENMSX_STDOUT_IGNORE: on MB_OPENMSX_STDERR_IGNORE: on MB_AUTOEXEC_EMBED_USER: GITHUB_ACTOR - MB_AUTOEXEC_EMBED_VARS: GITHUB_WORKFLOW GITHUB_JOB GITHUB_RUN_NUMBER GITHUB_EVENT_NAME GITHUB_REF_NAME GITHUB_REF_TYPE GITHUB_REPOSITORY GITHUB_REPOSITORY_OWNER GITHUB_SERVER_URL + MB_AUTOEXEC_EMBED_VARS: GITHUB_WORKFLOW GITHUB_JOB GITHUB_RUN_NUMBER GITHUB_EVENT_NAME GITHUB_REF_NAME GITHUB_REF_TYPE GITHUB_REPOSITORY GITHUB_REPOSITORY_OWNER jobs: Test-Asserts: runs-on: self-hosted diff --git a/README.md b/README.md index a1e2662..f3c4b2b 100644 --- a/README.md +++ b/README.md @@ -130,7 +130,7 @@ When you want to see whats happening do a debug run; real 2m40.784s record matrix test run with video per session and merge to one video file; - time MATRIX_ASSERT=on make @flight-video-all + time ASSERT_MSXROM_MATRIX=on make @flight-video-all real 0m18.184s full build parallel; diff --git a/src/assert/msxrom/0module100.mk b/src/assert/msxrom/0module100.mk index c99d904..d3bbcf4 100644 --- a/src/assert/msxrom/0module100.mk +++ b/src/assert/msxrom/0module100.mk @@ -3,10 +3,13 @@ ASSERT_MSXROM_SRC := $(call mb_make_call,mb_make_module_path_src,$(PATH_SRC) ASSERT_MSXROM_BIN := $(call mb_make_call,mb_make_module_path_bin,$(PATH_SRC),$(PATH_BIN)) ASSERT_MSXROM_DEPS := $(call mb_make_call,mb_make_module_local_deps,$(PATH_BIN),$(EXAMPLE_DIST_HELLO_DEPS)) +ASSERT_MSXROM_MATRIX ?= off +$(call mb_make_call,mb_doc_variable,ASSERT_MSXROM_MATRIX,"When on enabled matrix testing of all machines.") + # Test matrix = 13 * 2 * 4 = 104 assertion tests $(call mb_make_call,mb_flow_clone_deps,$(ASSERT_MSXROM_BIN),$(EXAMPLE_DIST_HELLO_BIN),$(EXAMPLE_DIST_HELLO_DEPS)) -ifeq ("$(MATRIX_ASSERT)", "on") +ifeq ("$(ASSERT_MSXROM_MATRIX)", "on") $(foreach _rom,$(MB_MSXROM_FIRE_MACHINES),$(call mb_make_call,mb_flow_assert_msxpipe_grep_test,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-msxdos2-chk1,hello1,M80,,$(_rom))) $(foreach _rom,$(MB_MSXROM_FIRE_MACHINES),$(call mb_make_call,mb_flow_assert_msxpipe_grep_test,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-msxdos2-chk2,hello2,SDCC,,$(_rom))) $(foreach _rom,$(MB_MSXROM_FIRE_MACHINES),$(call mb_make_call,mb_flow_assert_msxpipe_grep_test,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-msxdos2-chk3,hello3,MAKE,,$(_rom))) From ccbde4fbb0f02f9f20c8a94486c655e9ae6e76cc Mon Sep 17 00:00:00 2001 From: Willem Date: Mon, 15 Jul 2024 03:37:56 +0200 Subject: [PATCH 222/274] Temp help fix. --- lib/make/𓃬𓍄𓋹𓀭/mb_flow_help.mk | 9 ++++++--- 1 file changed, 6 insertions(+), 3 deletions(-) diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_help.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_help.mk index 355e3cc..629b6c1 100644 --- a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_help.mk +++ b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_help.mk @@ -76,7 +76,8 @@ $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@help-target-r @help-target-module: $(MB_@RECIPE)@echo -e "Build one of the following module make targets;" -$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_MODULE) +$(MB_@RECIPE)$$(file >bin/@help.txt,$$(MB_DOC_FIRE_TARGET_MODULE)) +$(MB_@RECIPE)@cat bin/@help.txt | sed 's/\\\\n/\n/g' | sed 's/\\\\t/\t/g' | sed 's/\"//g' $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@help-target-module,"Lists module targets.") $(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@help-target-module) @@ -117,7 +118,8 @@ $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_DEEP) $(MB_@RECIPE)$$(call mb_make_call,mb_os_echo_good,"Targets that run away") $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_RUN) $(MB_@RECIPE)$$(call mb_make_call,mb_os_echo_good,"Targets from modules builds") -$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_MODULE) +$(MB_@RECIPE)$$(file >bin/@help.txt,$$(MB_DOC_FIRE_TARGET_MODULE)) +$(MB_@RECIPE)@cat bin/@help.txt | sed 's/\\\\n/\n/g' | sed 's/\\\\t/\t/g' | sed 's/\"//g' $(MB_@RECIPE)$$(call mb_make_call,mb_os_echo_good,"Targets that assert hopefully") $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_ASSERT) $(MB_@RECIPE)$$(call mb_make_call,mb_os_echo_good,"Targets main project help") @@ -140,7 +142,8 @@ $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_FUNCTION_FLOW) $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET) $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_DEEP) $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_RUN) -$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_MODULE) +$(MB_@RECIPE)$$(file >bin/@help.txt,$$(MB_DOC_FIRE_TARGET_MODULE)) +$(MB_@RECIPE)@cat bin/@help.txt | sed 's/\\\\n/\n/g' | sed 's/\\\\t/\t/g' | sed 's/\\\"/__TMP/g' | sed 's/\"//g' | sed 's/__TMP/\"/g' $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_ASSERT) $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_HELP) $(MB_@RECIPE)@echo -e $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT)) From 10ae96dee0b8339c6acd2b9bbc132ff95d7b72c8 Mon Sep 17 00:00:00 2001 From: Willem Date: Mon, 15 Jul 2024 03:50:13 +0200 Subject: [PATCH 223/274] Forgot adding dos2 boot package. --- src/assert/msxrom/0module100.mk | 8 ++++---- 1 file changed, 4 insertions(+), 4 deletions(-) diff --git a/src/assert/msxrom/0module100.mk b/src/assert/msxrom/0module100.mk index d3bbcf4..2f2fa98 100644 --- a/src/assert/msxrom/0module100.mk +++ b/src/assert/msxrom/0module100.mk @@ -10,10 +10,10 @@ $(call mb_make_call,mb_doc_variable,ASSERT_MSXROM_MATRIX,"When on enabled matrix # Test matrix = 13 * 2 * 4 = 104 assertion tests $(call mb_make_call,mb_flow_clone_deps,$(ASSERT_MSXROM_BIN),$(EXAMPLE_DIST_HELLO_BIN),$(EXAMPLE_DIST_HELLO_DEPS)) ifeq ("$(ASSERT_MSXROM_MATRIX)", "on") - $(foreach _rom,$(MB_MSXROM_FIRE_MACHINES),$(call mb_make_call,mb_flow_assert_msxpipe_grep_test,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-msxdos2-chk1,hello1,M80,,$(_rom))) - $(foreach _rom,$(MB_MSXROM_FIRE_MACHINES),$(call mb_make_call,mb_flow_assert_msxpipe_grep_test,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-msxdos2-chk2,hello2,SDCC,,$(_rom))) - $(foreach _rom,$(MB_MSXROM_FIRE_MACHINES),$(call mb_make_call,mb_flow_assert_msxpipe_grep_test,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-msxdos2-chk3,hello3,MAKE,,$(_rom))) - $(foreach _rom,$(MB_MSXROM_FIRE_MACHINES),$(call mb_make_call,mb_flow_assert_msxpipe_grep_test,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-msxdos1-chk4,hello4,N80,,$(_rom))) + $(foreach _rom,$(MB_MSXROM_FIRE_MACHINES),$(call mb_make_call,mb_flow_assert_msxpipe_grep_test,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-msxdos2-chk1,hello1,M80,msxdos2_boot,$(_rom))) + $(foreach _rom,$(MB_MSXROM_FIRE_MACHINES),$(call mb_make_call,mb_flow_assert_msxpipe_grep_test,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-msxdos2-chk2,hello2,SDCC,msxdos2_boot,$(_rom))) + $(foreach _rom,$(MB_MSXROM_FIRE_MACHINES),$(call mb_make_call,mb_flow_assert_msxpipe_grep_test,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-msxdos2-chk3,hello3,MAKE,msxdos2_boot,$(_rom))) + $(foreach _rom,$(MB_MSXROM_FIRE_MACHINES),$(call mb_make_call,mb_flow_assert_msxpipe_grep_test,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-msxdos1-chk4,hello4,N80,msxdos2_boot,$(_rom))) $(foreach _rom,$(MB_MSXROM_FIRE_MACHINES),$(call mb_make_call,mb_flow_assert_msxpipe_grep_test,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-nextor-chk1,hello1,M80,,$(_rom))) $(foreach _rom,$(MB_MSXROM_FIRE_MACHINES),$(call mb_make_call,mb_flow_assert_msxpipe_grep_test,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-nextor-chk2,hello2,SDCC,,$(_rom))) $(foreach _rom,$(MB_MSXROM_FIRE_MACHINES),$(call mb_make_call,mb_flow_assert_msxpipe_grep_test,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-nextor-chk3,hello3,MAKE,,$(_rom))) From 0368032b985b46aa9b45af6c1991989e15c3cca7 Mon Sep 17 00:00:00 2001 From: Willem Date: Tue, 16 Jul 2024 12:52:36 +0200 Subject: [PATCH 224/274] Added internal make conventions. --- README.md | 29 +++++++++++++++++++++++------ 1 file changed, 23 insertions(+), 6 deletions(-) diff --git a/README.md b/README.md index f3c4b2b..1eaae34 100644 --- a/README.md +++ b/README.md @@ -144,6 +144,22 @@ When you want to see whats happening do a debug run; make -Bnd bin/example/dist-hello-qa-msx1/@assert | make2graph | dot -Tpng -o /tmp/out.png;open /tmp/out.png +## Internal conventions make + +* A library MUST use variables for indenting zero tab or whitespace +* Internal things MUST start with an under score +* Variables MUST be in upper case +* Variables MUST use an under score as separator +* File targets MUST be in a relative binary build folder +* None file targets MUST start with at-sign with optional build folder +* None file targets MUST separate words with an hyphen +* Define eval flow MUST start with two underscores +* Eval flow MUST be injected by a function +* Eval flow MUST have an preamble/restore of dynamic white space +* Functions MUST call function with mb-make-call for DEBUG tracing +* Functions MUST be in lower case +* Functions MUST separate words with an hyphen + ## Errata * (?19++) Very sometimes the fail_after "boot_err" watchdog doesn't get canceled @@ -155,9 +171,9 @@ When you want to see whats happening do a debug run; * TODO: Missing C and mixed support * TODO: Use TCL for BASIC/ASM/C lcov (Cobertura) code coverage - ## OpenMSX backlog issues +* Add setting for "save_imgui_on_exit false" so no imgui.ini file is created * Only all all 16 bit unicode fonts because unicode is 21 bit but imgui is not * (FIXED:rm-SDL) Bug: screenshots sometimes segfaults when using SDL renderer * (FIXED:add-issue) Screenshots don't work without throttle @@ -188,18 +204,19 @@ To fix make5 will use (IBM spec) binary files to express the rules. * Add a few new define's to have official make documentation * BUG: Unicode read+parse ok but function call fails on `include $(MB__BASEPATH)/lib/make/प्रणालीᐥᔆʸᔆᐪᓫᔿ/mb_doc.mk`. -* Add a checked call function `ccall` which exits on undefined call argument -* Add `call` and `ccall` debug trace option +* Add a checked call function `call-safe` which exits on undefined call argument +* Add `call` and `call-safe` debug trace option +* Add `--warn-shell` and `--warn-shell-recipe` to allow user to force the project to use fast zero shell wrapped commands * Add -e option to make internal echo command for escaped sequences -* Add native OS functions for `os_echo,os_file_copy,os_file_delete,os_folder_delete,os_folder_create,etc` +* Add native OS functions for `os-echo,os-file-copy,os-file-delete,os-folder-delete,os-folder-create,etc` * Add string letters function * Add string isascii function (death with unicode) * Add lowercase and uppercase functions (full 21bit unicode support) * Add XML output for help system for integration with IDE's -* Add `wildcard_treewalker` for deeper searches +* Add `wildcard-treewalker` for deeper searches * Add namespace support for variables/functions/targets like: moduleX::bin/prog.o: moduleX::src/prog.c * Add checksum function to calc checksum for file -* Add `os_copy_diff` function to use checksums to copy only changed files +* Add `os-copy-diff` function to use checksums to copy only changed files * Allow make to use checksums not timestamps to detect file updates Make version 5 will bring `make` to the last century; From 66990665fa7879977e898aab162fc7b53a1d27d7 Mon Sep 17 00:00:00 2001 From: Willem Date: Tue, 16 Jul 2024 16:45:37 +0200 Subject: [PATCH 225/274] Converted to make style like "filter-out" --- Makefile | 2 +- lib/make/mb_env.mk | 2 +- lib/make/mb_flight.mk | 72 ++-- lib/make/सिस्टमₚᵣₐᵧToੴ/mb_doc.mk | 170 ++++----- lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make.mk | 40 +- lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_call.mk | 12 +- lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_check.mk | 28 +- lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_module.mk | 12 +- lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_xml.mk | 34 +- lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_conv.mk | 16 +- lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_nestor80.mk | 68 ++-- lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_os.mk | 82 ++--- lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_package.mk | 6 +- lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_sdcc.mk | 88 ++--- lib/make/ i18n/mb_i18n.mk | 108 +++--- lib/make/天房系統擴展/mb_autoexec.mk | 178 ++++----- lib/make/天房系統擴展/mb_msxhub.mk | 286 +++++++-------- lib/make/天房系統擴展/mb_msxpipe.mk | 70 ++-- lib/make/天房系統擴展/mb_msxrom.mk | 244 ++++++------- lib/make/天房系統擴展/mb_openmsx.mk | 104 +++--- lib/make/𓃬𓍄𓋹𓀭/mb_flow_0module.mk | 31 +- lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk | 69 ++-- lib/make/𓃬𓍄𓋹𓀭/mb_flow_clone.mk | 20 +- lib/make/𓃬𓍄𓋹𓀭/mb_flow_help.mk | 108 +++--- lib/make/𓃬𓍄𓋹𓀭/mb_flow_macro80.mk | 40 +- lib/make/𓃬𓍄𓋹𓀭/mb_flow_nestor80.mk | 32 +- lib/make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk | 364 +++++++++---------- lib/make/𓃬𓍄𓋹𓀭/mb_flow_sdcc.mk | 32 +- lib/msxbuild.mk | 92 ++--- src/assert/msxhub/0module100.mk | 84 ++--- src/assert/msxrom/0module100.mk | 28 +- src/example/dist-hello-qa-dos1/0module010.mk | 28 +- src/example/dist-hello-qa-dos2/0module010.mk | 40 +- src/example/dist-hello-qa-msx1/0module010.mk | 42 +-- src/example/dist-hello/0module001.mk | 28 +- src/example/hello-macro80/0module000.mk | 10 +- src/example/hello-make4msx/0module000.mk | 55 +-- src/example/hello-nestor80/0module000.mk | 10 +- src/example/hello-sdcc-asm/0module000.mk | 10 +- src/tools/gluedos1/0module000.mk | 50 +-- src/tools/gluemsx1/0module000.mk | 16 +- 41 files changed, 1412 insertions(+), 1399 deletions(-) diff --git a/Makefile b/Makefile index 6e73af9..7b9afbb 100644 --- a/Makefile +++ b/Makefile @@ -14,7 +14,7 @@ MB_PROJ_META_WEBSITE ?= https://code.distributedrebirth.love/arch-msx/msxbuild .DEFAULT_GOAL := @all -include Makelocal.mk include $(PATH_MSXBUILD)/msxbuild.mk -$(call mb_make_call,mb_setup_default,$(PATH_BIN),$(PATH_SRC)) +$(call mb-make-call,mb-setup-default,$(PATH_BIN),$(PATH_SRC)) # Optional: make faster and setup graph root .PHONY: Makefile diff --git a/lib/make/mb_env.mk b/lib/make/mb_env.mk index 706fe12..2db9be6 100644 --- a/lib/make/mb_env.mk +++ b/lib/make/mb_env.mk @@ -1,6 +1,6 @@ MB_ENV ?= on -$(call mb_make_call,mb_doc_variable,MB_ENV,"Enables VERBOSE and DEBUG variable parsing.") +$(call mb-make-call,mb-doc-variable,MB_ENV,"Enables VERBOSE and DEBUG variable parsing.") # diff --git a/lib/make/mb_flight.mk b/lib/make/mb_flight.mk index 8f1eed5..d56c281 100644 --- a/lib/make/mb_flight.mk +++ b/lib/make/mb_flight.mk @@ -1,88 +1,88 @@ MB_FLIGHT_SCREEN ?= off -$(call mb_make_call,mb_doc_variable,MB_FLIGHT_SCREEN,"Creates screenshots on exit of openMSX.") +$(call mb-make-call,mb-doc-variable,MB_FLIGHT_SCREEN,"Creates screenshots on exit of openMSX.") MB_FLIGHT_VIDEO ?= off -$(call mb_make_call,mb_doc_variable,MB_FLIGHT_VIDEO,"Create video of every build pipe session.") +$(call mb-make-call,mb-doc-variable,MB_FLIGHT_VIDEO,"Create video of every build pipe session.") MB_FLIGHT_PREFIX ?= msxbuild -$(call mb_make_call,mb_doc_variable,MB_FLIGHT_PREFIX,"Prefix for video and screenshot flight files.") +$(call mb-make-call,mb-doc-variable,MB_FLIGHT_PREFIX,"Prefix for video and screenshot flight files.") MB_FLIGHT_SEPERATOR ?= - -$(call mb_make_call,mb_doc_variable,MB_FLIGHT_SEPERATOR,"File and index number seperator for flight files.") +$(call mb-make-call,mb-doc-variable,MB_FLIGHT_SEPERATOR,"File and index number seperator for flight files.") MB_FLIGHT_RECORD_FLAG ?= -doublesize -$(call mb_make_call,mb_doc_variable,MB_FLIGHT_RECORD_FLAG,"Command parameter flag of the video recorder.") +$(call mb-make-call,mb-doc-variable,MB_FLIGHT_RECORD_FLAG,"Command parameter flag of the video recorder.") MB_FLIGHT_VIDEO_NAME ?= night-flight -$(call mb_make_call,mb_doc_variable,MB_FLIGHT_VIDEO_NAME,"Video file name of the final result merged video.") +$(call mb-make-call,mb-doc-variable,MB_FLIGHT_VIDEO_NAME,"Video file name of the final result merged video.") -define mb_flight_video_merge -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg1,mb_flight_video_merge,$(1)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_os_echo_command,Indexing flight videos) +define mb-flight-video-merge +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-arg1,$(0),$(1)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-os-echo_command,Indexing flight videos) $(MB_ᕽᕽᕽ   )find $(1) -type f -name '*.avi' -printf '%T@ %Tc %p\n' | sort -n | awk -F "$(1)/" -v s="file '" -v e="'" '{print s$$2e}' > $(1)/$(MB_FLIGHT_VIDEO_NAME).lst $(MB_ᕽᕽᕽ   )ffmpeg -v quiet -y -f concat -safe 0 -i $(1)/$(MB_FLIGHT_VIDEO_NAME).lst -c copy $(1)/$(MB_FLIGHT_VIDEO_NAME).avi -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_os_echo_remark,Flight video completed) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-os-echo-remark,Flight video completed) endef -$(call mb_make_call,mb_doc_function,mb_flight_video_merge,"Merged all flight videos to one.","") +$(call mb-make-call,mb-doc-function-deep,mb-flight-video-merge,"Merged all flight videos to one.","") -define __mb_flight_proj_flow_video +define __mb-flight-proj-flow-video .RECIPEPREFIX := $(MB_@RECIPE) @@flight-video-build: @build -$(MB_@RECIPE)$$(if $$(filter on,$$(MB_FLIGHT_VIDEO)),$$(call mb_make_call,mb_flight_video_merge,$(1))) +$(MB_@RECIPE)$$(if $$(filter on,$$(MB_FLIGHT_VIDEO)),$$(call mb-make-call,mb-flight-video-merge,$(1))) @flight-video-build: @clean -$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_before) +$(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-before) $(MB_@RECIPE)MB_OPENMSX_HEADLESS$(MB_MAKE_EQUALS)off MB_FLIGHT_VIDEO$(MB_MAKE_EQUALS)on $$(MAKE) -s @@flight-video-build -$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@flight-video-build,"Runs @clean and @build with recorder and merges flight videos to one.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@flight-video-build) +$(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@flight-video-build,"Runs @clean and @build with recorder and merges flight videos to one.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@flight-video-build) @@flight-video-test: @test -$(MB_@RECIPE)$$(if $$(filter on,$$(MB_FLIGHT_VIDEO)),$$(call mb_make_call,mb_flight_video_merge,$(1))) +$(MB_@RECIPE)$$(if $$(filter on,$$(MB_FLIGHT_VIDEO)),$$(call mb-make-call,mb-flight-video-merge,$(1))) @flight-video-test: @clean -$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_before) +$(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-before) $(MB_@RECIPE)MB_OPENMSX_HEADLESS$(MB_MAKE_EQUALS)off MB_FLIGHT_VIDEO$(MB_MAKE_EQUALS)on $$(MAKE) @@flight-video-test -$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@flight-video-test,"Runs @clean and @test with recorder and merges flight videos to one.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@flight-video-test) +$(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@flight-video-test,"Runs @clean and @test with recorder and merges flight videos to one.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@flight-video-test) @@flight-video-package-qa: @package-qa -$(MB_@RECIPE)$$(if $$(filter on,$$(MB_FLIGHT_VIDEO)),$$(call mb_make_call,mb_flight_video_merge,$(1))) +$(MB_@RECIPE)$$(if $$(filter on,$$(MB_FLIGHT_VIDEO)),$$(call mb-make-call,mb-flight-video-merge,$(1))) @flight-video-package-qa: @clean -$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_before) +$(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-before) $(MB_@RECIPE)MB_OPENMSX_HEADLESS$(MB_MAKE_EQUALS)off MB_FLIGHT_VIDEO$(MB_MAKE_EQUALS)on $$(MAKE) @@flight-video-package-qa -$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@flight-video-package-qa,"Runs @clean and @package-qa with recorder and merges flight videos to one.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@flight-video-package-qa) +$(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@flight-video-package-qa,"Runs @clean and @package-qa with recorder and merges flight videos to one.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@flight-video-package-qa) @@flight-video-all: @all -$(MB_@RECIPE)$$(if $$(filter on,$$(MB_FLIGHT_VIDEO)),$$(call mb_make_call,mb_flight_video_merge,$(1))) +$(MB_@RECIPE)$$(if $$(filter on,$$(MB_FLIGHT_VIDEO)),$$(call mb-make-call,mb-flight-video-merge,$(1))) @flight-video-all: @clean -$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_before) +$(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-before) $(MB_@RECIPE)MB_OPENMSX_HEADLESS$(MB_MAKE_EQUALS)off MB_FLIGHT_VIDEO$(MB_MAKE_EQUALS)on $$(MAKE) @@flight-video-all -$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@flight-video-all,"Runs @clean and @all with recorder and merges flight videos to one.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@flight-video-all) +$(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@flight-video-all,"Runs @clean and @all with recorder and merges flight videos to one.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@flight-video-all) .RECIPEPREFIX := $(MB_@RECIPEPREFIX) endef -define mb_flight_proj_flow_video -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg1,$(0),$(1)) -$(MB_ᕽᕽᕽ   )$(eval $(call mb_make_call,__$(0),$(1))) +define mb-flight-proj-flow-video +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-arg1,$(0),$(1)) +$(MB_ᕽᕽᕽ   )$(eval $(call mb-make-call,__$(0),$(1))) endef -$(call mb_make_call,mb_doc_function_flow,mb_flight_proj_flow_video,"Prints flow for adding flight video targets in project build cycle.","") +$(call mb-make-call,mb-doc-function-flow,mb-flight-proj-flow-video,"Prints flow for adding flight video targets in project build cycle.","") diff --git a/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_doc.mk b/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_doc.mk index 59aab60..da76830 100644 --- a/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_doc.mk +++ b/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_doc.mk @@ -1,166 +1,166 @@ MB_DOC_FIRE_VARIABLE_FLOW ?= \\n -#*** Recursive variable: MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,_mb_doc_variable4u_flow,MB_DOC_FIRE_VARIABLE_FLOW,"Flow builder of fire flow variable data.") +#*** Recursive variable: MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_VARIABLE_FLOW,"Flow builder of fire flow variable data.") MB_DOC_FIRE_VARIABLE ?= \\n -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,_mb_doc_variable4u_flow,MB_DOC_FIRE_VARIABLE,"Flow builder of firemake variable data.") +MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_VARIABLE,"Flow builder of firemake variable data.") MB_DOC_FIRE_VARIABLE_DEEP ?= \\n -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,_mb_doc_variable4u_flow,MB_DOC_FIRE_VARIABLE_DEEP,"Flow builder of makefire deep variable data.") +MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_VARIABLE_DEEP,"Flow builder of makefire deep variable data.") MB_DOC_FIRE_VARIABLE_ROCK ?= \\n -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,_mb_doc_variable4u_flow,MB_DOC_FIRE_VARIABLE_ROCK,"Flow builder of makefire rock variable data.") +MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_VARIABLE_ROCK,"Flow builder of makefire rock variable data.") MB_DOC_FIRE_VARIABLE_I18N ?= \\n -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,_mb_doc_variable4u_flow,MB_DOC_FIRE_VARIABLE_I18N,"Flow builder of makefire i18n variable data.") +MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_VARIABLE_I18N,"Flow builder of makefire i18n variable data.") MB_DOC_FIRE_FUNCTION ?= \\n -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,_mb_doc_variable4u_flow,MB_DOC_FIRE_FUNCTION,"Flow builder of firemake function data.") +MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_FUNCTION,"Flow builder of firemake function data.") MB_DOC_FIRE_FUNCTION_DEEP ?= \\n -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,_mb_doc_variable4u_flow,MB_DOC_FIRE_FUNCTION_DEEP,"Flow builder of firemake deep function data.") +MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_FUNCTION_DEEP,"Flow builder of firemake deep function data.") MB_DOC_FIRE_FUNCTION_FLOW ?= \\n -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,_mb_doc_variable4u_flow,MB_DOC_FIRE_FUNCTION_FLOW,"Flow builder of firemake flow function data.") +MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_FUNCTION_FLOW,"Flow builder of firemake flow function data.") MB_DOC_FIRE_TARGET ?= \\n -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,_mb_doc_variable4u_flow,MB_DOC_FIRE_TARGET,"Flow builder of firemake target data.") +MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_TARGET,"Flow builder of firemake target data.") MB_DOC_FIRE_TARGET_DEEP ?= \\n -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,_mb_doc_variable4u_flow,MB_DOC_FIRE_TARGET_DEEP,"Flow builder of firemake deep target data.") +MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_TARGET_DEEP,"Flow builder of firemake deep target data.") MB_DOC_FIRE_TARGET_RUN ?= \\n -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,_mb_doc_variable4u_flow,MB_DOC_FIRE_TARGET_RUN,"Flow builder of firemake run target data.") +MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_TARGET_RUN,"Flow builder of firemake run target data.") MB_DOC_FIRE_TARGET_MODULE ?= \\n -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,_mb_doc_variable4u_flow,MB_DOC_FIRE_TARGET_MODULE,"Flow builder of firemake module target data.") +MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_TARGET_MODULE,"Flow builder of firemake module target data.") MB_DOC_FIRE_TARGET_ASSERT ?= \\n -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,_mb_doc_variable4u_flow,MB_DOC_FIRE_TARGET_ASSERT,"Flow builder of firemake assert target data.") +MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_TARGET_ASSERT,"Flow builder of firemake assert target data.") MB_DOC_FIRE_TARGET_HELP ?= \\n -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,_mb_doc_variable4u_flow,MB_DOC_FIRE_TARGET_HELP,"Flow builder of firemake help data.") +MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_TARGET_HELP,"Flow builder of firemake help data.") MB_DOC_XML_ROOT := firemake -MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,_mb_doc_variable4u_rock,MB_DOC_XML_ROOT,"XML root tag for firemake output.") +MB_DOC_FIRE_VARIABLE_ROCK += $(call mb-make-call,_mb-doc-variable4u-rock,MB_DOC_XML_ROOT,"XML root tag for firemake output.") MB_DOC_XML_ATTR_NAME := name -MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,_mb_doc_variable4u_rock,MB_DOC_XML_ATTR_NAME,"XML name attribute for firemake output.") +MB_DOC_FIRE_VARIABLE_ROCK += $(call mb-make-call,_mb-doc-variable4u-rock,MB_DOC_XML_ATTR_NAME,"XML name attribute for firemake output.") MB_DOC_XML_ATTR_LEVEL := level -MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,_mb_doc_variable4u_rock,MB_DOC_XML_ATTR_LEVEL,"XML level attribute for firemake output.") +MB_DOC_FIRE_VARIABLE_ROCK += $(call mb-make-call,_mb-doc-variable4u-rock,MB_DOC_XML_ATTR_LEVEL,"XML level attribute for firemake output.") MB_DOC_XML_TAG_ARGS := arguments -MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,_mb_doc_variable4u_rock,MB_DOC_XML_TAG_ARGS,"XML arguments tag for firemake output.") +MB_DOC_FIRE_VARIABLE_ROCK += $(call mb-make-call,_mb-doc-variable4u-rock,MB_DOC_XML_TAG_ARGS,"XML arguments tag for firemake output.") MB_DOC_XML_TAG_DESC := description -MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,_mb_doc_variable4u_rock,MB_DOC_XML_TAG_DESC,"XML description tag for firemake output.") +MB_DOC_FIRE_VARIABLE_ROCK += $(call mb-make-call,_mb-doc-variable4u-rock,MB_DOC_XML_TAG_DESC,"XML description tag for firemake output.") MB_DOC_XML_TAG_VALUE := value -MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,_mb_doc_variable4u_rock,MB_DOC_XML_TAG_VALUE,"XML value tag for firemake output.") +MB_DOC_FIRE_VARIABLE_ROCK += $(call mb-make-call,_mb-doc-variable4u-rock,MB_DOC_XML_TAG_VALUE,"XML value tag for firemake output.") # rename now internal: _MB_DOC_FORMAT MB_DOC_FORMAT ?= txt -#MB_DOC_FIRE_VARIABLE_DEEP += $(call mb_make_call,_mb_doc_variable4u_deep,MB_DOC_FORMAT,"Output format of documention$(MB_MAKE_COMMA) only 'txt' or 'xml' is supported.") +#MB_DOC_FIRE_VARIABLE_DEEP += $(call mb-make-call,_mb-doc-variable4u-deep,MB_DOC_FORMAT,"Output format of documention$(MB_MAKE_COMMA) only 'txt' or 'xml' is supported.") define _escape $(MB_ᕽᕽᕽ   )$(subst <,"<",$(subst >,">",$(subst \\n,\\\n,$(subst ','"'"',$(1))))) endef -define _mb_doc_variable_txt -$(MB_ᕽᕽᕽ   )"* "$(2)$(MB_MAKE_EQUALS)$(call mb_make_call,_escape,$(4))\\n$(if $(3),\\t$(3)\\n)\\n +define _mb-doc-variable-txt +$(MB_ᕽᕽᕽ   )"* "$(2)$(MB_MAKE_EQUALS)$(call mb-make-call,_escape,$(4))\\n$(if $(3),\\t$(3)\\n)\\n endef -define _mb_doc_variable_xml -$(MB_ᕽᕽᕽ   )\\n\\t$(call mb_make_call,mb_make_xml_open,variable,$(MB_DOC_XML_ATTR_NAME),$(2),$(MB_DOC_XML_ATTR_LEVEL),$(1))\\n\\t\\t$(call mb_make_call,mb_make_xml_tag_value,$(MB_DOC_XML_TAG_VALUE),$(4))\\n\\t\\t$(call mb_make_call,mb_make_xml_tag_value,$(MB_DOC_XML_TAG_DESC),$(3))\\n\\t$(call mb_make_call,mb_make_xml_close,variable) +define _mb-doc-variable-xml +$(MB_ᕽᕽᕽ   )\\n\\t$(call mb-make-call,mb-make-xml-open,variable,$(MB_DOC_XML_ATTR_NAME),$(2),$(MB_DOC_XML_ATTR_LEVEL),$(1))\\n\\t\\t$(call mb-make-call,mb-make-xml-tag-value,$(MB_DOC_XML_TAG_VALUE),$(4))\\n\\t\\t$(call mb-make-call,mb-make-xml-tag-value,$(MB_DOC_XML_TAG_DESC),$(3))\\n\\t$(call mb-make-call,mb-make-xml-close,variable) endef -define _mb_doc_variable4u_deep -$(MB_ᕽᕽᕽ   )$(call mb_make_call,_mb_doc_variable_$(MB_DOC_FORMAT),deep,$(1),$(2),$($(1))) +define _mb-doc-variable4u-deep +$(MB_ᕽᕽᕽ   )$(call mb-make-call,_mb-doc-variable-$(MB_DOC_FORMAT),deep,$(1),$(2),$($(1))) endef -define _mb_doc_variable4u_rock -$(MB_ᕽᕽᕽ   )$(call mb_make_call,_mb_doc_variable_$(MB_DOC_FORMAT),rock,$(1),$(2),$($(1))) +define _mb-doc-variable4u-rock +$(MB_ᕽᕽᕽ   )$(call mb-make-call,_mb-doc-variable-$(MB_DOC_FORMAT),rock,$(1),$(2),$($(1))) endef -define _mb_doc_variable4u_flow -$(MB_ᕽᕽᕽ   )$(call mb_make_call,_mb_doc_variable_$(MB_DOC_FORMAT),flow,$(1),$(2),$(words $($(1)))) +define _mb-doc-variable4u-flow +$(MB_ᕽᕽᕽ   )$(call mb-make-call,_mb-doc-variable-$(MB_DOC_FORMAT),flow,$(1),$(2),$(words $($(1)))) endef -define _mb_doc_variable4u_i18n -$(MB_ᕽᕽᕽ   )$(call mb_make_call,_mb_doc_variable_$(MB_DOC_FORMAT),i18n,$(1),$(2),$($(1))) +define _mb-doc-variable4u-i18n +$(MB_ᕽᕽᕽ   )$(call mb-make-call,_mb-doc-variable-$(MB_DOC_FORMAT),i18n,$(1),$(2),$($(1))) endef -define mb_doc_variable -$(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_VARIABLE += $$(call mb_make_call,_mb_doc_variable_$$(MB_DOC_FORMAT),build,$(1),$(2),$($(1)))) +define mb-doc-variable +$(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_VARIABLE += $$(call mb-make-call,_mb-doc-variable-$$(MB_DOC_FORMAT),build,$(1),$(2),$($(1)))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_variable,"Saves formatted documention of an variable."," [desc]") -define mb_doc_variable_deep -$(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_VARIABLE_DEEP += $$(call mb_make_call,_mb_doc_variable4u_deep,$(1),$(2))) +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb-make-call,_mb-doc-function4u-deep,mb-doc-variable,"Saves formatted documention of an variable."," [desc]") +define mb-doc-variable-deep +$(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_VARIABLE_DEEP += $$(call mb-make-call,_mb-doc-variable4u-deep,$(1),$(2))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_variable_deep,"Saves formatted documention of an deep variable."," [desc]") -define mb_doc_variable_rock -$(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_VARIABLE_ROCK += $$(call mb_make_call,_mb_doc_variable4u_rock,$(1),$(2))) +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb-make-call,_mb-doc-function4u-deep,mb-doc-variable-deep,"Saves formatted documention of an deep variable."," [desc]") +define mb-doc-variable-rock +$(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_VARIABLE_ROCK += $$(call mb-make-call,_mb-doc-variable4u-rock,$(1),$(2))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_variable_rock,"Saves formatted documention of an rock variable."," [desc]") -define mb_doc_variable_flow -$(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_VARIABLE_FLOW += $$(call mb_make_call,_mb_doc_variable4u_flow,$(1),$(2))) +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb-make-call,_mb-doc-function4u-deep,mb-doc-variable-rock,"Saves formatted documention of an rock variable."," [desc]") +define mb-doc-variable-flow +$(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_VARIABLE_FLOW += $$(call mb-make-call,_mb-doc-variable4u-flow,$(1),$(2))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_variable_flow,"Saves formatted documention of an flow variable."," [desc]") -define mb_doc_variable_i18n -$(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_VARIABLE_I18N += $$(call mb_make_call,_mb_doc_variable4u_i18n,$(1),$(2))) +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb-make-call,_mb-doc-function4u-deep,mb-doc-variable-flow,"Saves formatted documention of an flow variable."," [desc]") +define mb-doc-variable-i18n +$(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_VARIABLE_I18N += $$(call mb-make-call,_mb-doc-variable4u-i18n,$(1),$(2))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_variable_i18n,"Saves formatted documention of an i18n variable."," [desc]") +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb-make-call,_mb-doc-function4u-deep,mb-doc-variable-i18n,"Saves formatted documention of an i18n variable."," [desc]") -define _mb_doc_function_txt +define _mb-doc-function-txt $(MB_ᕽᕽᕽ   )"* "$(2)$(if $(4), $(4))$(if $(3),\\n\\t$(3)\\n)\\n endef -define _mb_doc_function_xml -$(MB_ᕽᕽᕽ   )\\n\\t$(call mb_make_call,mb_make_xml_open,function,$(MB_DOC_XML_ATTR_NAME),$(2),$(MB_DOC_XML_ATTR_LEVEL),$(1))\\n\\t\\t$(call mb_make_call,mb_make_xml_tag_value,$(MB_DOC_XML_TAG_ARGS),$(4))\\n\\t\\t$(call mb_make_call,mb_make_xml_tag_value,$(MB_DOC_XML_TAG_DESC),$(3))\\n\\t$(call mb_make_call,mb_make_xml_close,function) +define _mb-doc-function-xml +$(MB_ᕽᕽᕽ   )\\n\\t$(call mb-make-call,mb-make-xml-open,function,$(MB_DOC_XML_ATTR_NAME),$(2),$(MB_DOC_XML_ATTR_LEVEL),$(1))\\n\\t\\t$(call mb-make-call,mb-make-xml-tag-value,$(MB_DOC_XML_TAG_ARGS),$(4))\\n\\t\\t$(call mb-make-call,mb-make-xml-tag-value,$(MB_DOC_XML_TAG_DESC),$(3))\\n\\t$(call mb-make-call,mb-make-xml-close,function) endef -define _mb_doc_function4u_deep -$(MB_ᕽᕽᕽ   )$(call mb_make_call,_mb_doc_function_$(MB_DOC_FORMAT),deep,$(1),$(2),$(3)) +define _mb-doc-function4u-deep +$(MB_ᕽᕽᕽ   )$(call mb-make-call,_mb-doc-function-$(MB_DOC_FORMAT),deep,$(1),$(2),$(3)) endef -define _mb_doc_function4u_flow -$(MB_ᕽᕽᕽ   )$(call mb_make_call,_mb_doc_function_$(MB_DOC_FORMAT),flow,$(1),$(2),$(3)) +define _mb-doc-function4u-flow +$(MB_ᕽᕽᕽ   )$(call mb-make-call,_mb-doc-function-$(MB_DOC_FORMAT),flow,$(1),$(2),$(3)) endef -define mb_doc_function -$(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_FUNCTION += $$(call mb_make_call,_mb_doc_function_$$(MB_DOC_FORMAT),build,$(1),$(2),$(3))) +define mb-doc-function +$(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_FUNCTION += $$(call mb-make-call,_mb-doc-function-$$(MB_DOC_FORMAT),build,$(1),$(2),$(3))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_function,"Saves formatted documention of an function."," [desc] [args]") -define mb_doc_function_deep -$(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_FUNCTION_DEEP += $$(call mb_make_call,_mb_doc_function4u_deep,$(1),$(2),$(3))) +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb-make-call,_mb-doc-function4u-deep,mb-doc-function,"Saves formatted documention of an function."," [desc] [args]") +define mb-doc-function-deep +$(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_FUNCTION_DEEP += $$(call mb-make-call,_mb-doc-function4u-deep,$(1),$(2),$(3))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_function_deep,"Saves formatted documention of an deep function."," [desc] [args]") -define mb_doc_function_flow -$(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_FUNCTION_FLOW += $$(call mb_make_call,_mb_doc_function4u_flow,$(1),$(2),$(3))) +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb-make-call,_mb-doc-function4u-deep,mb-doc-function-deep,"Saves formatted documention of an deep function."," [desc] [args]") +define mb-doc-function-flow +$(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_FUNCTION_FLOW += $$(call mb-make-call,_mb-doc-function4u-flow,$(1),$(2),$(3))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_function_flow,"Saves formatted documention of an flow function."," [desc] [args]") +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb-make-call,_mb-doc-function4u-deep,mb-doc-function-flow,"Saves formatted documention of an flow function."," [desc] [args]") -define _mb_doc_target_txt +define _mb-doc-target-txt $(MB_ᕽᕽᕽ   )"* "$(2)$(if $(3),\\n\\t$(3))\\n\\n endef -define _mb_doc_target_xml -$(MB_ᕽᕽᕽ   )\\n\\t$(call mb_make_call,mb_make_xml_open,target,$(MB_DOC_XML_ATTR_NAME),$(2),$(MB_DOC_XML_ATTR_LEVEL),$(1))$(if $3,\\n\\t\\t$(call mb_make_call,mb_make_xml_tag_value,$(MB_DOC_XML_TAG_DESC),$(3))\\n\\t$(call mb_make_call,mb_make_xml_close,target),\\n\\t$(call mb_make_call,mb_make_xml_close,target)) +define _mb-doc-target-xml +$(MB_ᕽᕽᕽ   )\\n\\t$(call mb-make-call,mb-make-xml-open,target,$(MB_DOC_XML_ATTR_NAME),$(2),$(MB_DOC_XML_ATTR_LEVEL),$(1))$(if $3,\\n\\t\\t$(call mb-make-call,mb-make-xml-tag-value,$(MB_DOC_XML_TAG_DESC),$(3))\\n\\t$(call mb-make-call,mb-make-xml-close,target),\\n\\t$(call mb-make-call,mb-make-xml-close,target)) endef -define mb_doc_target -$(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_TARGET += $$(call mb_make_call,_mb_doc_target_$$(MB_DOC_FORMAT),build,$(1),$(2))) +define mb-doc-target +$(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_TARGET += $$(call mb-make-call,_mb-doc-target-$$(MB_DOC_FORMAT),build,$(1),$(2))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_target,"Saves formatted documention of an target."," [desc]") -define mb_doc_target_deep -$(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_TARGET_DEEP += $$(call mb_make_call,_mb_doc_target_$$(MB_DOC_FORMAT),deep,$(1),$(2))) +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb-make-call,_mb-doc-function4u-deep,mb-doc-target,"Saves formatted documention of an target."," [desc]") +define mb-doc-target-deep +$(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_TARGET_DEEP += $$(call mb-make-call,_mb-doc-target-$$(MB_DOC_FORMAT),deep,$(1),$(2))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_target_deep,"Saves formatted documention of an deep target."," [desc]") -define mb_doc_target_help -$(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_TARGET_HELP += $$(call mb_make_call,_mb_doc_target_$$(MB_DOC_FORMAT),help,$(1),$(2))) +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb-make-call,_mb-doc-function4u-deep,mb-doc-target-deep,"Saves formatted documention of an deep target."," [desc]") +define mb-doc-target-help +$(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_TARGET_HELP += $$(call mb-make-call,_mb-doc-target-$$(MB_DOC_FORMAT),help,$(1),$(2))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_target_help,"Saves formatted documention of an help target."," [desc]") -define mb_doc_target_run -$(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_TARGET_RUN += $$(call mb_make_call,_mb_doc_target_$$(MB_DOC_FORMAT),run,$(1),$(2))) +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb-make-call,_mb-doc-function4u-deep,mb-doc-target-help,"Saves formatted documention of an help target."," [desc]") +define mb-doc-target-run +$(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_TARGET_RUN += $$(call mb-make-call,_mb-doc-target-$$(MB_DOC_FORMAT),run,$(1),$(2))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_target_run,"Saves formatted documention of an run target."," [desc]") -define mb_doc_target_module -$(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_TARGET_MODULE += $$(call mb_make_call,_mb_doc_target_$$(MB_DOC_FORMAT),module,$(1),$(2))) +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb-make-call,_mb-doc-function4u-deep,mb-doc-target_run,"Saves formatted documention of an run target."," [desc]") +define mb-doc-target-module +$(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_TARGET_MODULE += $$(call mb-make-call,_mb-doc-target-$$(MB_DOC_FORMAT),module,$(1),$(2))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_target_module,"Saves formatted documention of an module target."," [desc]") -define mb_doc_target_assert -$(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_TARGET_ASSERT += $$(call mb_make_call,_mb_doc_target_$$(MB_DOC_FORMAT),assert,$(1),$(2))) +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb-make-call,_mb-doc-function4u-deep,mb-doc-target-module,"Saves formatted documention of an module target."," [desc]") +define mb-doc-target-assert +$(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_TARGET_ASSERT += $$(call mb-make-call,_mb-doc-target-$$(MB_DOC_FORMAT),assert,$(1),$(2))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_target_assert,"Saves formatted documention of an assert target."," [desc]") +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb-make-call,_mb-doc-function4u-deep,mb-doc-target-assert,"Saves formatted documention of an assert target."," [desc]") diff --git a/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make.mk b/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make.mk index 59e66d5..d066529 100644 --- a/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make.mk +++ b/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make.mk @@ -1,58 +1,58 @@ MB_MAKE_COMMA := , -$(call mb_make_call,mb_doc_variable_rock,MB_MAKE_COMMA,"Expanded special char; comma.") +$(call mb-make-call,mb-doc-variable-rock,MB_MAKE_COMMA,"Expanded special char; comma.") MB_MAKE_SPACE := $(subst ,, ) -$(call mb_make_call,mb_doc_variable_rock,MB_MAKE_SPACE,"Expanded special char; space.") +$(call mb-make-call,mb-doc-variable-rock,MB_MAKE_SPACE,"Expanded special char; space.") MB_MAKE_EQUALS := = -$(call mb_make_call,mb_doc_variable_rock,MB_MAKE_EQUALS,"Expanded special char; equals.") +$(call mb-make-call,mb-doc-variable-rock,MB_MAKE_EQUALS,"Expanded special char; equals.") MB_MAKE_APPEND := +$(MB_MAKE_EQUALS) -$(call mb_make_call,mb_doc_variable_rock,MB_MAKE_APPEND,"Expanded special char; +equals.") +$(call mb-make-call,mb-doc-variable-rock,MB_MAKE_APPEND,"Expanded special char; +equals.") MB_MAKE_HASH := \# -$(call mb_make_call,mb_doc_variable_rock,MB_MAKE_HASH,"Expanded special char; hash.") +$(call mb-make-call,mb-doc-variable-rock,MB_MAKE_HASH,"Expanded special char; hash.") MB_MAKE_COLON := : -$(call mb_make_call,mb_doc_variable_rock,MB_MAKE_COLON,"Expanded special char; colon.") +$(call mb-make-call,mb-doc-variable-rock,MB_MAKE_COLON,"Expanded special char; colon.") MB_MAKE_DOLLAR := $$ -$(call mb_make_call,mb_doc_variable_rock,MB_MAKE_DOLLAR,"Expanded special char; dollar.") +$(call mb-make-call,mb-doc-variable-rock,MB_MAKE_DOLLAR,"Expanded special char; dollar.") -define mb_make_target_phony +define mb-make-target-phony .PHONY: $(1) endef -$(call mb_make_call,mb_doc_function_deep,mb_make_flag_phony,"Marks an target as phony.","") +$(call mb-make-call,mb-doc-function-deep,mb-make-flag-phony,"Marks an target as phony.","") -define mb_make_newline +define mb-make-newline endef -$(call mb_make_call,mb_doc_function_deep,mb_make_newline,"Prints a newline character.") +$(call mb-make-call,mb-doc-function-deep,mb-make-newline,"Prints a newline character.") -define mb_make_space2comma +define mb-make-space2comma $(MB_ᕽᕽᕽ   )$(subst $(MB_MAKE_SPACE),$(MB_MAKE_COMMA),$1) endef -$(call mb_make_call,mb_doc_function_deep,mb_make_space2comma,"Replaces all spaces witth comma's.") +$(call mb-make-call,mb-doc-function-deep,mb-make-space2comma,"Replaces all spaces witth comma's.") -define mb_make_wildcard_treewalker -$(MB_ᕽᕽᕽ   )$(foreach _dir,$(wildcard $(1)*),$(call mb_make_wildcard_treewalker,$(_dir)/,$(2)) $(filter $(subst *,%,$(2)),$(_dir))) +define mb-make-wildcard-treewalker +$(MB_ᕽᕽᕽ   )$(foreach _dir,$(wildcard $(1)*),$(call mb-make-wildcard-treewalker,$(_dir)/,$(2)) $(filter $(subst *,%,$(2)),$(_dir))) endef -$(call mb_make_call,mb_doc_function_deep,mb_make_wildcard_treewalker,"Recursive wildcard search."," ") +$(call mb-make-call,mb-doc-function-deep,mb-make-wildcard-treewalker,"Recursive wildcard search."," ") -define mb_make_lowercase +define mb-make-lowercase $(MB_ᕽᕽᕽ   )$(subst A,a,$(subst B,b,$(subst C,c,$(subst D,d,$(subst E,e,$(subst F,f,$(subst G,g,$(subst H,h,$(subst I,i,$(subst J,j,$(subst K,k,$(subst L,l,$(subst M,m,$(subst N,n,$(subst O,o,$(subst P,p,$(subst Q,q,$(subst R,r,$(subst S,s,$(subst T,t,$(subst U,u,$(subst V,v,$(subst W,w,$(subst X,x,$(subst Y,y,$(subst Z,z,$(1))))))))))))))))))))))))))) endef -$(call mb_make_call,mb_doc_function_deep,mb_make_lowercase,"Converts ascii string to lowercase.","") +$(call mb-make-call,mb-doc-function-deep,mb-make-lowercase,"Converts ascii string to lowercase.","") -define mb_make_uppercase +define mb-make-uppercase $(MB_ᕽᕽᕽ   )$(subst a,A,$(subst b,B,$(subst c,C,$(subst d,D,$(subst e,E,$(subst f,F,$(subst g,G,$(subst h,H,$(subst i,I,$(subst j,J,$(subst k,K,$(subst l,L,$(subst m,M,$(subst n,N,$(subst o,O,$(subst p,P,$(subst q,Q,$(subst r,R,$(subst s,S,$(subst t,T,$(subst u,U,$(subst v,V,$(subst w,W,$(subst x,X,$(subst y,Y,$(subst z,Z,$(1))))))))))))))))))))))))))) endef -$(call mb_make_call,mb_doc_function_deep,mb_make_uppercase,"Converts ascii string to uppercase.","") +$(call mb-make-call,mb-doc-function-deep,mb-make-uppercase,"Converts ascii string to uppercase.","") diff --git a/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_call.mk b/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_call.mk index dd977e7..5f8c4bf 100644 --- a/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_call.mk +++ b/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_call.mk @@ -12,14 +12,14 @@ MB_MAKE_CALL_DEBUG ?= off 8 := 9 := -define _mb_make_call_debug -$(MB_ᕽᕽᕽ   )$(if $(filter off,$(MB_MAKE_CALL_DEBUG)),,$(if $(findstring mb_doc_,$(1)),,$(warning DEBUG $(1) ($(2),$(3),$(4),$(5),$(6),$(7),$(8),$(9))))) +define _mb-make-call-debug +$(MB_ᕽᕽᕽ   )$(if $(filter off,$(MB_MAKE_CALL_DEBUG)),,$(if $(findstring mb-doc-,$(1)),,$(warning DEBUG $(1) ($(2),$(3),$(4),$(5),$(6),$(7),$(8),$(9))))) endef -define mb_make_call -$(MB_ᕽᕽᕽ   )$(call _mb_make_call_debug,$(1),$(2),$(3),$(4),$(5),$(6),$(7),$(8),$(9))$(if $(filter file,$(origin $(1))),$(call $(1),$(2),$(3),$(4),$(5),$(6),$(7),$(8),$(9)),$(error $(1) is not defined)) +define mb-make-call +$(MB_ᕽᕽᕽ   )$(call _mb-make-call-debug,$(1),$(2),$(3),$(4),$(5),$(6),$(7),$(8),$(9))$(if $(filter file,$(origin $(1))),$(call $(1),$(2),$(3),$(4),$(5),$(6),$(7),$(8),$(9)),$(error $(1) is not defined)) endef -$(call mb_make_call,mb_doc_function_deep,mb_make_call,"Checked origin call function wrapper."," [args...]") +$(call mb-make-call,mb-doc-function-deep,mb-make-call,"Checked origin call function wrapper."," [args...]") # Wait until function is parsed... -$(call mb_make_call,mb_doc_variable_deep,MB_MAKE_CALL_DEBUG,"If on prints debug trace of all make calls.") +$(call mb-make-call,mb-doc-variable-deep,MB_MAKE_CALL_DEBUG,"If on prints debug trace of all make calls.") diff --git a/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_check.mk b/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_check.mk index e784370..ed20a0a 100644 --- a/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_check.mk +++ b/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_check.mk @@ -1,52 +1,52 @@ -define mb_make_check_variable +define mb-make-check-variable $(MB_ᕽᕽᕽ   )$(if $($(1)),,$(error $(1): Is empty)) endef -$(call mb_make_call,mb_doc_function_deep,mb_make_check_variable,"Checks that a variable is not empty.","") +$(call mb-make-call,mb-doc-function-deep,mb-make-check-variable,"Checks that a variable is not empty.","") -define mb_make_check_value_valid +define mb-make-check-value-valid $(MB_ᕽᕽᕽ   )$(if $(filter $(2),$(3)),,$(error $(1): $(2) Is not valid, options are: $(3))) endef -$(call mb_make_call,mb_doc_function_deep,mb_make_check_value_valid,"Checks that a value is valid."," ") +$(call mb-make-call,mb-doc-function-deep,mb-make-check-value-valid,"Checks that a value is valid."," ") -define mb_make_check_arg1 +define mb-make-check-arg1 $(MB_ᕽᕽᕽ   )$(if $(2),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG1))) endef -$(call mb_make_call,mb_doc_function_deep,mb_make_check_arg1,"Checks that a function has a given argument."," ") +$(call mb-make-call,mb-doc-function-deep,mb-make-check-arg1,"Checks that a function has a given argument."," ") -define mb_make_check_arg2 +define mb-make-check-arg2 $(MB_ᕽᕽᕽ   )$(if $(2),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG1))) \ $(MB_ᕽᕽᕽ   )$(if $(3),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG2))) endef -$(call mb_make_call,mb_doc_function_deep,mb_make_check_arg2,"Checks that a function has the given arguments."," ") +$(call mb-make-call,mb-doc-function-deep,mb-make-check-arg2,"Checks that a function has the given arguments."," ") -define mb_make_check_arg3 +define mb-make-check-arg3 $(MB_ᕽᕽᕽ   )$(if $(2),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG1))) \ $(MB_ᕽᕽᕽ   )$(if $(3),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG2))) \ $(MB_ᕽᕽᕽ   )$(if $(4),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG3))) endef -$(call mb_make_call,mb_doc_function_deep,mb_make_check_arg3,"Checks that a function has the given arguments."," ") +$(call mb-make-call,mb-doc-function-deep,mb-make-check-arg3,"Checks that a function has the given arguments."," ") -define mb_make_check_arg4 +define mb-make-check-arg4 $(MB_ᕽᕽᕽ   )$(if $(2),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG1))) \ $(MB_ᕽᕽᕽ   )$(if $(3),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG2))) \ $(MB_ᕽᕽᕽ   )$(if $(4),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG3))) \ $(MB_ᕽᕽᕽ   )$(if $(5),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG4))) endef -$(call mb_make_call,mb_doc_function_deep,mb_make_check_arg4,"Checks that a function has the given arguments."," ") +$(call mb-make-call,mb-doc-function-deep,mb-make-check-arg4,"Checks that a function has the given arguments."," ") -define mb_make_check_arg5 +define mb-make-check-arg5 $(MB_ᕽᕽᕽ   )$(if $(2),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG1))) \ $(MB_ᕽᕽᕽ   )$(if $(3),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG2))) \ $(MB_ᕽᕽᕽ   )$(if $(4),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG3))) \ $(MB_ᕽᕽᕽ   )$(if $(5),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG4))) \ $(MB_ᕽᕽᕽ   )$(if $(6),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG5))) endef -$(call mb_make_call,mb_doc_function_deep,mb_make_check_arg5,"Checks that a function has the given arguments."," ") +$(call mb-make-call,mb-doc-function-deep,mb-make-check-arg5,"Checks that a function has the given arguments."," ") diff --git a/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_module.mk b/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_module.mk index 1d44f1a..9907877 100644 --- a/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_module.mk +++ b/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_module.mk @@ -1,18 +1,18 @@ -define mb_make_module_path_src +define mb-make-module-path-src $(MB_ᕽᕽᕽ   )$(1)$(abspath $(subst $(1),,$(dir $(lastword $(MAKEFILE_LIST))))) endef -$(call mb_make_call,mb_doc_function_deep,mb_make_module_path_src,"Convert current location folder as src folder.","") +$(call mb-make-call,mb-doc-function-deep,mb-make-module-path-src,"Convert current location folder as src folder.","") -define mb_make_module_path_bin +define mb-make-module-path-bin $(MB_ᕽᕽᕽ   )$(2)$(abspath $(subst $(1),,$(dir $(lastword $(MAKEFILE_LIST))))) endef -$(call mb_make_call,mb_doc_function_deep,mb_make_module_path_src,"Convert current location folder as bin folder."," ") +$(call mb-make-call,mb-doc-function-deep,mb-make-module-path-src,"Convert current location folder as bin folder."," ") -define mb_make_module_local_deps +define mb-make-module-local-deps $(MB_ᕽᕽᕽ   )$(foreach _dep,$(2),$(1)/$(notdir $(_dep))) endef -$(call mb_make_call,mb_doc_function_deep,mb_make_module_deps,"Convert other files to local deps."," ") +$(call mb-make-call,mb-doc-function-deep,mb-make-module-deps,"Convert other files to local deps."," ") diff --git a/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_xml.mk b/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_xml.mk index 24080f9..4cd554a 100644 --- a/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_xml.mk +++ b/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_xml.mk @@ -1,43 +1,43 @@ MB_MAKE_XML_LT := < -$(call mb_make_call,mb_doc_variable_rock,MB_MAKE_XML_LT,"Expanded special char; lesser than.") +$(call mb-make-call,mb-doc-variable-rock,MB_MAKE_XML_LT,"Expanded special char; lesser than.") MB_MAKE_XML_GT := > -$(call mb_make_call,mb_doc_variable_rock,MB_MAKE_XML_GT,"Expanded special char; greater than.") +$(call mb-make-call,mb-doc-variable-rock,MB_MAKE_XML_GT,"Expanded special char; greater than.") MB_MAKE_XML_CDATA_START := -$(call mb_make_call,mb_doc_variable_rock,MB_MAKE_XML_CDATA_END,"Expanded special char; XML cdata end.") +$(call mb-make-call,mb-doc-variable-rock,MB_MAKE_XML_CDATA_END,"Expanded special char; XML cdata end.") -define _escape_xml_attr +define _escape-xml-attr $(MB_ᕽᕽᕽ   )$(subst <,\u0026lt\u003B,$(subst >,\u003Cgt\u003B,$(subst $(MB_MAKE_XML_CDATA_START),,$(subst $(MB_MAKE_XML_CDATA_END),,$(1))))) endef -define mb_make_xml_open -$(MB_ᕽᕽᕽ   )"$(MB_MAKE_XML_LT)"$(1)$(if $(2), $(2)$(MB_MAKE_EQUALS)\"$(call mb_make_call,_escape_xml_value,$(3))\")$(if $(4), $(4)$(MB_MAKE_EQUALS)\"$(call mb_make_call,_escape_xml_attr,$(5))\")"$(MB_MAKE_XML_GT)" +define mb-make-xml-open +$(MB_ᕽᕽᕽ   )"$(MB_MAKE_XML_LT)"$(1)$(if $(2), $(2)$(MB_MAKE_EQUALS)\"$(call mb-make-call,_escape-xml-attr,$(3))\")$(if $(4), $(4)$(MB_MAKE_EQUALS)\"$(call mb-make-call,_escape-xml-attr,$(5))\")"$(MB_MAKE_XML_GT)" endef -$(call mb_make_call,mb_doc_function_deep,mb_make_xml_open,"Print xml open tag."," [attr1-name] [attr1-value] [attr2-name] [attr2-value]") +$(call mb-make-call,mb-doc-function-deep,mb-make-xml-open,"Print xml open tag."," [attr1-name] [attr1-value] [attr2-name] [attr2-value]") -define mb_make_xml_close +define mb-make-xml-close $(MB_ᕽᕽᕽ   )"$(MB_MAKE_XML_LT)"/$(1)"$(MB_MAKE_XML_GT)" endef -$(call mb_make_call,mb_doc_function_deep,mb_make_xml_close,"Print xml close tag.","") +$(call mb-make-call,mb-doc-function-deep,mb-make-xml-close,"Print xml close tag.","") -define _escape_xml_value +define _escape-xml-value $(MB_ᕽᕽᕽ   )$(subst <,\u003C,$(subst >,\u003E,$(subst $(MB_MAKE_XML_CDATA_START),,$(subst $(MB_MAKE_XML_CDATA_END),,$(1))))) endef -define mb_make_xml_value -$(MB_ᕽᕽᕽ   )"$(MB_MAKE_XML_CDATA_START)"$(call mb_make_call,_escape_xml_value,$(1))"$(MB_MAKE_XML_CDATA_END)" +define mb-make-xml-value +$(MB_ᕽᕽᕽ   )"$(MB_MAKE_XML_CDATA_START)"$(call mb-make-call,_escape-xml-value,$(1))"$(MB_MAKE_XML_CDATA_END)" endef -$(call mb_make_call,mb_doc_function_deep,mb_make_xml_value,"Print xml value in cdata wrapper.","") +$(call mb-make-call,mb-doc-function-deep,mb-make-xml-value,"Print xml value in cdata wrapper.","") -define mb_make_xml_tag_value -$(MB_ᕽᕽᕽ   )$(if $(2),$(call mb_make_call,mb_make_xml_open,$(1))$(call mb_make_call,mb_make_xml_value,$(2))$(call mb_make_call,mb_make_xml_close,$(1))) +define mb-make-xml-tag-value +$(MB_ᕽᕽᕽ   )$(if $(2),$(call mb-make-call,mb-make-xml-open,$(1))$(call mb-make-call,mb-make-xml-value,$(2))$(call mb-make-call,mb-make-xml-close,$(1))) endef -$(call mb_make_call,mb_doc_function_deep,mb_make_xml_tag_value,"Print xml tag with value inside."," [value]") +$(call mb-make-call,mb-doc-function-deep,mb-make-xml-tag-value,"Print xml tag with value inside."," [value]") diff --git a/lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_conv.mk b/lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_conv.mk index 8000274..692ed4b 100644 --- a/lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_conv.mk +++ b/lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_conv.mk @@ -1,16 +1,16 @@ -define mb_conv_unix2dos -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg2,mb_conv_unix2dos,$(1),$(2)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_os_echo_command,$(MB_I18N_CONV_UNIX2DOS) $(2)) +define mb-conv-unix2dos +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-arg2,$(0),$(1),$(2)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-os-echo-command,$(MB_I18N_CONV_UNIX2DOS) $(2)) $(MB_ᕽᕽᕽ   )unix2dos -q -n $(1) $(2) endef -$(call mb_make_call,mb_doc_function,mb_conv_unix2dos,"Converts an unix file to dos."," ") +$(call mb-make-call,mb-doc-function,mb-conv-unix2dos,"Converts an unix file to dos."," ") -define mb_conv_dos2unix -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg2,mb_conv_dos2unix,$(1),$(2)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_os_echo_command,$(MB_I18N_CONV_DOS2UNIX) $(2)) +define mb-conv-dos2unix +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-arg2,mb-conv-dos2unix,$(1),$(2)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-os-echo-command,$(MB_I18N_CONV_DOS2UNIX) $(2)) $(MB_ᕽᕽᕽ   )dos2unix -q -n $(1) $(2) endef -$(call mb_make_call,mb_doc_function,mb_conv_dos2unix,"Converts an dos file to unix."," ") +$(call mb-make-call,mb-doc-function,mb-conv-dos2unix,"Converts an dos file to unix."," ") diff --git a/lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_nestor80.mk b/lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_nestor80.mk index 3a94a29..4e10949 100644 --- a/lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_nestor80.mk +++ b/lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_nestor80.mk @@ -1,74 +1,74 @@ MB_NESTOR80_PATH ?= -$(call mb_make_call,mb_doc_variable,MB_NESTOR80_PATH,"Optional path where Nestor80 binaries are located.") +$(call mb-make-call,mb-doc-variable,MB_NESTOR80_PATH,"Optional path where Nestor80 binaries are located.") MB_NESTOR80_FLAG_VERBOSE ?=--no-show-banner --no-color-output --verbosity 0 -$(call mb_make_call,mb_doc_variable_deep,MB_NESTOR80_FLAG_VERBOSE,"The Nestor80 shared verbose flags.") +$(call mb-make-call,mb-doc-variable-deep,MB_NESTOR80_FLAG_VERBOSE,"The Nestor80 shared verbose flags.") MB_NESTOR80_N80_FLAGS ?= -$(call mb_make_call,mb_doc_variable_deep,MB_NESTOR80_N80_FLAGS,"The N80 compile flags.") +$(call mb-make-call,mb-doc-variable-deep,MB_NESTOR80_N80_FLAGS,"The N80 compile flags.") MB_NESTOR80_LK80_FLAGS ?= -$(call mb_make_call,mb_doc_variable_deep,MB_NESTOR80_LK80_FLAGS,"The LK80 linker flags.") +$(call mb-make-call,mb-doc-variable-deep,MB_NESTOR80_LK80_FLAGS,"The LK80 linker flags.") -define _mb_nestor80_path +define _mb-nestor80-path $(MB_ᕽᕽᕽ   )$(if $(MB_NESTOR80_PATH),$(MB_NESTOR80_PATH)/$(1),$(1)) endef -define mb_nestor80_compile -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_os_echo_command,$(MB_I18N_NESTOR80_COMPILE) $(2)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,_mb_nestor80_path,N80) $(1) $(2) $(MB_NESTOR80_N80_FLAGS) $(MB_NESTOR80_FLAG_VERBOSE) +define mb-nestor80-compile +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-os-echo-command,$(MB_I18N_NESTOR80_COMPILE) $(2)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,_mb-nestor80-path,N80) $(1) $(2) $(MB_NESTOR80_N80_FLAGS) $(MB_NESTOR80_FLAG_VERBOSE) endef -$(call mb_make_call,mb_doc_function,mb_nestor80_compile,"Compiles source code."," ") +$(call mb-make-call,mb-doc-function,mb-nestor80-compile,"Compiles source code."," ") -define mb_nestor80_link -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_os_echo_command,$(MB_I18N_NESTOR80_LINK) $(2) @ $(3)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,_mb_nestor80_path,LK80) $(MB_NESTOR80_LK80_FLAGS) $(MB_NESTOR80_FLAG_VERBOSE) --code $(3) --output-format bin -o $(2) $(1) +define mb-nestor80-link +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-os-echo-command,$(MB_I18N_NESTOR80_LINK) $(2) @ $(3)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,_mb-nestor80-path,LK80) $(MB_NESTOR80_LK80_FLAGS) $(MB_NESTOR80_FLAG_VERBOSE) --code $(3) --output-format bin -o $(2) $(1) endef -$(call mb_make_call,mb_doc_function,mb_nestor80_link,"Links to custom code location."," ") +$(call mb-make-call,mb-doc-function,mb-nestor80-link,"Links to custom code location."," ") -define mb_nestor80_link_0000 -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_nestor80_link,$(1),$(2),0000h) +define mb-nestor80-link-0000 +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-nestor80-link,$(1),$(2),0000h) endef -$(call mb_make_call,mb_doc_function,mb_nestor80_link_0000,"Links to 0x0000."," ") +$(call mb-make-call,mb-doc-function,mb-nestor80-link-0000,"Links to 0x0000."," ") -define mb_nestor80_link_0100 -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_nestor80_link,$(1),$(2),0100h) +define mb-nestor80-link-0100 +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-nestor80-link,$(1),$(2),0100h) endef -$(call mb_make_call,mb_doc_function,mb_nestor80_link_0100,"Links to 0x0100."," ") +$(call mb-make-call,mb-doc-function,mb-nestor80-link-0100,"Links to 0x0100."," ") -define mb_nestor80_link_1000 -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_nestor80_link,$(1),$(2),1000h) +define mb-nestor80-link-1000 +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-nestor80-link,$(1),$(2),1000h) endef -$(call mb_make_call,mb_doc_function,mb_nestor80_link_1000,"Links to 0x1000."," ") +$(call mb-make-call,mb-doc-function,mb-nestor80-link-1000,"Links to 0x1000."," ") -define mb_nestor80_link_4000 -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_nestor80_link,$(1),$(2),4000h) +define mb-nestor80-link-4000 +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-nestor80-link,$(1),$(2),4000h) endef -$(call mb_make_call,mb_doc_function,mb_nestor80_link_4000,"Links to 0x4000."," ") +$(call mb-make-call,mb-doc-function,mb-nestor80-link-4000,"Links to 0x4000."," ") -define mb_nestor80_link_8000 -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_nestor80_link,$(1),$(2),8000h) +define mb-nestor80-link-8000 +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-nestor80-link,$(1),$(2),8000h) endef -$(call mb_make_call,mb_doc_function,mb_nestor80_link_8000,"Links to 0x8000."," ") +$(call mb-make-call,mb-doc-function,mb-nestor80-link-8000,"Links to 0x8000."," ") -define mb_nestor80_link_C000 -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_nestor80_link,$(1),$(2),C000h) +define mb-nestor80-link-C000 +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-nestor80-link,$(1),$(2),C000h) endef -$(call mb_make_call,mb_doc_function,mb_nestor80_link_C000,"Links to 0xC000."," ") +$(call mb-make-call,mb-doc-function,mb-nestor80-link-C000,"Links to 0xC000."," ") -define mb_nestor80_link_bdos -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_nestor80_link_0100,$(1),$(2)) +define mb-nestor80-link-bdos +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-nestor80-link-0100,$(1),$(2)) endef -$(call mb_make_call,mb_doc_function,mb_nestor80_link_bdos,"Links to BDOS."," ") +$(call mb-make-call,mb-doc-function,mb-nestor80-link-bdos,"Links to BDOS."," ") diff --git a/lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_os.mk b/lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_os.mk index 53f1705..8485a80 100644 --- a/lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_os.mk +++ b/lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_os.mk @@ -1,30 +1,30 @@ MB_OS_RM ?= rm -f -$(call mb_make_call,mb_doc_variable_rock,MB_OS_RM,"Native OS delete command.") +$(call mb-make-call,mb-doc-variable-rock,MB_OS_RM,"Native OS delete command.") MB_OS_RMDIR ?= rm -rf -$(call mb_make_call,mb_doc_variable_rock,MB_OS_RMDIR,"Native OS remove folder command.") +$(call mb-make-call,mb-doc-variable-rock,MB_OS_RMDIR,"Native OS remove folder command.") MB_OS_MKDIR ?= mkdir -p -$(call mb_make_call,mb_doc_variable_rock,MB_OS_MKDIR,"Native OS create folder command.") +$(call mb-make-call,mb-doc-variable-rock,MB_OS_MKDIR,"Native OS create folder command.") MB_OS_COPY ?= cp -$(call mb_make_call,mb_doc_variable_rock,MB_OS_COPY,"Native OS copy command.") +$(call mb-make-call,mb-doc-variable-rock,MB_OS_COPY,"Native OS copy command.") MB_OS_STDOUT_IGNORE ?= >/dev/null -$(call mb_make_call,mb_doc_variable_rock,MB_OS_STDOUT_IGNORE,"Native OS ignore stdout.") +$(call mb-make-call,mb-doc-variable-rock,MB_OS_STDOUT_IGNORE,"Native OS ignore stdout.") MB_OS_STDERR_IGNORE ?= 2>/dev/null -$(call mb_make_call,mb_doc_variable_rock,MB_OS_STDERR_IGNORE,"Native OS ignore stderr.") +$(call mb-make-call,mb-doc-variable-rock,MB_OS_STDERR_IGNORE,"Native OS ignore stderr.") MB_OS_SEP ?=/ -$(call mb_make_call,mb_doc_variable_rock,MB_OS_SEP,"Native OS path seperator.") +$(call mb-make-call,mb-doc-variable-rock,MB_OS_SEP,"Native OS path seperator.") MB_OS_CACHE ?= ~/.cache -$(call mb_make_call,mb_doc_variable_rock,MB_OS_CACHE,"Native OS application cache folder.") +$(call mb-make-call,mb-doc-variable-rock,MB_OS_CACHE,"Native OS application cache folder.") MB_OS_TERM_COLORS ?= $(shell tput colors 2> /dev/null;test $$? -eq 0 || echo "-1") -$(call mb_make_call,mb_doc_variable_rock,MB_OS_TERM_COLORS,"Native OS terminal color count support.") +$(call mb-make-call,mb-doc-variable-rock,MB_OS_TERM_COLORS,"Native OS terminal color count support.") ifdef OS @@ -42,80 +42,80 @@ endif endif -define mb_os_file_create +define mb-os-file-create $(MB_ᕽᕽᕽ   )echo "" > $(1) endef -$(call mb_make_call,mb_doc_function,mb_os_file_create,"Creates an empty file.","") +$(call mb-make-call,mb-doc-function,mb-os-file-create,"Creates an empty file.","") -define mb_os_file_copy +define mb-os-file-copy $(MB_ᕽᕽᕽ   )$(MB_OS_COPY) $(1) $(2) endef -$(call mb_make_call,mb_doc_function,mb_os_file_copy,"Copy an file."," ") +$(call mb-make-call,mb-doc-function,mb-os-file-copy,"Copy an file."," ") -define mb_os_file_delete +define mb-os-file-delete $(MB_ᕽᕽᕽ   )$(MB_OS_RM) $(1) endef -$(call mb_make_call,mb_doc_function,mb_os_file_delete,"Deletes an file.","") +$(call mb-make-call,mb-doc-function,mb-os-file-delete,"Deletes an file.","") -define mb_os_file_touch +define mb-os-file-touch $(MB_ᕽᕽᕽ   )touch $(1) endef -$(call mb_make_call,mb_doc_function,mb_os_file_touch,"Changes file timestamps.","") +$(call mb-make-call,mb-doc-function,mb-os-file_touch,"Changes file timestamps.","") -define mb_os_dir_delete +define mb-os-dir-delete $(MB_ᕽᕽᕽ   )$(MB_OS_RMDIR) $(1) endef -$(call mb_make_call,mb_doc_function,mb_os_dir_delete,"Delete the full folder.","") +$(call mb-make-call,mb-doc-function,mb-os-dir-delete,"Delete the full folder.","") -define mb_os_dir_create +define mb-os-dir-create $(MB_ᕽᕽᕽ   )$(MB_OS_MKDIR) $(1) endef -$(call mb_make_call,mb_doc_function,mb_os_dir_create,"Creates an folder.","") +$(call mb-make-call,mb-doc-function,mb-os-dir-create,"Creates an folder.","") -define mb_os_crayon_tagged_echo +define mb-os-crayon-tagged-echo $(MB_ᕽᕽᕽ   )$(if $(filter -1,$(MB_OS_TERM_COLORS)),@echo $(MB_I18N_OS_CRAYON_TAG) $(2),@echo -e "\x1B[$(1)m$(MB_I18N_OS_CRAYON_TAG)\x1B[39m $(2)") endef -$(call mb_make_call,mb_doc_function_deep,mb_os_crayon_tagged_echo,"Echo's an prefixed message to stdout with ansi color code."," ") +$(call mb-make-call,mb-doc-function-deep,mb-os-crayon-tagged-echo,"Echo's an prefixed message to stdout with ansi color code."," ") -define mb_os_echo_report -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_os_crayon_tagged_echo,31,$(1)) +define mb-os-echo-report +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-os-crayon-tagged-echo,31,$(1)) endef -$(call mb_make_call,mb_doc_function_deep,mb_os_echo_report,"Echo's an message to stdout with 'red' crayon.","") +$(call mb-make-call,mb-doc-function-deep,mb-os-echo-report,"Echo's an message to stdout with 'red' crayon.","") -define mb_os_echo_good -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_os_crayon_tagged_echo,32,$(1)) +define mb-os-echo-good +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-os-crayon-tagged-echo,32,$(1)) endef -$(call mb_make_call,mb_doc_function_deep,mb_os_echo_good,"Echo's an message to stdout with 'green' crayon.","") +$(call mb-make-call,mb-doc-function-deep,mb-os-echo-good,"Echo's an message to stdout with 'green' crayon.","") -define mb_os_echo_assert -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_os_crayon_tagged_echo,33,$(1)) +define mb-os-echo-assert +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-os-crayon-tagged-echo,33,$(1)) endef -$(call mb_make_call,mb_doc_function_deep,mb_os_echo_assert,"Echo's an message to stdout with 'yellow' crayon.","") +$(call mb-make-call,mb-doc-function-deep,mb-os-echo-assert,"Echo's an message to stdout with 'yellow' crayon.","") -define mb_os_echo_command -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_os_crayon_tagged_echo,34,$(1)) +define mb-os-echo-command +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-os-crayon-tagged-echo,34,$(1)) endef -$(call mb_make_call,mb_doc_function_deep,mb_os_echo_command,"Echo's an message to stdout with 'blue' crayon.","") +$(call mb-make-call,mb-doc-function-deep,mb-os-echo-command,"Echo's an message to stdout with 'blue' crayon.","") -define mb_os_echo_phase -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_os_crayon_tagged_echo,35,$(1)) +define mb-os-echo-phase +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-os-crayon-tagged-echo,35,$(1)) endef -$(call mb_make_call,mb_doc_function_deep,mb_os_echo_phase,"Echo's an message to stdout with 'magenta' crayon.","") +$(call mb-make-call,mb-doc-function-deep,mb-os-echo-phase,"Echo's an message to stdout with 'magenta' crayon.","") -define mb_os_echo_remark -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_os_crayon_tagged_echo,36,$(1)) +define mb-os-echo-remark +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-os-crayon-tagged-echo,36,$(1)) endef -$(call mb_make_call,mb_doc_function_deep,mb_os_echo_alert,"Echo's an message to stdout with 'cyan' crayon.","") +$(call mb-make-call,mb-doc-function-deep,mb-os-echo-alert,"Echo's an message to stdout with 'cyan' crayon.","") diff --git a/lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_package.mk b/lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_package.mk index a27520f..e79dc3f 100644 --- a/lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_package.mk +++ b/lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_package.mk @@ -1,7 +1,7 @@ -define mb_package_create_archive -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_os_echo_command,$(MB_I18N_PACKAGE_CREATE_ARCHIVE) $(2)) +define mb-package-create-archive +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-os-echo-command,$(MB_I18N_PACKAGE_CREATE_ARCHIVE) $(2)) $(MB_ᕽᕽᕽ   )tar -czf $(2) -C $(1) `ls $(1)` endef -$(call mb_make_call,mb_doc_function,mb_package_create_archive,"Create an distribution archive."," ") +$(call mb-make-call,mb-doc-function,mb-package-create_archive,"Create an distribution archive."," ") diff --git a/lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_sdcc.mk b/lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_sdcc.mk index 6a6de97..8e8545f 100644 --- a/lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_sdcc.mk +++ b/lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_sdcc.mk @@ -1,21 +1,21 @@ MB_SDCC_PATH ?= -$(call mb_make_call,mb_doc_variable,MB_SDCC_PATH,"Optional path where SDCC binaries are located.") +$(call mb-make-call,mb-doc-variable,MB_SDCC_PATH,"Optional path where SDCC binaries are located.") MB_SDCC_FLAG_CPU ?= -mz80 -$(call mb_make_call,mb_doc_variable_deep,MB_SDCC_FLAG_CPU,"The SDCC cpu target option.") +$(call mb-make-call,mb-doc-variable-deep,MB_SDCC_FLAG_CPU,"The SDCC cpu target option.") MB_SDCC_FLAG_LD ?= --nostdinc -$(call mb_make_call,mb_doc_variable_deep,MB_SDCC_FLAG_LD,"The SDCC linker option.") +$(call mb-make-call,mb-doc-variable-deep,MB_SDCC_FLAG_LD,"The SDCC linker option.") MB_SDCC_ASZ80_FLAGS ?= -g -l -c -o -$(call mb_make_call,mb_doc_variable_deep,MB_SDCC_ASZ80_FLAGS,"The SDCC z80 asm compiler flags.") +$(call mb-make-call,mb-doc-variable-deep,MB_SDCC_ASZ80_FLAGS,"The SDCC z80 asm compiler flags.") MB_SDCC_CC_FLAGS ?= -$(call mb_make_call,mb_doc_variable_deep,MB_SDCC_CC_FLAGS,"The SDCC C compiler flags.") +$(call mb-make-call,mb-doc-variable-deep,MB_SDCC_CC_FLAGS,"The SDCC C compiler flags.") MB_SDCC_AR_FLAGS ?= -rc -$(call mb_make_call,mb_doc_variable_deep,MB_SDCC_AR_FLAGS,"The SDCC module archive flags.") +$(call mb-make-call,mb-doc-variable-deep,MB_SDCC_AR_FLAGS,"The SDCC module archive flags.") # TODO: Add C + mixed support; @@ -23,77 +23,77 @@ $(call mb_make_call,mb_doc_variable_deep,MB_SDCC_AR_FLAGS,"The SDCC module archi #-l$(LIBASM_LINK) -define _mb_sdcc_path +define _mb-sdcc-path $(MB_ᕽᕽᕽ   )$(if $(MB_SDCC_PATH),$(MB_SDCC_PATH)/$(1),$(1)) endef -define mb_sdcc_compile_asm -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_os_echo_command,$(MB_I18N_SDCC_COMPILE) $(2) - ASM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,_mb_sdcc_path,sdasz80) $(MB_SDCC_ASZ80_FLAGS) $(2) $(1) +define mb-sdcc-compile-asm +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-os-echo-command,$(MB_I18N_SDCC_COMPILE) $(2) - ASM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,_mb-sdcc-path,sdasz80) $(MB_SDCC_ASZ80_FLAGS) $(2) $(1) endef -$(call mb_make_call,mb_doc_function,mb_sdcc_compile_asm,"Compiles asm source code."," ") +$(call mb-make-call,mb-doc-function,mb-sdcc-compile-asm,"Compiles asm source code."," ") -define mb_sdcc_arlib_asm -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_os_echo_command,$(MB_I18N_SDCC_ARLIB) $(2)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,_mb_sdcc_path,sdar) $(MB_SDCC_AR_FLAGS) $(1) $(2) +define mb-sdcc-arlib-asm +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-os-echo-command,$(MB_I18N_SDCC_ARLIB) $(2)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,_mb-sdcc-path,sdar) $(MB_SDCC_AR_FLAGS) $(1) $(2) endef -$(call mb_make_call,mb_doc_function,mb_sdcc_arlib_asm,"Link asm lib module."," ") +$(call mb-make-call,mb-doc-function,mb-sdcc-arlib-asm,"Link asm lib module."," ") -define mb_sdcc_link_asm -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_os_echo_command,$(MB_I18N_SDCC_LINK) $(2) - ASM @ $(3)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,_mb_sdcc_path,sdcc) $(MB_SDCC_FLAG_CPU) $(MB_SDCC_CC_FLAGS) --no-std-crt0 --code-loc $(3) -o $(2) $(1) +define mb-sdcc-link-asm +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-os-echo-command,$(MB_I18N_SDCC_LINK) $(2) - ASM @ $(3)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,_mb-sdcc-path,sdcc) $(MB_SDCC_FLAG_CPU) $(MB_SDCC_CC_FLAGS) --no-std-crt0 --code-loc $(3) -o $(2) $(1) endef -$(call mb_make_call,mb_doc_function,mb_sdcc_link_asm,"Links asm to custom code location."," ") +$(call mb-make-call,mb-doc-function,mb-sdcc-link-asm,"Links asm to custom code location."," ") -define mb_sdcc_link_asm_0000 -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_sdcc_link_asm,$(1),$(2),0x0000) +define mb-sdcc-link-asm-0000 +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-sdcc-link-asm,$(1),$(2),0x0000) endef -$(call mb_make_call,mb_doc_function,mb_sdcc_link_asm_0000,"Links asm to 0x0000."," ") +$(call mb-make-call,mb-doc-function,mb-sdcc-link-asm-0000,"Links asm to 0x0000."," ") -define mb_sdcc_link_asm_0100 -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_sdcc_link_asm,$(1),$(2),0x0100) +define mb-sdcc-link-asm-0100 +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-sdcc-link-asm,$(1),$(2),0x0100) endef -$(call mb_make_call,mb_doc_function,mb_sdcc_link_asm_0100,"Links asm to 0x0100."," ") +$(call mb-make-call,mb-doc-function,mb-sdcc-link-asm-0100,"Links asm to 0x0100."," ") -define mb_sdcc_link_asm_1000 -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_sdcc_link_asm,$(1),$(2),0x1000) +define mb-sdcc-link-asm-1000 +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-sdcc-link-asm,$(1),$(2),0x1000) endef -$(call mb_make_call,mb_doc_function,mb_sdcc_link_asm_1000,"Links asm to 0x1000."," ") +$(call mb-make-call,mb-doc-function,mb-sdcc-link-asm-1000,"Links asm to 0x1000."," ") -define mb_sdcc_link_asm_4000 -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_sdcc_link_asm,$(1),$(2),0x4000) +define mb-sdcc-link-asm-4000 +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-sdcc-link-asm,$(1),$(2),0x4000) endef -$(call mb_make_call,mb_doc_function,mb_sdcc_link_asm_4000,"Links asm to 0x4000."," ") +$(call mb-make-call,mb-doc-function,mb-sdcc-link-asm-4000,"Links asm to 0x4000."," ") -define mb_sdcc_link_asm_8000 -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_sdcc_link_asm,$(1),$(2),0x8000) +define mb-sdcc-link-asm-8000 +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-sdcc-link-asm,$(1),$(2),0x8000) endef -$(call mb_make_call,mb_doc_function,mb_sdcc_link_asm_8000,"Links asm to 0x8000."," ") +$(call mb-make-call,mb-doc-function,mb-sdcc-link-asm-8000,"Links asm to 0x8000."," ") -define mb_sdcc_link_asm_C000 -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_sdcc_link_asm,$(1),$(2),0xC000) +define mb-sdcc-link-asm-C000 +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-sdcc-link-asm,$(1),$(2),0xC000) endef -$(call mb_make_call,mb_doc_function,mb_sdcc_link_asm_C000,"Links asm to 0xC000."," ") +$(call mb-make-call,mb-doc-function,mb-sdcc-link-asm-C000,"Links asm to 0xC000."," ") -define mb_sdcc_link_asm_bdos -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_sdcc_link_asm_0100,$(1),$(2)) +define mb-sdcc-link-asm-bdos +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-sdcc-link-asm-0100,$(1),$(2)) endef -$(call mb_make_call,mb_doc_function,mb_sdcc_link_asm_bdos,"Links asm to BDOS."," ") +$(call mb-make-call,mb-doc-function,mb-sdcc-link-asm-bdos,"Links asm to BDOS."," ") -define mb_sdcc_objcopy -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_os_echo_command,$(MB_I18N_SDCC_OBJCOPY) $(2)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,_mb_sdcc_path,sdobjcopy) -I ihex --output-target$(MB_MAKE_EQUALS)binary $(1) $(2) +define mb-sdcc-objcopy +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-os-echo-command,$(MB_I18N_SDCC_OBJCOPY) $(2)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,_mb-sdcc-path,sdobjcopy) -I ihex --output-target$(MB_MAKE_EQUALS)binary $(1) $(2) endef -$(call mb_make_call,mb_doc_function,mb_sdcc_objcopy,"Converts an hex file to binary."," ") +$(call mb-make-call,mb-doc-function,mb-sdcc-objcopy,"Converts an hex file to binary."," ") diff --git a/lib/make/ i18n/mb_i18n.mk b/lib/make/ i18n/mb_i18n.mk index 1ee657d..258d489 100644 --- a/lib/make/ i18n/mb_i18n.mk +++ b/lib/make/ i18n/mb_i18n.mk @@ -1,163 +1,163 @@ MB_I18N ?= -$(call mb_make_call,mb_doc_variable,MB_I18N,"The language code to select the i18n pack.") +$(call mb-make-call,mb-doc-variable,MB_I18N,"The language code to select the i18n pack.") MB_I18N_ASSERT_SUCCESS ?= Assert successfull -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_ASSERT_SUCCESS,"Message for when assertion test is successfull.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_ASSERT_SUCCESS,"Message for when assertion test is successfull.") MB_I18N_ASSERT_FAILURE ?= Assert failure -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_ASSERT_FAILURE,"Message for when assertion test is failed.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_ASSERT_FAILURE,"Message for when assertion test is failed.") MB_I18N_AUTOEXEC_WRITE ?= Write autoexec for -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_AUTOEXEC_WRITE,"Message for when writing a default autoexec.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_AUTOEXEC_WRITE,"Message for when writing a default autoexec.") MB_I18N_CONV_UNIX2DOS ?= Convert to dos-EOL -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_CONV_UNIX2DOS,"Message for when converting a file to dos.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_CONV_UNIX2DOS,"Message for when converting a file to dos.") MB_I18N_CONV_DOS2UNIX ?= Convert to nix-EOL -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_CONV_DOS2UNIX,"Message for when converting a file to unix.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_CONV_DOS2UNIX,"Message for when converting a file to unix.") MB_I18N_PACKAGE_CREATE_ARCHIVE ?= Build distribution archive -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PACKAGE_CREATE_ARCHIVE,"Message for when creating a archive.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PACKAGE_CREATE_ARCHIVE,"Message for when creating a archive.") MB_I18N_MAKE_CHECK_ARG1 ?= Argument 1 is missing -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_MAKE_CHECK_ARG1,"Error message when argument 1 is missing.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_MAKE_CHECK_ARG1,"Error message when argument 1 is missing.") MB_I18N_MAKE_CHECK_ARG2 ?= Argument 2 is missing -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_MAKE_CHECK_ARG2,"Error message when argument 2 is missing.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_MAKE_CHECK_ARG2,"Error message when argument 2 is missing.") MB_I18N_MAKE_CHECK_ARG3 ?= Argument 3 is missing -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_MAKE_CHECK_ARG3,"Error message when argument 3 is missing.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_MAKE_CHECK_ARG3,"Error message when argument 3 is missing.") MB_I18N_MAKE_CHECK_ARG4 ?= Argument 4 is missing -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_MAKE_CHECK_ARG4,"Error message when argument 4 is missing.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_MAKE_CHECK_ARG4,"Error message when argument 4 is missing.") MB_I18N_MAKE_CHECK_ARG5 ?= Argument 5 is missing -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_MAKE_CHECK_ARG5,"Error message when argument 5 is missing.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_MAKE_CHECK_ARG5,"Error message when argument 5 is missing.") MB_I18N_MSXHUB_FILE_FETCH ?= Fetch msxhub file -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_MSXHUB_FILE_FETCH,"Message for when fetching a msxhub file.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_MSXHUB_FILE_FETCH,"Message for when fetching a msxhub file.") MB_I18N_MSXROM_FILE_FETCH ?= Fetch msxrom file -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_MSXROM_FILE_FETCH,"Message for when fetching a msxrom file.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_MSXROM_FILE_FETCH,"Message for when fetching a msxrom file.") MB_I18N_OPENMSX_STARTUP ?= Start openMSX! for -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_OPENMSX_STARTUP,"Message used on startup of openMSX.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_OPENMSX_STARTUP,"Message used on startup of openMSX.") MB_I18N_OS_CRAYON_TAG ?= === -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_OS_CRAYON_TAG,"Crayon tag colored prefix to a message.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_OS_CRAYON_TAG,"Crayon tag colored prefix to a message.") MB_I18N_PROJ_STEP_BEFORE ?= -- Before -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_STEP_BEFORE,"Prefix for begin phase message.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_STEP_BEFORE,"Prefix for begin phase message.") MB_I18N_PROJ_STEP_AFTER ?= ---- After -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_STEP_AFTER,"Prefix for after phase message.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_STEP_AFTER,"Prefix for after phase message.") MB_I18N_PROJ_STEP_DONE ?= Finished executing prime pi target -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_STEP_DONE,"Message for requested phase completed.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_STEP_DONE,"Message for requested phase completed.") MB_I18N_PROJ_DIR_CREATE ?= Creating folder -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_DIR_CREATE,"Message for creating a folder.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_DIR_CREATE,"Message for creating a folder.") MB_I18N_PROJ_DIR_DELETE ?= Removing folder -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_DIR_DELETE,"Message for deleting a folder.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_DIR_DELETE,"Message for deleting a folder.") MB_I18N_PROJ_ASSERT_COUNT ?= Total assertions done -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_ASSERT_COUNT,"Message for reporting the assertion count of a phase.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_ASSERT_COUNT,"Message for reporting the assertion count of a phase.") MB_I18N_PROJ_OPENMSX_COUNT ?= Total openMSX invokes -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_OPENMSX_COUNT,"Message for reporting the openMSX invoke count of a session.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_OPENMSX_COUNT,"Message for reporting the openMSX invoke count of a session.") MB_I18N_FLOW_CLONE_REPORT ?= Clone troopers -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_FLOW_CLONE_REPORT,"Message for total amount of cloned files.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_FLOW_CLONE_REPORT,"Message for total amount of cloned files.") MB_I18N_NESTOR80_COMPILE ?= Nestor80 compile -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_NESTOR80_COMPILE,"Message for Nestor80 compiler run.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_NESTOR80_COMPILE,"Message for Nestor80 compiler run.") MB_I18N_NESTOR80_LINK ?= Nestor80 linking -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_NESTOR80_LINK,"Message for Nestor80 linker run.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_NESTOR80_LINK,"Message for Nestor80 linker run.") MB_I18N_SDCC_COMPILE ?= SDCC Compile super -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_SDCC_COMPILE,"Message for SDCC compiler run.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_SDCC_COMPILE,"Message for SDCC compiler run.") MB_I18N_SDCC_ARLIB ?= SDCC Builder arlib -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_SDCC_ARLIB,"Message for SDCC lib archive tool.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_SDCC_ARLIB,"Message for SDCC lib archive tool.") MB_I18N_SDCC_LINK ?= SDCC Linker MSXlib -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_SDCC_LINK,"Message for SDCC linker run.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_SDCC_LINK,"Message for SDCC linker run.") MB_I18N_SDCC_OBJCOPY ?= SDCC sdobjcopy for -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_SDCC_OBJCOPY,"Message for SDCC objcopy run.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_SDCC_OBJCOPY,"Message for SDCC objcopy run.") MB_I18N_PROJ_PHASEID_CLEAN ?= -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_PHASEID_CLEAN,"Local command of clean target.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEID_CLEAN,"Local command of clean target.") MB_I18N_PROJ_PHASEDOC_CLEAN ?= -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_PHASEDOC_CLEAN,"Local documentation of clean target.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEDOC_CLEAN,"Local documentation of clean target.") MB_I18N_PROJ_PHASEID_INIT ?= -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_PHASEID_INIT,"Local command of init target.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEID_INIT,"Local command of init target.") MB_I18N_PROJ_PHASEDOC_INIT ?= -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_PHASEDOC_INIT,"Local documentation of init target.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEDOC_INIT,"Local documentation of init target.") MB_I18N_PROJ_PHASEID_PREPARE ?= -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_PHASEID_PREPARE,"Local command of prepare target.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEID_PREPARE,"Local command of prepare target.") MB_I18N_PROJ_PHASEDOC_PREPARE ?= -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_PHASEDOC_PREPARE,"Local documentation of prepare target.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEDOC_PREPARE,"Local documentation of prepare target.") MB_I18N_PROJ_PHASEID_PROCESS ?= -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_PHASEID_PROCESS,"Local command of proces target.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEID_PROCESS,"Local command of proces target.") MB_I18N_PROJ_PHASEDOC_PROCESS ?= -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_PHASEDOC_PROCESS,"Local documentation of process target.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEDOC_PROCESS,"Local documentation of process target.") MB_I18N_PROJ_PHASEID_COMPILE ?= -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_PHASEID_COMPILE,"Local command of compile target.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEID_COMPILE,"Local command of compile target.") MB_I18N_PROJ_PHASEDOC_COMPILE ?= -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_PHASEDOC_COMPILE,"Local documentation of compile target.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEDOC_COMPILE,"Local documentation of compile target.") MB_I18N_PROJ_PHASEID_LINK ?= -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_PHASEID_LINK,"Local command of link target.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEID_LINK,"Local command of link target.") MB_I18N_PROJ_PHASEDOC_LINK ?= -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_PHASEDOC_LINK,"Local documentation of link target.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEDOC_LINK,"Local documentation of link target.") MB_I18N_PROJ_PHASEID_BUILD ?= -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_PHASEID_BUILD,"Local command of build target.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEID_BUILD,"Local command of build target.") MB_I18N_PROJ_PHASEDOC_BUILD ?= -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_PHASEDOC_BUILD,"Local documentation of build target.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEDOC_BUILD,"Local documentation of build target.") MB_I18N_PROJ_PHASEID_TEST ?= -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_PHASEID_TEST,"Local command of test target.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEID_TEST,"Local command of test target.") MB_I18N_PROJ_PHASEDOC_TEST ?= -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_PHASEDOC_TEST,"Local documentation of test target.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEDOC_TEST,"Local documentation of test target.") MB_I18N_PROJ_PHASEID_PACKAGE ?= -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_PHASEID_PACKAGE,"Local command of package target.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEID_PACKAGE,"Local command of package target.") MB_I18N_PROJ_PHASEDOC_PACKAGE ?= -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_PHASEDOC_PACKAGE,"Local documentation of package target.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEDOC_PACKAGE,"Local documentation of package target.") MB_I18N_PROJ_PHASEID_PACKAGE_QA ?= -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_PHASEID_PACKAGE_QA,"Local command of package-qa target.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEID_PACKAGE_QA,"Local command of package-qa target.") MB_I18N_PROJ_PHASEDOC_PACKAGE_QA ?= -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_PHASEDOC_PACKAGE_QA,"Local documentation of package-qa target.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEDOC_PACKAGE_QA,"Local documentation of package-qa target.") MB_I18N_PROJ_PHASEID_PACKAGE_DEPLOY ?= -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_PHASEID_PACKAGE_DEPLOY,"Local command of package-deploy target.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEID_PACKAGE_DEPLOY,"Local command of package-deploy target.") MB_I18N_PROJ_PHASEDOC_PACKAGE_DEPLOY ?= -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_PHASEDOC_PACKAGE_DEPLOY,"Local documentation of package-deploy target.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEDOC_PACKAGE_DEPLOY,"Local documentation of package-deploy target.") MB_I18N_PROJ_PHASEID_ALL ?= -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_PHASEID_ALL,"Local command of all target.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEID_ALL,"Local command of all target.") MB_I18N_PROJ_PHASEDOC_ALL ?= -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_PHASEDOC_ALL,"Local documentation of all target.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEDOC_ALL,"Local documentation of all target.") diff --git a/lib/make/天房系統擴展/mb_autoexec.mk b/lib/make/天房系統擴展/mb_autoexec.mk index 464e04a..2231e3e 100644 --- a/lib/make/天房系統擴展/mb_autoexec.mk +++ b/lib/make/天房系統擴展/mb_autoexec.mk @@ -1,180 +1,180 @@ MB_AUTOEXEC_SHOW_VERSION ?= off -$(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_SHOW_VERSION,"Print OS version on boot.") +$(call mb-make-call,mb-doc-variable,MB_AUTOEXEC_SHOW_VERSION,"Print OS version on boot.") MB_AUTOEXEC_SHOW_PATH ?= on -$(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_SHOW_PATH,"Print search path on boot.") +$(call mb-make-call,mb-doc-variable,MB_AUTOEXEC_SHOW_PATH,"Print search path on boot.") MB_AUTOEXEC_SHOW_INIT ?= on -$(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_SHOW_INIT,"Print msxbuild init message on boot.") +$(call mb-make-call,mb-doc-variable,MB_AUTOEXEC_SHOW_INIT,"Print msxbuild init message on boot.") MB_AUTOEXEC_SHOW_HOST ?= on -$(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_SHOW_HOST,"Print host machine on boot.") +$(call mb-make-call,mb-doc-variable,MB_AUTOEXEC_SHOW_HOST,"Print host machine on boot.") MB_AUTOEXEC_SHOW_USER ?= on -$(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_SHOW_USER,"Print host user on boot.") +$(call mb-make-call,mb-doc-variable,MB_AUTOEXEC_SHOW_USER,"Print host user on boot.") MB_AUTOEXEC_SHOW_FOLDER ?= on -$(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_SHOW_FOLDER,"Print host folder on boot.") +$(call mb-make-call,mb-doc-variable,MB_AUTOEXEC_SHOW_FOLDER,"Print host folder on boot.") MB_AUTOEXEC_SHOW_TARGET ?= on -$(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_SHOW_TARGET,"Print host target on boot.") +$(call mb-make-call,mb-doc-variable,MB_AUTOEXEC_SHOW_TARGET,"Print host target on boot.") MB_AUTOEXEC_STARTUP_TIMEOUT ?= 60 -$(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_STARTUP_TIMEOUT,"Startup failure timeout of autoexec.") +$(call mb-make-call,mb-doc-variable,MB_AUTOEXEC_STARTUP_TIMEOUT,"Startup failure timeout of autoexec.") MB_AUTOEXEC_STARTUP_EXITCODE ?= 124 -$(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_STARTUP_EXITCODE,"Startup failure exit code of autoexec.") +$(call mb-make-call,mb-doc-variable,MB_AUTOEXEC_STARTUP_EXITCODE,"Startup failure exit code of autoexec.") MB_AUTOEXEC_SAFE_ASSERT_TIMEOUT ?= 120 -$(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_SAFE_ASSERT_TIMEOUT,"Safe assert execution failure timeout.") +$(call mb-make-call,mb-doc-variable,MB_AUTOEXEC_SAFE_ASSERT_TIMEOUT,"Safe assert execution failure timeout.") MB_AUTOEXEC_SAFE_ASSERT_EXITCODE ?= 1 -$(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_SAFE_ASSERT_EXITCODE,"Safe assert execution failure exit code.") +$(call mb-make-call,mb-doc-variable,MB_AUTOEXEC_SAFE_ASSERT_EXITCODE,"Safe assert execution failure exit code.") MB_AUTOEXEC_SAFE_CMD_TIMEOUT ?= 300 -$(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_SAFE_CMD_TIMEOUT,"Safe command execution failure timeout.") +$(call mb-make-call,mb-doc-variable,MB_AUTOEXEC_SAFE_CMD_TIMEOUT,"Safe command execution failure timeout.") MB_AUTOEXEC_SAFE_CMD_EXITCODE ?= 1 -$(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_SAFE_CMD_EXITCODE,"Safe command execution failure exit code.") +$(call mb-make-call,mb-doc-variable,MB_AUTOEXEC_SAFE_CMD_EXITCODE,"Safe command execution failure exit code.") MB_AUTOEXEC_STARTUP_NOTE ?= -$(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_STARTUP_NOTE,"When set this startup note message is shown.") +$(call mb-make-call,mb-doc-variable,MB_AUTOEXEC_STARTUP_NOTE,"When set this startup note message is shown.") MB_AUTOEXEC_COLOR_FG ?= 141 -$(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_COLOR_FG,"Default foreground color if none is given.") +$(call mb-make-call,mb-doc-variable,MB_AUTOEXEC_COLOR_FG,"Default foreground color if none is given.") MB_AUTOEXEC_COLOR_BG ?= 000 -$(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_COLOR_BG,"Default background color if none if given.") +$(call mb-make-call,mb-doc-variable,MB_AUTOEXEC_COLOR_BG,"Default background color if none if given.") MB_AUTOEXEC_EMBED_VARS ?= -$(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_EMBED_VARS,"List of host env variables to copy to msx as 'MSX_x' variables.") +$(call mb-make-call,mb-doc-variable,MB_AUTOEXEC_EMBED_VARS,"List of host env variables to copy to msx as 'MSX_x' variables.") MB_AUTOEXEC_EMBED_USER ?= USER -$(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_EMBED_USER,"Embedds this host variable to the msx as 'MB_USER' variable.") +$(call mb-make-call,mb-doc-variable,MB_AUTOEXEC_EMBED_USER,"Embedds this host variable to the msx as 'MB_USER' variable.") MB_AUTOEXEC_TIME_FORMAT ?= 24 -$(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_TIME_FORMAT,"The time format the msx will get set to.") +$(call mb-make-call,mb-doc-variable,MB_AUTOEXEC_TIME_FORMAT,"The time format the msx will get set to.") MB_AUTOEXEC_DATE_FORMAT ?= DD/MM/YY -$(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_DATE_FORMAT,"The time format the msx will get set to.") +$(call mb-make-call,mb-doc-variable,MB_AUTOEXEC_DATE_FORMAT,"The time format the msx will get set to.") MB_AUTOEXEC_PROMPT_FORMAT ?= %MB_USER%@%_CWD%* -$(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_PROMPT_FORMAT,"The prompt format for command 2.40 and higher.") +$(call mb-make-call,mb-doc-variable,MB_AUTOEXEC_PROMPT_FORMAT,"The prompt format for command 2.40 and higher.") MB_AUTOEXEC_PROMPT_SPACE ?= on -$(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_PROMPT_SPACE,"When on adds an white space after the prompt format.") +$(call mb-make-call,mb-doc-variable,MB_AUTOEXEC_PROMPT_SPACE,"When on adds an white space after the prompt format.") -define mb_autoexec_append_cmd +define mb-autoexec-append-cmd $(MB_ᕽᕽᕽ   )echo -e "$(2)\r" >> $(1)/autoexec.bat endef -$(call mb_make_call,mb_doc_function,mb_autoexec_append_cmd,"Appends an command."," ") +$(call mb-make-call,mb-doc-function,mb-autoexec-append-cmd,"Appends an command."," ") -define mb_autoexec_append_echo -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_cmd,$(1),echo $(subst >,>,$(2))) +define mb-autoexec-append-echo +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-cmd,$(1),echo $(subst >,>,$(2))) endef -$(call mb_make_call,mb_doc_function,mb_autoexec_append_echo,"Appends an echo message."," ") +$(call mb-make-call,mb-doc-function,mb-autoexec-append-echo,"Appends an echo message."," ") -define mb_autoexec_append_rem -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_cmd,$(1),rem $(2)) +define mb-autoexec-append-rem +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-cmd,$(1),rem $(2)) endef -$(call mb_make_call,mb_doc_function,mb_autoexec_append_rem,"Appends an script remark."," ") +$(call mb-make-call,mb-doc-function,mb-autoexec-append-rem,"Appends an script remark."," ") -define mb_autoexec_append_show_gui -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_cmd,$(1),omsxctl headless_show_gui) +define mb-autoexec-append-show-gui +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-cmd,$(1),omsxctl headless_show_gui) endef -$(call mb_make_call,mb_doc_function,mb_autoexec_append_show_gui,"Appends headless show gui command.","") +$(call mb-make-call,mb-doc-function,mb-autoexec-append-show-gui,"Appends headless show gui command.","") -define mb_autoexec_append_stop_fail -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_cmd,$(1),omsxctl fail_after 0) +define mb-autoexec-append-stop-fail +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-cmd,$(1),omsxctl fail_after 0) endef -$(call mb_make_call,mb_doc_function,mb_autoexec_append_stop_fail,"Appends stop automatic failure command.","") +$(call mb-make-call,mb-doc-function,mb-autoexec-append-stop-fail,"Appends stop automatic failure command.","") -define mb_autoexec_append_exit -$(MB_ᕽᕽᕽ   )$(if $(filter on,$(MB_FLIGHT_SCREEN)),$(call mb_make_call,mb_autoexec_append_save_screenshot,$(1))) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_cmd,$(1),omsxctl headless_exit) +define mb-autoexec-append-exit +$(MB_ᕽᕽᕽ   )$(if $(filter on,$(MB_FLIGHT_SCREEN)),$(call mb-make-call,mb-autoexec-append-save_screenshot,$(1))) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-cmd,$(1),omsxctl headless_exit) endef -$(call mb_make_call,mb_doc_function,mb_autoexec_append_exit,"Appends exit emulation command.","") +$(call mb-make-call,mb-doc-function,mb-autoexec-append-exit,"Appends exit emulation command.","") -define mb_autoexec_append_plug_porta -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_cmd,$(1),omsxctl boot_exec_plug_porta $(2)) +define mb-autoexec-append-plug-porta +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-cmd,$(1),omsxctl boot_exec_plug_porta $(2)) endef -$(call mb_make_call,mb_doc_function,mb_autoexec_append_plug_porta,"Appends an plug device into joyporta action."," ") +$(call mb-make-call,mb-doc-function,mb-autoexec-append-plug-porta,"Appends an plug device into joyporta action."," ") -define mb_autoexec_append_plug_portb -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_cmd,$(1),omsxctl boot_exec_plug_portb $(2)) +define mb-autoexec-append-plug-portb +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-cmd,$(1),omsxctl boot_exec_plug_portb $(2)) endef -$(call mb_make_call,mb_doc_function,mb_autoexec_append_plug_portb,"Appends an plug device into joyportb action."," ") +$(call mb-make-call,mb-doc-function,mb-autoexec-append-plug-portb,"Appends an plug device into joyportb action."," ") -define mb_autoexec_append_save_screenshot -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_cmd,$(1),omsxctl night_flight_save_screenshot $(2)) +define mb-autoexec-append-save-screenshot +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-cmd,$(1),omsxctl night_flight_save_screenshot $(2)) endef -$(call mb_make_call,mb_doc_function,mb_autoexec_append_save_screenshot,"Appends save screenshot command."," [prefix]") +$(call mb-make-call,mb-doc-function,mb-autoexec-append-save-screenshot,"Appends save screenshot command."," [prefix]") -define mb_autoexec_append_save_video -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_cmd,$(1),omsxctl night_flight_save_video $(2)) +define mb-autoexec-append-save-video +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-cmd,$(1),omsxctl night_flight_save_video $(2)) endef -$(call mb_make_call,mb_doc_function,mb_autoexec_append_save_video,"Appends save video command."," [prefix]") +$(call mb-make-call,mb-doc-function,mb-autoexec-append-save-video,"Appends save video command."," [prefix]") -define mb_autoexec_append_safe_assert -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_cmd,$(1),omsxctl fail_after $(if $(3),$(3),$(MB_AUTOEXEC_SAFE_ASSERT_TIMEOUT)) seconds err_test $(if $(4),$(4),$(MB_AUTOEXEC_SAFE_ASSERT_EXITCODE))) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_echo,$(1),mb::safe assert $(2)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_cmd,$(1),$(2)) +define mb-autoexec-append-safe-assert +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-cmd,$(1),omsxctl fail_after $(if $(3),$(3),$(MB_AUTOEXEC_SAFE_ASSERT_TIMEOUT)) seconds err_test $(if $(4),$(4),$(MB_AUTOEXEC_SAFE_ASSERT_EXITCODE))) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-echo,$(1),mb::safe assert $(2)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-cmd,$(1),$(2)) endef -$(call mb_make_call,mb_doc_function,mb_autoexec_append_safe_assert,"Appends running a safe assert."," [timeout] [exit-code]") +$(call mb-make-call,mb-doc-function,mb-autoexec-append-safe-assert,"Appends running a safe assert."," [timeout] [exit-code]") -define mb_autoexec_append_safe_cmd -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_cmd,$(1),omsxctl fail_after $(if $(3),$(3),$(MB_AUTOEXEC_SAFE_CMD_TIMEOUT)) seconds err_cmd $(if $(4),$(4),$(MB_AUTOEXEC_SAFE_CMD_EXITCODE))) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_echo,$(1),mb::safe command $(2)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_cmd,$(1),$(2)) +define mb-autoexec-append-safe-cmd +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-cmd,$(1),omsxctl fail_after $(if $(3),$(3),$(MB_AUTOEXEC_SAFE_CMD_TIMEOUT)) seconds err_cmd $(if $(4),$(4),$(MB_AUTOEXEC_SAFE_CMD_EXITCODE))) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-echo,$(1),mb::safe command $(2)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-cmd,$(1),$(2)) endef -$(call mb_make_call,mb_doc_function,mb_autoexec_append_safe_cmd,"Appends running a safe command."," [timeout] [exit-code]") +$(call mb-make-call,mb-doc-function,mb-autoexec-append-safe-cmd,"Appends running a safe command."," [timeout] [exit-code]") -define _mb_autoexec_write_preboot -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_cmd,$(1),$(2)mbboot80) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_cmd,$(1),$(2)omsxctl boot_exec_setcolor $(if $(3),$(3),$(MB_AUTOEXEC_COLOR_FG)) $(if $(4),$(4),$(MB_AUTOEXEC_COLOR_BG))) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_cmd,$(1),$(2)omsxctl fail_after $(MB_AUTOEXEC_STARTUP_TIMEOUT) seconds err_exec $(MB_AUTOEXEC_STARTUP_EXITCODE)) -$(MB_ᕽᕽᕽ   )$(if $(filter on,$(MB_AUTOEXEC_SHOW_INIT)),$(call mb_make_call,mb_autoexec_append_cmd,$(1),$(2)omsxctl boot_exec_show_init)) -$(MB_ᕽᕽᕽ   )$(if $(filter on,$(MB_AUTOEXEC_SHOW_VERSION)),$(call mb_make_call,mb_autoexec_append_cmd,$(1),ver)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_cmd,$(1),PATH + A:\;) -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)/utils),$(call mb_make_call,mb_autoexec_append_cmd,$(1),PATH + A:\UTILS)) +define _mb-autoexec-write-preboot +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-cmd,$(1),$(2)mbboot80) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-cmd,$(1),$(2)omsxctl boot_exec_setcolor $(if $(3),$(3),$(MB_AUTOEXEC_COLOR_FG)) $(if $(4),$(4),$(MB_AUTOEXEC_COLOR_BG))) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-cmd,$(1),$(2)omsxctl fail_after $(MB_AUTOEXEC_STARTUP_TIMEOUT) seconds err_exec $(MB_AUTOEXEC_STARTUP_EXITCODE)) +$(MB_ᕽᕽᕽ   )$(if $(filter on,$(MB_AUTOEXEC_SHOW_INIT)),$(call mb-make-call,mb-autoexec-append-cmd,$(1),$(2)omsxctl boot_exec_show_init)) +$(MB_ᕽᕽᕽ   )$(if $(filter on,$(MB_AUTOEXEC_SHOW_VERSION)),$(call mb-make-call,mb-autoexec-append-cmd,$(1),ver)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-cmd,$(1),PATH + A:\;) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)/utils),$(call mb-make-call,mb-autoexec-append-cmd,$(1),PATH + A:\UTILS)) endef -define mb_autoexec_write_default -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_os_echo_remark,$(MB_I18N_AUTOEXEC_WRITE) $@) +define mb-autoexec-write-default +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-os-echo-remark,$(MB_I18N_AUTOEXEC_WRITE) $@) $(MB_ᕽᕽᕽ   )@echo -n "" > $(1)/autoexec.bat -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)/utils),$(call mb_make_call,_mb_autoexec_write_preboot,$(1),utils\,$(2),$(3)),$(call mb_make_call,_mb_autoexec_write_preboot,$(1),,$(2),$(3))) -$(MB_ᕽᕽᕽ   )$(if $(filter on,$(MB_AUTOEXEC_SHOW_HOST)),$(call mb_make_call,mb_autoexec_append_cmd,$(1),omsxctl boot_exec_show_host)) -$(MB_ᕽᕽᕽ   )$(if $(MB_AUTOEXEC_STARTUP_NOTE),$(call mb_make_call,mb_autoexec_append_echo,$(1),mb::note $(MB_AUTOEXEC_STARTUP_NOTE))) -$(MB_ᕽᕽᕽ   )$(if $(filter on,$(MB_FLIGHT_VIDEO)),$(call mb_make_call,mb_autoexec_append_save_video,$(1))) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)/utils),$(call mb-make-call,_mb-autoexec-write-preboot,$(1),utils\,$(2),$(3)),$(call mb-make-call,_mb-autoexec-write-preboot,$(1),,$(2),$(3))) +$(MB_ᕽᕽᕽ   )$(if $(filter on,$(MB_AUTOEXEC_SHOW_HOST)),$(call mb-make-call,mb-autoexec-append-cmd,$(1),omsxctl boot_exec_show_host)) +$(MB_ᕽᕽᕽ   )$(if $(MB_AUTOEXEC_STARTUP_NOTE),$(call mb-make-call,mb-autoexec-append-echo,$(1),mb::note $(MB_AUTOEXEC_STARTUP_NOTE))) +$(MB_ᕽᕽᕽ   )$(if $(filter on,$(MB_FLIGHT_VIDEO)),$(call mb-make-call,mb-autoexec-append-save_video,$(1))) $(MB_ᕽᕽᕽ   )echo -n -e "$(subst $(MB_MAKE_SPACE)set,set,$(foreach var,$(MB_AUTOEXEC_EMBED_VARS),set MSX_$(var)$(MB_MAKE_EQUALS)$($(var))\r\n))" >> $(1)/autoexec.bat $(MB_ᕽᕽᕽ   )echo -n -e "$(subst $(MB_MAKE_SPACE)set,set,$(foreach var,$(MB_AUTOEXEC_EMBED_VARS),echo MSX_$(var)$(MB_MAKE_EQUALS)%MSX_$(var)%\r\n))" >> $(1)/autoexec.bat -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_cmd,$(1),set TIME$(MB_MAKE_EQUALS)$(MB_AUTOEXEC_TIME_FORMAT)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_cmd,$(1),set DATE$(MB_MAKE_EQUALS)$(MB_AUTOEXEC_DATE_FORMAT)) -$(MB_ᕽᕽᕽ   )$(if $(filter on,$(MB_AUTOEXEC_SHOW_PATH)),$(call mb_make_call,mb_autoexec_append_cmd,$(1),echo mb::path %PATH%)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_cmd,$(1),set MB_SNAIL$(MB_MAKE_EQUALS)$(notdir $@)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_cmd,$(1),set MB_USER$(MB_MAKE_EQUALS)$($(MB_AUTOEXEC_EMBED_USER))) -$(MB_ᕽᕽᕽ   )$(if $(filter on,$(MB_AUTOEXEC_SHOW_USER)),$(call mb_make_call,mb_autoexec_append_echo,$(1),mb::user %MB_USER%)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_cmd,$(1),set MB_SLIME$(MB_MAKE_EQUALS)$(1)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_cmd,$(1),set MB_TARGET$(MB_MAKE_EQUALS)$@) -$(MB_ᕽᕽᕽ   )$(if $(filter on,$(MB_AUTOEXEC_SHOW_FOLDER)),$(call mb_make_call,mb_autoexec_append_echo,$(1),mb::bath %MB_SLIME%)) -$(MB_ᕽᕽᕽ   )$(if $(filter on,$(MB_AUTOEXEC_SHOW_TARGET)),$(call mb_make_call,mb_autoexec_append_echo,$(1),mb::pipe %MB_TARGET%)) -$(MB_ᕽᕽᕽ   )$(if $(filter on,$(MB_AUTOEXEC_PROMPT_SPACE)),$(call mb_make_call,mb_autoexec_append_cmd,$(1),set PROMPT \"$(value MB_AUTOEXEC_PROMPT_FORMAT)$(MB_MAKE_SPACE)\")) -$(MB_ᕽᕽᕽ   )$(if $(filter on,$(MB_AUTOEXEC_PROMPT_SPACE)),,$(call mb_make_call,mb_autoexec_append_cmd,$(1),,set PROMPT \"$(value MB_AUTOEXEC_PROMPT_FORMAT)\")) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-cmd,$(1),set TIME$(MB_MAKE_EQUALS)$(MB_AUTOEXEC_TIME_FORMAT)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-cmd,$(1),set DATE$(MB_MAKE_EQUALS)$(MB_AUTOEXEC_DATE_FORMAT)) +$(MB_ᕽᕽᕽ   )$(if $(filter on,$(MB_AUTOEXEC_SHOW_PATH)),$(call mb-make-call,mb-autoexec-append-cmd,$(1),echo mb::path %PATH%)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-cmd,$(1),set MB_SNAIL$(MB_MAKE_EQUALS)$(notdir $@)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-cmd,$(1),set MB_USER$(MB_MAKE_EQUALS)$($(MB_AUTOEXEC_EMBED_USER))) +$(MB_ᕽᕽᕽ   )$(if $(filter on,$(MB_AUTOEXEC_SHOW_USER)),$(call mb-make-call,mb-autoexec-append-echo,$(1),mb::user %MB_USER%)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-cmd,$(1),set MB_SLIME$(MB_MAKE_EQUALS)$(1)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-cmd,$(1),set MB_TARGET$(MB_MAKE_EQUALS)$@) +$(MB_ᕽᕽᕽ   )$(if $(filter on,$(MB_AUTOEXEC_SHOW_FOLDER)),$(call mb-make-call,mb-autoexec-append-echo,$(1),mb::bath %MB_SLIME%)) +$(MB_ᕽᕽᕽ   )$(if $(filter on,$(MB_AUTOEXEC_SHOW_TARGET)),$(call mb-make-call,mb-autoexec-append-echo,$(1),mb::pipe %MB_TARGET%)) +$(MB_ᕽᕽᕽ   )$(if $(filter on,$(MB_AUTOEXEC_PROMPT_SPACE)),$(call mb-make-call,mb-autoexec-append-cmd,$(1),set PROMPT \"$(value MB_AUTOEXEC_PROMPT_FORMAT)$(MB_MAKE_SPACE)\")) +$(MB_ᕽᕽᕽ   )$(if $(filter on,$(MB_AUTOEXEC_PROMPT_SPACE)),,$(call mb-make-call,mb-autoexec-append-cmd,$(1),,set PROMPT \"$(value MB_AUTOEXEC_PROMPT_FORMAT)\")) endef -$(call mb_make_call,mb_doc_function,mb_autoexec_write_default,"Write a default autoexec.bat file."," [fg-color] [bg-color]") +$(call mb-make-call,mb-doc-function,mb-autoexec-write-default,"Write a default autoexec.bat file."," [fg-color] [bg-color]") diff --git a/lib/make/天房系統擴展/mb_msxhub.mk b/lib/make/天房系統擴展/mb_msxhub.mk index 9dbb1bb..8633f57 100644 --- a/lib/make/天房系統擴展/mb_msxhub.mk +++ b/lib/make/天房系統擴展/mb_msxhub.mk @@ -1,246 +1,246 @@ MB_MSXHUB_API ?= https://msxhub.com/api -$(call mb_make_call,mb_doc_variable_deep,MB_MSXHUB_API,"Resource identifier for msxhub package rest api.") +$(call mb-make-call,mb-doc-variable-deep,MB_MSXHUB_API,"Resource identifier for msxhub package rest api.") MB_MSXHUB_CACHE ?= $(MB_OS_CACHE)/msxbuild/msxhub -$(call mb_make_call,mb_doc_variable_deep,MB_MSXHUB_CACHE,"Cache storage location.") +$(call mb-make-call,mb-doc-variable-deep,MB_MSXHUB_CACHE,"Cache storage location.") -define _mb_msxhub_file_fetch -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_os_echo_command,$(MB_I18N_MSXHUB_FILE_FETCH) $(subst $(MB_MSXHUB_API)/,,$(1))) -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(dir $(MB_MSXHUB_CACHE)/$(subst $(MB_MSXHUB_API)/,,$(1)))),,$(call mb_make_call,mb_os_dir_create,$(dir $(MB_MSXHUB_CACHE)/$(subst $(MB_MSXHUB_API)/,,$(1))))) +define _mb-msxhub-file-fetch +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-os-echo-command,$(MB_I18N_MSXHUB_FILE_FETCH) $(subst $(MB_MSXHUB_API)/,,$(1))) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(dir $(MB_MSXHUB_CACHE)/$(subst $(MB_MSXHUB_API)/,,$(1)))),,$(call mb-make-call,mb-os-dir-create,$(dir $(MB_MSXHUB_CACHE)/$(subst $(MB_MSXHUB_API)/,,$(1))))) $(MB_ᕽᕽᕽ   )$(if $(wildcard $(MB_MSXHUB_CACHE)/$(subst $(MB_MSXHUB_API)/,,$(1))),,wget -O $(MB_MSXHUB_CACHE)/$(subst $(MB_MSXHUB_API)/,,$(1)) $(1)) endef # NOTE: with HDD import/export we get duplicate files after openMSX run, so force to lowercase. -define mb_msxhub_file -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(MB_MSXHUB_CACHE)/$(2)),,$(call mb_make_call,_mb_msxhub_file_fetch,$(MB_MSXHUB_API)/$(2))) -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)/$(call mb_make_call,mb_make_lowercase,$(notdir $(2)))),,$(call mb_make_call,mb_os_file_copy,$(MB_MSXHUB_CACHE)/$(2),$(1)/$(call mb_make_call,mb_make_lowercase,$(notdir $(2))))) +define mb-msxhub-file +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(MB_MSXHUB_CACHE)/$(2)),,$(call mb-make-call,_mb-msxhub-file-fetch,$(MB_MSXHUB_API)/$(2))) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)/$(call mb-make-call,mb-make-lowercase,$(notdir $(2)))),,$(call mb-make-call,mb-os-file-copy,$(MB_MSXHUB_CACHE)/$(2),$(1)/$(call mb-make-call,mb-make-lowercase,$(notdir $(2))))) endef -$(call mb_make_call,mb_doc_function_deep,mb_msxhub_file,"Installs msxsub packages from slug into dir."," ") +$(call mb-make-call,mb-doc-function-deep,mb-msxhub-file,"Installs msxsub packages from slug into dir."," ") # # Operating System (minimal) # -define mb_msxhub_get_msxdos1_boot -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS1/1.03-2/get/MSXDOS1/MSXDOS.SYS) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS1/1.03-2/get/MSXDOS1/COMMAND.COM) +define mb-msxhub-get-msxdos1-boot +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),MSXDOS1/1.03-2/get/MSXDOS1/MSXDOS.SYS) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),MSXDOS1/1.03-2/get/MSXDOS1/COMMAND.COM) endef -$(call mb_make_call,mb_doc_function_deep,mb_msxhub_get_msxdos1_boot,"Installs msxdos1 boot files into dir.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-msxdos1-boot,"Installs msxdos1 boot files into dir.","") -define mb_msxhub_get_msxdos2_boot -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/MSXDOS2.SYS) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/COMMAND2.COM) +define mb-msxhub-get-msxdos2-boot +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/MSXDOS2.SYS) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/COMMAND2.COM) endef -$(call mb_make_call,mb_doc_function_deep,mb_msxhub_get_msxdos2_boot,"Installs msxdos2 boot files into dir.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-msxdos2-boot,"Installs msxdos2 boot files into dir.","") -define mb_msxhub_get_nextor_boot -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/NEXTOR.SYS) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/COMMAND2.COM) +define mb-msxhub-get-nextor-boot +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/NEXTOR.SYS) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/COMMAND2.COM) endef -$(call mb_make_call,mb_doc_function_deep,mb_msxhub_get_nextor_boot,"Installs nextor boot files into dir.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-nextor-boot,"Installs nextor boot files into dir.","") # # Native OS utils # -define mb_msxhub_get_msxdos2_utils -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/CHKDSK.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/DISKCOPY.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/FIXDISK.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/UNDEL.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/XCOPY.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/XDIR.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/KMODE.COM) +define mb-msxhub-get-msxdos2-utils +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/CHKDSK.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/DISKCOPY.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/FIXDISK.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/UNDEL.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/XCOPY.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/XDIR.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/KMODE.COM) endef -$(call mb_make_call,mb_doc_function,mb_msxhub_get_msxdos2_utils,"Installs msxdos2 util files into dir.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-msxdos2-utils,"Installs msxdos2 util files into dir.","") -define mb_msxhub_get_nextor_utils -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/DELALL.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/DEVINFO.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/DRIVERS.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/DRVINFO.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/FASTOUT.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/LOCK.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/MAPDRV.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/EMUFILE.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/RALLOC.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/Z80MODE.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/NSYSVER.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/NEXBOOT.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/CONCLUS.COM) +define mb-msxhub-get-nextor-utils +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/DELALL.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/DEVINFO.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/DRIVERS.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/DRVINFO.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/FASTOUT.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/LOCK.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/MAPDRV.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/EMUFILE.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/RALLOC.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/Z80MODE.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/NSYSVER.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/NEXBOOT.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/CONCLUS.COM) endef -$(call mb_make_call,mb_doc_function,mb_msxhub_get_nextor_utils,"Installs nextor util files into dir.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-nextor-utils,"Installs nextor util files into dir.","") # # Compilers # -define mb_msxhub_get_macro80 -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MACRO80/2.0-1/get/MACRO80/CREF80.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MACRO80/2.0-1/get/MACRO80/L80.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MACRO80/2.0-1/get/MACRO80/LIB80.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MACRO80/2.0-1/get/MACRO80/M80.COM) +define mb-msxhub-get-macro80 +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),MACRO80/2.0-1/get/MACRO80/CREF80.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),MACRO80/2.0-1/get/MACRO80/L80.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),MACRO80/2.0-1/get/MACRO80/LIB80.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),MACRO80/2.0-1/get/MACRO80/M80.COM) endef -$(call mb_make_call,mb_doc_function,mb_msxhub_get_macro80,"Installs macro80 package into dir.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-macro80,"Installs macro80 package into dir.","") -define mb_msxhub_get_z80asmuk -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/BINTOASM.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/DUMP.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/HEXTOCOM.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/PRL.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/PRNTOSYM.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/RSX.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/ZSM.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/ZSMPP.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/HEXTOBIN.COM) +define mb-msxhub-get-z80asmuk +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/BINTOASM.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/DUMP.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/HEXTOCOM.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/PRL.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/PRNTOSYM.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/RSX.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/ZSM.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/ZSMPP.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/HEXTOBIN.COM) endef -$(call mb_make_call,mb_doc_function,mb_msxhub_get_z80asmuk,"Installs z80asmuk package into dir.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-z80asmuk,"Installs z80asmuk package into dir.","") -define mb_msxhub_get_wbass2 -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),WBASS2/2.0-1/get/WBASS2/zoekram.bin) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),WBASS2/2.0-1/get/WBASS2/wbass2.bin) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),WBASS2/2.0-1/get/WBASS2/wbass2.bas) +define mb-msxhub-get-wbass2 +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),WBASS2/2.0-1/get/WBASS2/zoekram.bin) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),WBASS2/2.0-1/get/WBASS2/wbass2.bin) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),WBASS2/2.0-1/get/WBASS2/wbass2.bas) endef -$(call mb_make_call,mb_doc_function,mb_msxhub_get_wbass2,"Installs wbass2 package into dir.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-wbass2,"Installs wbass2 package into dir.","") -define mb_msxhub_get_konpass -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),KONPASS/1.3.0-1/get/KONPASS/KONPASS.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),KONPASS/1.3.0-1/get/KONPASS/KONPASS.DAT) +define mb-msxhub-get-konpass +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),KONPASS/1.3.0-1/get/KONPASS/KONPASS.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),KONPASS/1.3.0-1/get/KONPASS/KONPASS.DAT) endef -$(call mb_make_call,mb_doc_function,mb_msxhub_get_konpass,"Installs konpass package into dir.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-konpass,"Installs konpass package into dir.","") # # Compressors # -define mb_msxhub_get_pmarc -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),PMARC/2.0-1/get/PMARC/PMARC.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),PMARC/2.0-1/get/PMARC/PMCAT.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),PMARC/2.0-1/get/PMARC/PMEXE2.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),PMARC/2.0-1/get/PMARC/PMEXT.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),PMARC/2.0-1/get/PMARC/PMSET.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),PMARC/2.0-1/get/PMARC/PMSFX2.COM) +define mb-msxhub-get-pmarc +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),PMARC/2.0-1/get/PMARC/PMARC.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),PMARC/2.0-1/get/PMARC/PMCAT.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),PMARC/2.0-1/get/PMARC/PMEXE2.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),PMARC/2.0-1/get/PMARC/PMEXT.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),PMARC/2.0-1/get/PMARC/PMSET.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),PMARC/2.0-1/get/PMARC/PMSFX2.COM) endef -$(call mb_make_call,mb_doc_function,mb_msxhub_get_pmarc,"Installs pmarc package into dir.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-pmarc,"Installs pmarc package into dir.","") -define mb_msxhub_get_lhpack -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),LHPACK/1.00-1/get/LHPACK/lhpack.com) +define mb-msxhub-get-lhpack +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),LHPACK/1.00-1/get/LHPACK/lhpack.com) endef -$(call mb_make_call,mb_doc_function,mb_msxhub_get_lhpack,"Installs lhpack package into dir.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-lhpack,"Installs lhpack package into dir.","") -define mb_msxhub_get_lhext -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),LHEXT/1.34-2/get/LHEXT/lhext.com) +define mb-msxhub-get-lhext +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),LHEXT/1.34-2/get/LHEXT/lhext.com) endef -$(call mb_make_call,mb_doc_function,mb_msxhub_get_lhext,"Installs lhext package into dir.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-lhext,"Installs lhext package into dir.","") -define mb_msxhub_get_gunzip -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),GUNZIP/1.1-1/get/GUNZIP/gunzip.com) +define mb-msxhub-get-gunzip +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),GUNZIP/1.1-1/get/GUNZIP/gunzip.com) endef -$(call mb_make_call,mb_doc_function,mb_msxhub_get_gunzip,"Installs gunzip package into dir.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-gunzip,"Installs gunzip package into dir.","") -define mb_msxhub_get_tunzip -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),TUNZIP/0.91-1/get/TUNZIP/tunzip.com) +define mb-msxhub-get-tunzip +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),TUNZIP/0.91-1/get/TUNZIP/tunzip.com) endef -$(call mb_make_call,mb_doc_function,mb_msxhub_get_tunzip,"Installs tunzip package into dir.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-tunzip,"Installs tunzip package into dir.","") -define mb_msxhub_get_popcom -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),POPCOM/1.00-2/get/POPCOM/popcom.com) +define mb-msxhub-get-popcom +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),POPCOM/1.00-2/get/POPCOM/popcom.com) endef -$(call mb_make_call,mb_doc_function,mb_msxhub_get_popcom,"Installs popcom package into dir.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-popcom,"Installs popcom package into dir.","") # # Tools # -define mb_msxhub_get_make -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MAKE/2.00-1/get/MAKE/MAKE.COM) +define mb-msxhub-get-make +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),MAKE/2.00-1/get/MAKE/MAKE.COM) endef -$(call mb_make_call,mb_doc_function,mb_msxhub_get_make,"Installs make package into dir.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-make,"Installs make package into dir.","") -define mb_msxhub_get_adir -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),ADIR/1.3-1/get/ADIR/adir.com) +define mb-msxhub-get-adir +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),ADIR/1.3-1/get/ADIR/adir.com) endef -$(call mb_make_call,mb_doc_function,mb_msxhub_get_adir,"Installs adir package into dir.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-adir,"Installs adir package into dir.","") -define mb_msxhub_get_turbo -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),TURBO/2.1-1/get/TURBO/turbo.com) +define mb-msxhub-get-turbo +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),TURBO/2.1-1/get/TURBO/turbo.com) endef -$(call mb_make_call,mb_doc_function,mb_msxhub_get_turbo,"Installs turbo package into dir.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-turbo,"Installs turbo package into dir.","") -define mb_msxhub_get_baskom -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),BASKOM/1.0-1/get/BASKOM/baskom.com) +define mb-msxhub-get-baskom +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),BASKOM/1.0-1/get/BASKOM/baskom.com) endef -$(call mb_make_call,mb_doc_function,mb_msxhub_get_baskom,"Installs baskom package into dir.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-baskom,"Installs baskom package into dir.","") -define mb_msxhub_get_binldr -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),BINLDR/1.0.2-1/get/BINLDR/BINLDR.COM) +define mb-msxhub-get-binldr +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),BINLDR/1.0.2-1/get/BINLDR/BINLDR.COM) endef -$(call mb_make_call,mb_doc_function,mb_msxhub_get_binldr,"Installs binldr package into dir.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-binldr,"Installs binldr package into dir.","") -define mb_msxhub_get_dmphex -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),DMPHEX/1.00-1/get/DMPHEX/dmphex.com) +define mb-msxhub-get-dmphex +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),DMPHEX/1.00-1/get/DMPHEX/dmphex.com) endef -$(call mb_make_call,mb_doc_function,mb_msxhub_get_dmphex,"Installs dmphex package into dir.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-dmphex,"Installs dmphex package into dir.","") -define mb_msxhub_get_zd -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),ZD/3.30-1/get/ZD/zd.com) +define mb-msxhub-get-zd +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),ZD/3.30-1/get/ZD/zd.com) endef -$(call mb_make_call,mb_doc_function,mb_msxhub_get_zd,"Installs zd package into dir.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-zd,"Installs zd package into dir.","") -define mb_msxhub_get_msxdos2t -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/ADDAUX.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/BEEP.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/BIO.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/BODY.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/BSAVE.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/CAL.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/CALC.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/DUMP.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/EXPAND.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/GREP.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/HEAD.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/KEY.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/LIST.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/LS.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/MENU.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/MORE.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/PATCH.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/SLEEP.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/TAIL.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/TR.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/UNIQ.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/VIEW.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/WC.COM) +define mb-msxhub-get-msxdos2t +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/ADDAUX.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/BEEP.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/BIO.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/BODY.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/BSAVE.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/CAL.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/CALC.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/DUMP.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/EXPAND.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/GREP.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/HEAD.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/KEY.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/LIST.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/LS.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/MENU.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/MORE.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/PATCH.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/SLEEP.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/TAIL.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/TR.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/UNIQ.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/VIEW.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/WC.COM) endef -$(call mb_make_call,mb_doc_function,mb_msxhub_get_msxdos2t,"Installs msxdos2t package into dir.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-msxdos2t,"Installs msxdos2t package into dir.","") # # Graphics editors # -define mb_msxhub_get_gfxage -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),GFXAGE/0.99-1/get/GFXAGE/gfxage.com) +define mb-msxhub-get-gfxage +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),GFXAGE/0.99-1/get/GFXAGE/gfxage.com) endef -$(call mb_make_call,mb_doc_function,mb_msxhub_get_gfxage,"Installs gfxage package into dir.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-gfxage,"Installs gfxage package into dir.","") diff --git a/lib/make/天房系統擴展/mb_msxpipe.mk b/lib/make/天房系統擴展/mb_msxpipe.mk index cf02236..01add38 100644 --- a/lib/make/天房系統擴展/mb_msxpipe.mk +++ b/lib/make/天房系統擴展/mb_msxpipe.mk @@ -1,61 +1,65 @@ MB_MSXPIPE_COLOR_FG_SAFE_CMD ?= 026 -$(call mb_make_call,mb_doc_variable,MB_MSXPIPE_COLOR_FG_SAFE_CMD,"Foreground color of safe command session pipe.") +$(call mb-make-call,mb-doc-variable,MB_MSXPIPE_COLOR_FG_SAFE_CMD,"Foreground color of safe command session pipe.") MB_MSXPIPE_COLOR_BG_SAFE_CMD ?= 000 -$(call mb_make_call,mb_doc_variable,MB_MSXPIPE_COLOR_BG_SAFE_CMD,"Background color of safe command session pipe.") +$(call mb-make-call,mb-doc-variable,MB_MSXPIPE_COLOR_BG_SAFE_CMD,"Background color of safe command session pipe.") MB_MSXPIPE_COLOR_FG_SAFE_ASSERT ?= 421 -$(call mb_make_call,mb_doc_variable,MB_MSXPIPE_COLOR_FG_SAFE_ASSERT,"Foreground color of safe assert session pipe.") +$(call mb-make-call,mb-doc-variable,MB_MSXPIPE_COLOR_FG_SAFE_ASSERT,"Foreground color of safe assert session pipe.") MB_MSXPIPE_COLOR_BG_SAFE_ASSERT ?= 000 -$(call mb_make_call,mb_doc_variable,MB_MSXPIPE_COLOR_BG_SAFE_ASSERT,"Background color of safe assert session pipe.") +$(call mb-make-call,mb-doc-variable,MB_MSXPIPE_COLOR_BG_SAFE_ASSERT,"Background color of safe assert session pipe.") MB_MSXPIPE_COLOR_FG_RUN_GUI ?= 141 -$(call mb_make_call,mb_doc_variable,MB_MSXPIPE_COLOR_FG_RUN_GUI,"Foreground color of run gui session pipe.") +$(call mb-make-call,mb-doc-variable,MB_MSXPIPE_COLOR_FG_RUN_GUI,"Foreground color of run gui session pipe.") MB_MSXPIPE_COLOR_BG_RUN_GUI ?= 000 -$(call mb_make_call,mb_doc_variable,MB_MSXPIPE_COLOR_BG_RUN_GUI,"Background color of run gui session pipe.") +$(call mb-make-call,mb-doc-variable,MB_MSXPIPE_COLOR_BG_RUN_GUI,"Background color of run gui session pipe.") -define mb_msxpipe_safe_cmd -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_write_default,$(1),$(if $(4),$(4),$(MB_MSXPIPE_COLOR_FG_SAFE_CMD)),$(if $(5),$(5),$(MB_MSXPIPE_COLOR_BG_SAFE_CMD))) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_safe_cmd,$(1),$(2)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_exit,$(1)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_openmsx_dosctl,$(1),$(3)) +define mb-msxpipe-safe-cmd +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-arg2,$(0),$(1),$(2)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-write-default,$(1),$(if $(4),$(4),$(MB_MSXPIPE_COLOR_FG_SAFE_CMD)),$(if $(5),$(5),$(MB_MSXPIPE_COLOR_BG_SAFE_CMD))) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-safe-cmd,$(1),$(2)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-exit,$(1)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-openmsx-dosctl,$(1),$(3)) endef -$(call mb_make_call,mb_doc_function,mb_msxpipe_safe_cmd,"Runs openMSX and safely executes one command."," [machine] [fg-color] [bg-color]") +$(call mb-make-call,mb-doc-function,mb-msxpipe-safe-cmd,"Runs openMSX and safely executes one command."," [machine] [fg-color] [bg-color]") -define mb_msxpipe_safe_assert -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_write_default,$(1),$(if $(4),$(4),$(MB_MSXPIPE_COLOR_FG_SAFE_ASSERT)),$(if $(5),$(5),$(MB_MSXPIPE_COLOR_BG_SAFE_ASSERT))) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_safe_assert,$(1),$(2)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_exit,$(1)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_openmsx_dosctl,$(1),$(3)) +define mb-msxpipe-safe-assert +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-arg2,$(0),$(1),$(2)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-write-default,$(1),$(if $(4),$(4),$(MB_MSXPIPE_COLOR_FG_SAFE_ASSERT)),$(if $(5),$(5),$(MB_MSXPIPE_COLOR_BG_SAFE_ASSERT))) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-safe-assert,$(1),$(2)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-exit,$(1)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-openmsx-dosctl,$(1),$(3)) endef -$(call mb_make_call,mb_doc_function,mb_msxpipe_safe_assert,"Runs openMSX and safely executes one assert."," [machine] [fg-color] [bg-color]") +$(call mb-make-call,mb-doc-function,mb-msxpipe-safe-assert,"Runs openMSX and safely executes one assert."," [machine] [fg-color] [bg-color]") -define _mb_msxpipe_run_gui -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_write_default,$(1),$(MB_MSXPIPE_COLOR_FG_RUN_GUI),$(MB_MSXPIPE_COLOR_BG_RUN_GUI)) -$(MB_ᕽᕽᕽ   )$(if $(filter mouse,$(4)),$(call mb_make_call,mb_autoexec_append_plug_porta,$(1),$(4))) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_stop_fail,$(1)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_show_gui,$(1)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_echo,$(1),mb::help Run shutdown to exit) -$(MB_ᕽᕽᕽ   )$(if $(2),$(call mb_make_call,mb_autoexec_append_echo,$(1),mb::auto command $(2))) -$(MB_ᕽᕽᕽ   )$(if $(2),$(call mb_make_call,mb_autoexec_append_cmd,$(1),$(2))) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_openmsx_dosctl,$(1),$(3)) +define _mb-msxpipe-run-gui +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-write-default,$(1),$(MB_MSXPIPE_COLOR_FG_RUN_GUI),$(MB_MSXPIPE_COLOR_BG_RUN_GUI)) +$(MB_ᕽᕽᕽ   )$(if $(filter mouse,$(4)),$(call mb-make-call,mb-autoexec-append-plug-porta,$(1),$(4))) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-stop-fail,$(1)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-show-gui,$(1)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-echo,$(1),mb::help Run shutdown to exit) +$(MB_ᕽᕽᕽ   )$(if $(2),$(call mb-make-call,mb-autoexec-append-echo,$(1),mb::auto command $(2))) +$(MB_ᕽᕽᕽ   )$(if $(2),$(call mb-make-call,mb-autoexec-append-cmd,$(1),$(2))) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-openmsx-dosctl,$(1),$(3)) endef -define mb_msxpipe_run_gui -$(MB_ᕽᕽᕽ   )$(call mb_make_call,_mb_msxpipe_run_gui,$(1),$(2),$(3)) +define mb-msxpipe-run-gui +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-arg1,$(0),$(1)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,_mb-msxpipe-run-gui,$(1),$(2),$(3)) endef -$(call mb_make_call,mb_doc_function,mb_msxpipe_run_gui,"Runs openMSX forever with renderer and optionals."," [cmd] [machine]") +$(call mb-make-call,mb-doc-function,mb-msxpipe-run-gui,"Runs openMSX forever with renderer and optionals."," [cmd] [machine]") -define mb_msxpipe_run_gui_mouse -$(MB_ᕽᕽᕽ   )$(call mb_make_call,_mb_msxpipe_run_gui,$(1),$(2),$(3),mouse) +define mb-msxpipe-run-gui-mouse +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-arg1,$(0),$(1)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,_mb-msxpipe-run-gui,$(1),$(2),$(3),mouse) endef -$(call mb_make_call,mb_doc_function,mb_msxpipe_run_gui_mouse,"Runs openMSX forever with renderer and mouse and optionals."," [cmd] [machine]") +$(call mb-make-call,mb-doc-function,mb-msxpipe-run-gui-mouse,"Runs openMSX forever with renderer and mouse and optionals."," [cmd] [machine]") diff --git a/lib/make/天房系統擴展/mb_msxrom.mk b/lib/make/天房系統擴展/mb_msxrom.mk index 84489bf..7f42677 100644 --- a/lib/make/天房系統擴展/mb_msxrom.mk +++ b/lib/make/天房系統擴展/mb_msxrom.mk @@ -1,211 +1,211 @@ # TODO: Convert pi MSX number data, the named pie slices to hyperdrive storage for distribution over red sea MB_MSXROM_API ?= https://msxrom.distributedrebirth.love/calc-pi/v19.1 -$(call mb_make_call,mb_doc_variable_deep,MB_MSXROM_API,"Resource identifier for msxrom pi pie named slices data.") +$(call mb-make-call,mb-doc-variable-deep,MB_MSXROM_API,"Resource identifier for msxrom pi pie named slices data.") MB_MSXROM_CACHE ?= $(MB_OS_CACHE)/msxbuild/msxrom -$(call mb_make_call,mb_doc_variable_deep,MB_MSXROM_CACHE,"Cache storage location.") +$(call mb-make-call,mb-doc-variable-deep,MB_MSXROM_CACHE,"Cache storage location.") MB_MSXROM_FIRE_MACHINES ?= -$(call mb_make_call,mb_doc_variable_flow,MB_MSXROM_FIRE_MACHINES,"List of supported machines.") +$(call mb-make-call,mb-doc-variable-flow,MB_MSXROM_FIRE_MACHINES,"List of supported machines.") -define _mb_msxrom_grow_fire_machines -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg1,$(0),$(1)) +define _mb-msxrom-grow-fire-machines +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-arg1,$(0),$(1)) $(MB_ᕽᕽᕽ   )$(eval MB_MSXROM_FIRE_MACHINES $(MB_MAKE_APPEND) $(1)) endef -define _mb_msxrom_file_fetch -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_os_echo_command,$(MB_I18N_MSXROM_FILE_FETCH) $(subst $(MB_MSXROM_API)/,,$(1))) -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(dir $(MB_MSXROM_CACHE)/$(subst $(MB_MSXROM_API)/,,$(1)))),,$(call mb_make_call,mb_os_dir_create,$(dir $(MB_MSXROM_CACHE)/$(subst $(MB_MSXROM_API)/,,$(1))))) +define _mb-msxrom-file-fetch +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-os-echo-command,$(MB_I18N_MSXROM_FILE_FETCH) $(subst $(MB_MSXROM_API)/,,$(1))) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(dir $(MB_MSXROM_CACHE)/$(subst $(MB_MSXROM_API)/,,$(1)))),,$(call mb-make-call,mb-os-dir-create,$(dir $(MB_MSXROM_CACHE)/$(subst $(MB_MSXROM_API)/,,$(1))))) $(MB_ᕽᕽᕽ   )$(if $(wildcard $(MB_MSXROM_CACHE)/$(subst $(MB_MSXROM_API)/,,$(1))),,wget -O $(MB_MSXROM_CACHE)/$(subst $(MB_MSXROM_API)/,,$(1)) $(1)) endef -define mb_msxrom_file -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(MB_MSXROM_CACHE)/$(2)),,$(call mb_make_call,_mb_msxrom_file_fetch,$(MB_MSXROM_API)/$(2))) -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)/$(notdir $(2))),,$(call mb_make_call,mb_os_file_copy,$(MB_MSXROM_CACHE)/$(2),$(1)/$(notdir $(2)))) +define mb-msxrom-file +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(MB_MSXROM_CACHE)/$(2)),,$(call mb-make-call,_mb-msxrom-file-fetch,$(MB_MSXROM_API)/$(2))) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)/$(notdir $(2))),,$(call mb-make-call,mb-os-file-copy,$(MB_MSXROM_CACHE)/$(2),$(1)/$(notdir $(2)))) endef -$(call mb_make_call,mb_doc_function_deep,mb_msxrom_file,"Installs msxroms from slug into an subdir."," ") +$(call mb-make-call,mb-doc-function-deep,mb-msxrom-file,"Installs msxroms from slug into an subdir."," ") -define mb_msxrom_setup -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))),,$(call mb_make_call,mb_os_dir_create,$(1)$(MB_@WORK)$(notdir $(1)))) -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/share),,$(call mb_make_call,mb_os_dir_create,$(1)$(MB_@WORK)$(notdir $(1))/share)) -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/share/systemroms),,$(call mb_make_call,mb_os_dir_create,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms)) -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines),,$(call mb_make_call,mb_os_dir_create,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines)) -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/extensions),,$(call mb_make_call,mb_os_dir_create,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/extensions)) +define mb-msxrom-setup +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))),,$(call mb-make-call,mb-os-dir-create,$(1)$(MB_@WORK)$(notdir $(1)))) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/share),,$(call mb-make-call,mb-os-dir-create,$(1)$(MB_@WORK)$(notdir $(1))/share)) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/share/systemroms),,$(call mb-make-call,mb-os-dir-create,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms)) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines),,$(call mb-make-call,mb-os-dir-create,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines)) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/extensions),,$(call mb-make-call,mb-os-dir-create,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/extensions)) endef -$(call mb_make_call,mb_doc_function_deep,mb_msxrom_setup,"Creates needed systemrom folders.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxrom-setup,"Creates needed systemrom folders.","") -define mb_msxrom_extension_ide -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/extensions,extensions/ide240.dat) +define mb-msxrom-extension-ide +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/extensions,extensions/ide240.dat) endef -$(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_ide,"Installs sunrise IDE classic rom.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxrom-extension-ide,"Installs sunrise IDE classic rom.","") -define mb_msxrom_extension_ide_nextor -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/extensions,extensions/Nextor-2.1.1.SunriseIDE.ROM) +define mb-msxrom-extension-ide-nextor +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/extensions,extensions/Nextor-2.1.1.SunriseIDE.ROM) endef -$(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_ide_nextor,"Installs sunrise IDE nextor rom.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxrom-extension-ide-nextor,"Installs sunrise IDE nextor rom.","") -define mb_msxrom_extension_scsi_novaxis -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/extensions,extensions/novaxis.rom) +define mb-msxrom-extension-scsi-novaxis +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/extensions,extensions/novaxis.rom) endef -$(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_scsi_novaxis,"Installs Gouda SCSI novaxis rom.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxrom-extension-scsi-novaxis,"Installs Gouda SCSI novaxis rom.","") -define mb_msxrom_extension_msxdos22 -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/extensions,extensions/msxdos22.rom) +define mb-msxrom-extension-msxdos22 +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/extensions,extensions/msxdos22.rom) endef -$(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_msxdos22,"Installs msxdos 2.20 rom.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxrom-extension-msxdos22,"Installs msxdos 2.20 rom.","") -define mb_msxrom_extension_rs232 -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/extensions,extensions/rs232.rom) +define mb-msxrom-extension-rs232 +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/extensions,extensions/rs232.rom) endef -$(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_rs232,"Installs rs232 rom.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxrom-extension-rs232,"Installs rs232 rom.","") -define mb_msxrom_extension_fmpac -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/extensions,extensions/fmpac.rom) +define mb-msxrom-extension-fmpac +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/extensions,extensions/fmpac.rom) endef -$(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_fmpac,"Installs fmpac rom.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxrom-extension-fmpac,"Installs fmpac rom.","") -define mb_msxrom_extension_fmpac_en -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/extensions,extensions/fmpac_en.rom) +define mb-msxrom-extension-fmpac-en +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/extensions,extensions/fmpac_en.rom) endef -$(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_fmpac_en,"Installs fmpac english rom.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxrom-extension-fmpac-en,"Installs fmpac english rom.","") -define mb_msxrom_extension_moonsound -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/extensions,extensions/yrw801.rom) +define mb-msxrom-extension-moonsound +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/extensions,extensions/yrw801.rom) endef -$(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_moonsound,"Installs moonsound rom.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxrom-extension-moonsound,"Installs moonsound rom.","") -define mb_msxrom_extension_basickun -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/extensions,machines/sanyo/phc-70fd2_basickun.rom) +define mb-msxrom-extension-basickun +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/extensions,machines/sanyo/phc-70fd2_basickun.rom) endef -$(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_basickun,"Installs basickun compiler rom.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxrom-extension-basickun,"Installs basickun compiler rom.","") -define mb_msxrom_machine_Canon_V-20 -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/canon/v-20_basic-bios1.rom) +define mb-msxrom-machine-Canon_V-20 +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/canon/v-20_basic-bios1.rom) endef -$(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Canon_V-20,"Installs machine roms.","") -$(call mb_make_call,_mb_msxrom_grow_fire_machines,Canon_V-20) +$(call mb-make-call,mb-doc-function-deep,mb-msxrom-machine-Canon_V-20,"Installs machine roms.","") +$(call mb-make-call,_mb-msxrom-grow-fire-machines,Canon_V-20) -define mb_msxrom_machine_Mitsubishi_ML-F80 -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/mitsubishi/ml-f80_basic-bios1.rom) +define mb-msxrom-machine-Mitsubishi_ML-F80 +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/mitsubishi/ml-f80_basic-bios1.rom) endef -$(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Mitsubishi_ML-F80,"Installs machine roms.","") -$(call mb_make_call,_mb_msxrom_grow_fire_machines,Mitsubishi_ML-F80) +$(call mb-make-call,mb-doc-function-deep,mb-msxrom-machine-Mitsubishi_ML-F80,"Installs machine roms.","") +$(call mb-make-call,_mb-msxrom-grow-fire-machines,Mitsubishi_ML-F80) -define mb_msxrom_machine_Mitsubishi_ML-FX1 -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/mitsubishi/ml-fx1_basic-bios1.rom) +define mb-msxrom-machine-Mitsubishi_ML-FX1 +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/mitsubishi/ml-fx1_basic-bios1.rom) endef -$(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Mitsubishi_ML-FX1,"Installs machine roms.","") -$(call mb_make_call,_mb_msxrom_grow_fire_machines,Mitsubishi_ML-FX1) +$(call mb-make-call,mb-doc-function-deep,mb-msxrom-machine-Mitsubishi_ML-FX1,"Installs machine roms.","") +$(call mb-make-call,_mb-msxrom-grow-fire-machines,Mitsubishi_ML-FX1) -define mb_msxrom_machine_Mitsubishi_ML-G3_ES -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/mitsubishi/ml-g3_es_basic-bios2.rom) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/mitsubishi/ml-g3_es_disk.rom) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/mitsubishi/ml-g3_es_msx2sub.rom) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/mitsubishi/ml-g3_es_rs232.rom) +define mb-msxrom-machine-Mitsubishi_ML-G3_ES +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/mitsubishi/ml-g3_es_basic-bios2.rom) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/mitsubishi/ml-g3_es_disk.rom) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/mitsubishi/ml-g3_es_msx2sub.rom) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/mitsubishi/ml-g3_es_rs232.rom) endef -$(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Mitsubishi_ML-G3_ES,"Installs machine roms.","") -$(call mb_make_call,_mb_msxrom_grow_fire_machines,Mitsubishi_ML-G3_ES) +$(call mb-make-call,mb-doc-function-deep,mb-msxrom-machine-Mitsubishi_ML-G3_ES,"Installs machine roms.","") +$(call mb-make-call,_mb-msxrom-grow-fire-machines,Mitsubishi_ML-G3_ES) -define mb_msxrom_machine_Philips_VG_8000 -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/philips/vg8000_basic-bios1.rom) +define mb-msxrom-machine-Philips_VG_8000 +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/philips/vg8000_basic-bios1.rom) endef -$(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Philips_VG_8000,"Installs machine roms.","") -$(call mb_make_call,_mb_msxrom_grow_fire_machines,Philips_VG_8000) +$(call mb-make-call,mb-doc-function-deep,mb-msxrom-machine-Philips_VG_8000,"Installs machine roms.","") +$(call mb-make-call,_mb-msxrom-grow-fire-machines,Philips_VG_8000) -define mb_msxrom_machine_Philips_VG_8230 -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/philips/vg8230_basic-bios2.rom) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/philips/vg8230_disk.rom) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/philips/vg8230_msx2sub.rom) +define mb-msxrom-machine-Philips_VG_8230 +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/philips/vg8230_basic-bios2.rom) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/philips/vg8230_disk.rom) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/philips/vg8230_msx2sub.rom) endef -$(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Philips_VG_8230,"Installs machine roms.","") -$(call mb_make_call,_mb_msxrom_grow_fire_machines,Philips_VG_8230) +$(call mb-make-call,mb-doc-function-deep,mb-msxrom-machine-Philips_VG_8230,"Installs machine roms.","") +$(call mb-make-call,_mb-msxrom-grow-fire-machines,Philips_VG_8230) -define mb_msxrom_machine_Philips_NMS_8250 -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/philips/nms8250_basic-bios2.rom) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/philips/nms8250_msx2sub.rom) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/philips/nms8250_disk.rom) +define mb-msxrom-machine-Philips_NMS_8250 +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/philips/nms8250_basic-bios2.rom) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/philips/nms8250_msx2sub.rom) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/philips/nms8250_disk.rom) endef -$(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Philips_NMS_8250,"Installs machine roms.","") -$(call mb_make_call,_mb_msxrom_grow_fire_machines,Philips_NMS_8250) +$(call mb-make-call,mb-doc-function-deep,mb-msxrom-machine-Philips_NMS_8250,"Installs machine roms.","") +$(call mb-make-call,_mb-msxrom-grow-fire-machines,Philips_NMS_8250) -define mb_msxrom_machine_Toshiba_HX-21 -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/toshiba/HX21-IC2.BIN) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/toshiba/HX21-IC3.BIN) +define mb-msxrom-machine-Toshiba_HX-21 +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/toshiba/HX21-IC2.BIN) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/toshiba/HX21-IC3.BIN) endef -$(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Toshiba_HX-21,"Installs machine roms.","") -$(call mb_make_call,_mb_msxrom_grow_fire_machines,Toshiba_HX-21) +$(call mb-make-call,mb-doc-function-deep,mb-msxrom-machine-Toshiba_HX-21,"Installs machine roms.","") +$(call mb-make-call,_mb-msxrom-grow-fire-machines,Toshiba_HX-21) -define mb_msxrom_machine_Yamaha_AX200 -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/yamaha/ax200_basic-bios1.rom) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/yamaha/ax200_arabic.rom) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/yamaha/ax200_music.rom) +define mb-msxrom-machine-Yamaha_AX200 +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/yamaha/ax200_basic-bios1.rom) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/yamaha/ax200_arabic.rom) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/yamaha/ax200_music.rom) endef -$(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Yamaha_AX200,"Installs machine roms.","") -$(call mb_make_call,_mb_msxrom_grow_fire_machines,Yamaha_AX200) +$(call mb-make-call,mb-doc-function-deep,mb-msxrom-machine-Yamaha_AX200,"Installs machine roms.","") +$(call mb-make-call,_mb-msxrom-grow-fire-machines,Yamaha_AX200) -define mb_msxrom_machine_Yamaha_YIS-503IIIR -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/yamaha/yis503iii_basic-bios2.rom) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/yamaha/yis503iii_msx2sub.rom) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/yamaha/yis503iii_cpm.rom) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/yamaha/yis503iii_net_2.rom) +define mb-msxrom-machine-Yamaha_YIS-503IIIR +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/yamaha/yis503iii_basic-bios2.rom) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/yamaha/yis503iii_msx2sub.rom) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/yamaha/yis503iii_cpm.rom) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/yamaha/yis503iii_net_2.rom) endef -$(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Yamaha_YIS-503IIIR,"Installs machine roms.","") -$(call mb_make_call,_mb_msxrom_grow_fire_machines,Yamaha_YIS-503IIIR) +$(call mb-make-call,mb-doc-function-deep,mb-msxrom-machine-Yamaha_YIS-503IIIR,"Installs machine roms.","") +$(call mb-make-call,_mb-msxrom-grow-fire-machines,Yamaha_YIS-503IIIR) -define mb_msxrom_machine_Panasonic_FS-A1WSX -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/panasonic/fs-a1wsx_basic-bios2p.rom) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/panasonic/fs-a1wsx_disk.rom) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/panasonic/fs-a1wsx_firmware.rom) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/panasonic/fs-a1wsx_fmbasic.rom) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/panasonic/fs-a1wsx_kanjibasic.rom) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/panasonic/fs-a1wsx_kanjifont.rom) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/panasonic/fs-a1wsx_msx2psub.rom) +define mb-msxrom-machine-Panasonic_FS-A1WSX +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/panasonic/fs-a1wsx_basic-bios2p.rom) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/panasonic/fs-a1wsx_disk.rom) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/panasonic/fs-a1wsx_firmware.rom) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/panasonic/fs-a1wsx_fmbasic.rom) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/panasonic/fs-a1wsx_kanjibasic.rom) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/panasonic/fs-a1wsx_kanjifont.rom) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/panasonic/fs-a1wsx_msx2psub.rom) endef -$(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Panasonic_FS-A1WSX,"Installs machine roms.","") -$(call mb_make_call,_mb_msxrom_grow_fire_machines,Panasonic_FS-A1WSX) +$(call mb-make-call,mb-doc-function-deep,mb-msxrom-machine-Panasonic_FS-A1WSX,"Installs machine roms.","") +$(call mb-make-call,_mb-msxrom-grow-fire-machines,Panasonic_FS-A1WSX) -define mb_msxrom_machine_Boosted_MSX2_EN -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_machine_Philips_NMS_8250,$(1)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_extension_fmpac,$(1)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_extension_moonsound,$(1)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_extension_basickun,$(1)) +define mb-msxrom-machine-Boosted_MSX2_EN +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-machine-Philips_NMS_8250,$(1)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-extension-fmpac,$(1)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-extension-moonsound,$(1)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-extension-basickun,$(1)) endef -$(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Boosted_MSX2_EN,"Installs machine and extensions roms.","") -$(call mb_make_call,_mb_msxrom_grow_fire_machines,Boosted_MSX2_EN) +$(call mb-make-call,mb-doc-function-deep,mb-msxrom-machine-Boosted_MSX2_EN,"Installs machine and extensions roms.","") +$(call mb-make-call,_mb-msxrom-grow-fire-machines,Boosted_MSX2_EN) -define mb_msxrom_machine_Boosted_MSX2+_JP -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_machine_Panasonic_FS-A1WSX,$(1)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_extension_moonsound,$(1)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_extension_basickun,$(1)) +define mb-msxrom-machine-Boosted_MSX2+_JP +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-machine-Panasonic_FS-A1WSX,$(1)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-extension-moonsound,$(1)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-extension-basickun,$(1)) endef -$(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Boosted_MSX2+_JP,"Installs machine and extensions roms.","") -$(call mb_make_call,_mb_msxrom_grow_fire_machines,Boosted_MSX2+_JP) +$(call mb-make-call,mb-doc-function-deep,mb-msxrom-machine-Boosted_MSX2+_JP,"Installs machine and extensions roms.","") +$(call mb-make-call,_mb-msxrom-grow-fire-machines,Boosted_MSX2+_JP) diff --git a/lib/make/天房系統擴展/mb_openmsx.mk b/lib/make/天房系統擴展/mb_openmsx.mk index 9dec62b..56b4665 100644 --- a/lib/make/天房系統擴展/mb_openmsx.mk +++ b/lib/make/天房系統擴展/mb_openmsx.mk @@ -1,81 +1,81 @@ MB_OPENMSX_PATH ?= -$(call mb_make_call,mb_doc_variable,MB_OPENMSX_PATH,"Optional path where openMSX binary is located.") +$(call mb-make-call,mb-doc-variable,MB_OPENMSX_PATH,"Optional path where openMSX binary is located.") MB_OPENMSX_BOOT_TIMEOUT ?= 25 -$(call mb_make_call,mb_doc_variable,MB_OPENMSX_BOOT_TIMEOUT,"Timeout in seconds to guard for boot failures.") +$(call mb-make-call,mb-doc-variable,MB_OPENMSX_BOOT_TIMEOUT,"Timeout in seconds to guard for boot failures.") MB_OPENMSX_BOOT_OS ?= nextor -$(call mb_make_call,mb_doc_variable,MB_OPENMSX_BOOT_OS,"The default OS to run$$(MB_MAKE_COMMA) valid values are; nextor$$(MB_MAKE_COMMA)msxdos1$$(MB_MAKE_COMMA)msxdos2") +$(call mb-make-call,mb-doc-variable,MB_OPENMSX_BOOT_OS,"The default OS to run$$(MB_MAKE_COMMA) valid values are; nextor$$(MB_MAKE_COMMA)msxdos1$$(MB_MAKE_COMMA)msxdos2") MB_OPENMSX_MACHINE ?= Philips_NMS_8250 -$(call mb_make_call,mb_doc_variable,MB_OPENMSX_MACHINE,"The default MSX machine to use.",$(MB_OPENMSX_MACHINE)) +$(call mb-make-call,mb-doc-variable,MB_OPENMSX_MACHINE,"The default MSX machine to use.",$(MB_OPENMSX_MACHINE)) MB_OPENMSX_MACHINE_RAM ?= ram1mb -$(call mb_make_call,mb_doc_variable,MB_OPENMSX_MACHINE_RAM,"Extra ram extension for machine allowed: off$$(MB_MAKE_COMMA)ram16k$$(MB_MAKE_COMMA)ram64k$$(MB_MAKE_COMMA)ram512k$$(MB_MAKE_COMMA)ram1mb$$(MB_MAKE_COMMA)ram2mb$$(MB_MAKE_COMMA)ram4mb") +$(call mb-make-call,mb-doc-variable,MB_OPENMSX_MACHINE_RAM,"Extra ram extension for machine allowed: off$$(MB_MAKE_COMMA)ram16k$$(MB_MAKE_COMMA)ram64k$$(MB_MAKE_COMMA)ram512k$$(MB_MAKE_COMMA)ram1mb$$(MB_MAKE_COMMA)ram2mb$$(MB_MAKE_COMMA)ram4mb") MB_OPENMSX_SPEED ?= $(if $(findstring turboR,$(MB_OPENMSX_MACHINE)),100,333) -$(call mb_make_call,mb_doc_variable,MB_OPENMSX_SPEED,"The throttled MSX emulation speed.") +$(call mb-make-call,mb-doc-variable,MB_OPENMSX_SPEED,"The throttled MSX emulation speed.") MB_OPENMSX_ARGS ?= -$(call mb_make_call,mb_doc_variable,MB_OPENMSX_ARGS,"Extra arguments to invoke openMSX with.") +$(call mb-make-call,mb-doc-variable,MB_OPENMSX_ARGS,"Extra arguments to invoke openMSX with.") MB_OPENMSX_HDD_SIZE ?= 4m -$(call mb_make_call,mb_doc_variable,MB_OPENMSX_HDD_SIZE,"The default fire-hdd disk image size.") +$(call mb-make-call,mb-doc-variable,MB_OPENMSX_HDD_SIZE,"The default fire-hdd disk image size.") MB_OPENMSX_SCALE_FACTOR ?= 3 -$(call mb_make_call,mb_doc_variable,MB_OPENMSX_SCALE_FACTOR,"The gui scale factor to display the emulation.") +$(call mb-make-call,mb-doc-variable,MB_OPENMSX_SCALE_FACTOR,"The gui scale factor to display the emulation.") MB_OPENMSX_THROTTLE ?= off -$(call mb_make_call,mb_doc_variable,MB_OPENMSX_THROTTLE,"An on/off flag to control throttling globally.") +$(call mb-make-call,mb-doc-variable,MB_OPENMSX_THROTTLE,"An on/off flag to control throttling globally.") MB_OPENMSX_HEADLESS ?= on -$(call mb_make_call,mb_doc_variable,MB_OPENMSX_HEADLESS,"Controls if openMSX starts headless.") +$(call mb-make-call,mb-doc-variable,MB_OPENMSX_HEADLESS,"Controls if openMSX starts headless.") MB_OPENMSX_RENDERER ?= SDLGL-PP -$(call mb_make_call,mb_doc_variable_deep,MB_OPENMSX_RENDERER,"The gui renderer used to display the window head with.") +$(call mb-make-call,mb-doc-variable-deep,MB_OPENMSX_RENDERER,"The gui renderer used to display the window head with.") MB_OPENMSX_STDOUT_IGNORE ?= off -$(call mb_make_call,mb_doc_variable_deep,MB_OPENMSX_STDOUT_IGNORE,"Kills logging...rm after issues openMSX are solved.") +$(call mb-make-call,mb-doc-variable-deep,MB_OPENMSX_STDOUT_IGNORE,"Kills logging...rm after issues openMSX are solved.") MB_OPENMSX_STDERR_IGNORE ?= off -$(call mb_make_call,mb_doc_variable_deep,MB_OPENMSX_STDERR_IGNORE,"Kills logging...rm after issues openMSX are solved.") +$(call mb-make-call,mb-doc-variable-deep,MB_OPENMSX_STDERR_IGNORE,"Kills logging...rm after issues openMSX are solved.") MB_OPENMSX_FIRE_PIPE_SMOKE ?= -$(call mb_make_call,mb_doc_variable_flow,MB_OPENMSX_FIRE_PIPE_SMOKE,"Word count of total openMSX executions.") +$(call mb-make-call,mb-doc-variable-flow,MB_OPENMSX_FIRE_PIPE_SMOKE,"Word count of total openMSX executions.") -define mb_openmsx_setup -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg2,$(0),$(1),$(2)) -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))),,$(call mb_make_call,mb_os_dir_create,$(1)$(MB_@WORK)$(notdir $(1)))) -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/stdio.xml),,$(call mb_make_call,mb_os_file_copy,$(MB_@BASEPATH)openmsx/stdio.xml,$(1)$(MB_@WORK)$(notdir $(1)))) -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/share),,$(call mb_make_call,mb_os_dir_create,$(1)$(MB_@WORK)$(notdir $(1))/share)) -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/share/settings.xml),,$(call mb_make_call,mb_os_file_copy,$(MB_@BASEPATH)openmsx/share/settings.xml,$(1)$(MB_@WORK)$(notdir $(1))/share)) -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/share/scripts),,$(call mb_make_call,mb_os_dir_create,$(1)$(MB_@WORK)$(notdir $(1))/share/scripts)) -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/share/scripts/boot_exec.tcl),,$(call mb_make_call,mb_os_file_copy,$(MB_@BASEPATH)openmsx/share/scripts/boot_exec.tcl,$(1)$(MB_@WORK)$(notdir $(1))/share/scripts)) -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/share/scripts/fail_after.tcl),,$(call mb_make_call,mb_os_file_copy,$(MB_@BASEPATH)openmsx/share/scripts/fail_after.tcl,$(1)$(MB_@WORK)$(notdir $(1))/share/scripts)) -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/share/scripts/fire_hdd.tcl),,$(call mb_make_call,mb_os_file_copy,$(MB_@BASEPATH)openmsx/share/scripts/fire_hdd.tcl,$(1)$(MB_@WORK)$(notdir $(1))/share/scripts)) -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/share/scripts/headless.tcl),,$(call mb_make_call,mb_os_file_copy,$(MB_@BASEPATH)openmsx/share/scripts/headless.tcl,$(1)$(MB_@WORK)$(notdir $(1))/share/scripts)) -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/share/scripts/night_flight.tcl),,$(call mb_make_call,mb_os_file_copy,$(MB_@BASEPATH)openmsx/share/scripts/night_flight.tcl,$(1)$(MB_@WORK)$(notdir $(1))/share/scripts)) -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/share/scripts/omsxctl.tcl),,$(call mb_msxhub_file,$(1)$(MB_@WORK)$(notdir $(1))/share/scripts,OMSXCTL/1.0-1/get/OMSXCTL/omsxctl.tcl)) -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/share/extensions),,$(call mb_make_call,mb_os_dir_create,$(1)$(MB_@WORK)$(notdir $(1))/share/extensions)) -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/share/extensions/fire-hdd.xml),,$(call mb_make_call,mb_os_file_copy,$(MB_@BASEPATH)openmsx/share/extensions/fire-hdd.xml,$(1)$(MB_@WORK)$(notdir $(1))/share/extensions)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_setup,$(1)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_extension_ide_nextor,$(1)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_machine_$(2),$(1)) +define mb-openmsx-setup +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-arg2,$(0),$(1),$(2)) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))),,$(call mb-make-call,mb-os-dir-create,$(1)$(MB_@WORK)$(notdir $(1)))) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/stdio.xml),,$(call mb-make-call,mb-os-file-copy,$(MB_@BASEPATH)openmsx/stdio.xml,$(1)$(MB_@WORK)$(notdir $(1)))) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/share),,$(call mb-make-call,mb-os-dir-create,$(1)$(MB_@WORK)$(notdir $(1))/share)) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/share/settings.xml),,$(call mb-make-call,mb-os-file-copy,$(MB_@BASEPATH)openmsx/share/settings.xml,$(1)$(MB_@WORK)$(notdir $(1))/share)) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/share/scripts),,$(call mb-make-call,mb-os-dir-create,$(1)$(MB_@WORK)$(notdir $(1))/share/scripts)) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/share/scripts/boot_exec.tcl),,$(call mb-make-call,mb-os-file-copy,$(MB_@BASEPATH)openmsx/share/scripts/boot_exec.tcl,$(1)$(MB_@WORK)$(notdir $(1))/share/scripts)) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/share/scripts/fail_after.tcl),,$(call mb-make-call,mb-os-file-copy,$(MB_@BASEPATH)openmsx/share/scripts/fail_after.tcl,$(1)$(MB_@WORK)$(notdir $(1))/share/scripts)) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/share/scripts/fire_hdd.tcl),,$(call mb-make-call,mb-os-file-copy,$(MB_@BASEPATH)openmsx/share/scripts/fire_hdd.tcl,$(1)$(MB_@WORK)$(notdir $(1))/share/scripts)) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/share/scripts/headless.tcl),,$(call mb-make-call,mb-os-file-copy,$(MB_@BASEPATH)openmsx/share/scripts/headless.tcl,$(1)$(MB_@WORK)$(notdir $(1))/share/scripts)) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/share/scripts/night_flight.tcl),,$(call mb-make-call,mb-os-file-copy,$(MB_@BASEPATH)openmsx/share/scripts/night_flight.tcl,$(1)$(MB_@WORK)$(notdir $(1))/share/scripts)) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/share/scripts/omsxctl.tcl),,$(call mb-make-call,mb-msxhub-file,$(1)$(MB_@WORK)$(notdir $(1))/share/scripts,OMSXCTL/1.0-1/get/OMSXCTL/omsxctl.tcl)) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/share/extensions),,$(call mb-make-call,mb-os-dir-create,$(1)$(MB_@WORK)$(notdir $(1))/share/extensions)) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/share/extensions/fire-hdd.xml),,$(call mb-make-call,mb-os-file-copy,$(MB_@BASEPATH)openmsx/share/extensions/fire-hdd.xml,$(1)$(MB_@WORK)$(notdir $(1))/share/extensions)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-setup,$(1)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-extension-ide-nextor,$(1)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-machine-$(2),$(1)) endef -$(call mb_make_call,mb_doc_function_deep,mb_openmsx_setup,"Setup openMSX local build home folder for machine."," ") +$(call mb-make-call,mb-doc-function-deep,mb-openmsx-setup,"Setup openMSX local build home folder for machine."," ") -define _mb_openmsx_path +define _mb-openmsx-path $(MB_ᕽᕽᕽ   )$(if $(MB_OPENMSX_PATH),$(MB_OPENMSX_PATH)/$(1),$(1)) endef -define _mb_openmsx_run +define _mb-openmsx-run $(MB_ᕽᕽᕽ   )$(eval MB_OPENMSX_FIRE_PIPE_SMOKE $(MB_MAKE_APPEND) OK) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_os_echo_command,$(MB_I18N_OPENMSX_STARTUP) $@ - $(2)) -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/persistent/fire-hdd/untitled1/sync),,$(call mb_make_call,mb_os_dir_create,$(1)$(MB_@WORK)$(notdir $(1))/persistent/fire-hdd/untitled1/sync)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-os-echo-command,$(MB_I18N_OPENMSX_STARTUP) $@ - $(2)) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/persistent/fire-hdd/untitled1/sync),,$(call mb-make-call,mb-os-dir-create,$(1)$(MB_@WORK)$(notdir $(1))/persistent/fire-hdd/untitled1/sync)) $(MB_ᕽᕽᕽ   )BOOT_WATCHDOG=$(MB_OPENMSX_BOOT_TIMEOUT) \ $(MB_ᕽᕽᕽ   )FIRE_HDD_PATH="$(1)" \ $(MB_ᕽᕽᕽ   )FIRE_HDD_SIZE=$(MB_OPENMSX_HDD_SIZE) \ @@ -90,7 +90,7 @@ $(MB_ᕽᕽᕽ   )NF_PREFIX=$(MB_FLIGHT_PREFIX) \ $(MB_ᕽᕽᕽ   )NF_SEPERATOR=$(MB_FLIGHT_SEPERATOR) \ $(MB_ᕽᕽᕽ   )NF_RECORD_FLAG=$(MB_FLIGHT_RECORD_FLAG) \ $(MB_ᕽᕽᕽ   )OPENMSX_HOME="$(1)$(MB_@WORK)$(notdir $(1))" \ -$(MB_ᕽᕽᕽ   )$(call mb_make_call,_mb_openmsx_path,openmsx) \ +$(MB_ᕽᕽᕽ   )$(call mb-make-call,_mb-openmsx-path,openmsx) \ $(MB_ᕽᕽᕽ      )-machine $(2) \ $(MB_ᕽᕽᕽ      )-ext slotexpander \ $(MB_ᕽᕽᕽ      )-ext fire-hdd \ @@ -108,21 +108,21 @@ $(MB_ᕽᕽᕽ   )rsync --checksum --recursive "$(1)$(MB_@WORK)$(notdir $( endef -define _mb_openmsx_dosctl_bat -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)/z80.bat),,$(call mb_make_call,mb_os_file_copy,$(MB_@BASEPATH)emuctl/z80.bat,$(1))) -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)/reboot.bat),,$(call mb_make_call,mb_os_file_copy,$(MB_@BASEPATH)emuctl/reboot.bat,$(1))) -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)/shutdown.bat),,$(call mb_make_call,mb_os_file_copy,$(MB_@BASEPATH)emuctl/shutdown.bat,$(1))) -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)/mbboot80.com),,$(call mb_make_call,mb_os_file_copy,$(MB_@BASEPATH)emuctl/mbboot80.com,$(1))) -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)/omsxctl.com),,$(call mb_make_call,mb_msxhub_file,$(1),OMSXCTL/1.0-1/get/OMSXCTL/omsxctl.com)) +define _mb-openmsx-dosctl-bat +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)/z80.bat),,$(call mb-make-call,mb-os-file-copy,$(MB_@BASEPATH)emuctl/z80.bat,$(1))) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)/reboot.bat),,$(call mb-make-call,mb-os-file-copy,$(MB_@BASEPATH)emuctl/reboot.bat,$(1))) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)/shutdown.bat),,$(call mb-make-call,mb-os-file-copy,$(MB_@BASEPATH)emuctl/shutdown.bat,$(1))) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)/mbboot80.com),,$(call mb-make-call,mb-os-file-copy,$(MB_@BASEPATH)emuctl/mbboot80.com,$(1))) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)/omsxctl.com),,$(call mb-make-call,mb-msxhub-file,$(1),OMSXCTL/1.0-1/get/OMSXCTL/omsxctl.com)) endef -define mb_openmsx_dosctl -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg1,$(0),$(1)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_openmsx_setup,$(1),$(if $(2),$(2),$(MB_OPENMSX_MACHINE))) -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)/utils),$(call mb_make_call,_mb_openmsx_dosctl_bat,$(1)/utils),$(call mb_make_call,_mb_openmsx_dosctl_bat,$(1))) -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)/command.com),,$(if $(wildcard $(1)/command2.com),,$(call mb_make_call,mb_msxhub_get_$(MB_OPENMSX_BOOT_OS)_boot,$(1)))) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,_mb_openmsx_run,$(1),$(if $(2),$(2),$(MB_OPENMSX_MACHINE)),$(if $(3),$(3),$(MB_OPENMSX_MACHINE_RAM))) +define mb-openmsx-dosctl +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-arg1,$(0),$(1)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-openmsx-setup,$(1),$(if $(2),$(2),$(MB_OPENMSX_MACHINE))) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)/utils),$(call mb-make-call,_mb-openmsx-dosctl-bat,$(1)/utils),$(call mb-make-call,_mb-openmsx-dosctl-bat,$(1))) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)/command.com),,$(if $(wildcard $(1)/command2.com),,$(call mb-make-call,mb-msxhub-get-$(MB_OPENMSX_BOOT_OS)-boot,$(1)))) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,_mb-openmsx-run,$(1),$(if $(2),$(2),$(MB_OPENMSX_MACHINE)),$(if $(3),$(3),$(MB_OPENMSX_MACHINE_RAM))) endef -$(call mb_make_call,mb_doc_function,mb_openmsx_dosctl,"Starts openMSX with dos controller."," [machine] [mem-ext]") +$(call mb-make-call,mb-doc-function,mb-openmsx-dosctl,"Starts openMSX with dos controller."," [machine] [mem-ext]") diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_0module.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_0module.mk index b775e94..2bee1fc 100644 --- a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_0module.mk +++ b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_0module.mk @@ -1,21 +1,26 @@ -define __mb_flow_0module_setup +define __mb-flow-0module-include +$(MB_ᕽᕽᕽ   )ifdef DEBUG +$(MB_ᕽᕽᕽ      )ifneq ("$$(DEBUG)", "off") +$(MB_ᕽᕽᕽ         )$$(warning DEBUG $(0) ($(1))) +$(MB_ᕽᕽᕽ      )endif +$(MB_ᕽᕽᕽ   )endif $(MB_ᕽᕽᕽ   ).PHONY: $(1) $(MB_ᕽᕽᕽ   )include $(1) $(MB_ᕽᕽᕽ   )@@include-mods:: $(1) $(MB_ᕽᕽᕽ   )$(1): @@include-tree endef -define mb_flow_0module_setup -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg1,$(0),$(1)) -$(MB_ᕽᕽᕽ   )$(foreach _mod,$(call mb_make_call,mb_make_wildcard_treewalker,$(1),*/0module.mk),$(eval $(call mb_make_call,__$(0),$(_mod)))) -$(MB_ᕽᕽᕽ   )$(foreach _mod,$(call mb_make_call,mb_make_wildcard_treewalker,$(1),*/0module000.mk),$(eval $(call mb_make_call,__$(0),$(_mod)))) -$(MB_ᕽᕽᕽ   )$(foreach _mod,$(call mb_make_call,mb_make_wildcard_treewalker,$(1),*/0module001.mk),$(eval $(call mb_make_call,__$(0),$(_mod)))) -$(MB_ᕽᕽᕽ   )$(foreach _mod,$(call mb_make_call,mb_make_wildcard_treewalker,$(1),*/0module010.mk),$(eval $(call mb_make_call,__$(0),$(_mod)))) -$(MB_ᕽᕽᕽ   )$(foreach _mod,$(call mb_make_call,mb_make_wildcard_treewalker,$(1),*/0module011.mk),$(eval $(call mb_make_call,__$(0),$(_mod)))) -$(MB_ᕽᕽᕽ   )$(foreach _mod,$(call mb_make_call,mb_make_wildcard_treewalker,$(1),*/0module100.mk),$(eval $(call mb_make_call,__$(0),$(_mod)))) -$(MB_ᕽᕽᕽ   )$(foreach _mod,$(call mb_make_call,mb_make_wildcard_treewalker,$(1),*/0module101.mk),$(eval $(call mb_make_call,__$(0),$(_mod)))) -$(MB_ᕽᕽᕽ   )$(foreach _mod,$(call mb_make_call,mb_make_wildcard_treewalker,$(1),*/0module110.mk),$(eval $(call mb_make_call,__$(0),$(_mod)))) -$(MB_ᕽᕽᕽ   )$(foreach _mod,$(call mb_make_call,mb_make_wildcard_treewalker,$(1),*/0module111.mk),$(eval $(call mb_make_call,__$(0),$(_mod)))) +define mb-flow-0module-include +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-arg1,$(0),$(1)) +$(MB_ᕽᕽᕽ   )$(foreach _mod,$(call mb-make-call,mb-make-wildcard-treewalker,$(1),*/0module.mk),$(eval $(call mb-make-call,__$(0),$(_mod)))) +$(MB_ᕽᕽᕽ   )$(foreach _mod,$(call mb-make-call,mb-make-wildcard-treewalker,$(1),*/0module000.mk),$(eval $(call mb-make-call,__$(0),$(_mod)))) +$(MB_ᕽᕽᕽ   )$(foreach _mod,$(call mb-make-call,mb-make-wildcard-treewalker,$(1),*/0module001.mk),$(eval $(call mb-make-call,__$(0),$(_mod)))) +$(MB_ᕽᕽᕽ   )$(foreach _mod,$(call mb-make-call,mb-make-wildcard-treewalker,$(1),*/0module010.mk),$(eval $(call mb-make-call,__$(0),$(_mod)))) +$(MB_ᕽᕽᕽ   )$(foreach _mod,$(call mb-make-call,mb-make-wildcard-treewalker,$(1),*/0module011.mk),$(eval $(call mb-make-call,__$(0),$(_mod)))) +$(MB_ᕽᕽᕽ   )$(foreach _mod,$(call mb-make-call,mb-make-wildcard-treewalker,$(1),*/0module100.mk),$(eval $(call mb-make-call,__$(0),$(_mod)))) +$(MB_ᕽᕽᕽ   )$(foreach _mod,$(call mb-make-call,mb-make-wildcard-treewalker,$(1),*/0module101.mk),$(eval $(call mb-make-call,__$(0),$(_mod)))) +$(MB_ᕽᕽᕽ   )$(foreach _mod,$(call mb-make-call,mb-make-wildcard-treewalker,$(1),*/0module110.mk),$(eval $(call mb-make-call,__$(0),$(_mod)))) +$(MB_ᕽᕽᕽ   )$(foreach _mod,$(call mb-make-call,mb-make-wildcard-treewalker,$(1),*/0module111.mk),$(eval $(call mb-make-call,__$(0),$(_mod)))) endef -$(call mb_make_call,mb_doc_function_flow,mb_flow_0module_setup,"Inject flow of recursive 0module.mk include.","") +$(call mb-make-call,mb-doc-function-flow,mb-flow-0module-include,"Inject flow of recursive 0module.mk include.","") diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk index 11c89b1..b819c3b 100644 --- a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk +++ b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk @@ -1,64 +1,59 @@ -define __mb_flow_assert_grep_binary_x +define __mb-flow-assert-grep-binary-x .RECIPEPREFIX := $(MB_@RECIPE) $(1)/@@$(5)-deps:: $(1)/@assert/$(5)/$(2) $(1)/@assert/$(5)/$(2): $(1)/@build $(MB_@RECIPE)grep -q -U $(4) $(1)/$(3) -$(MB_@RECIPE)$$(call mb_make_call,mb_os_echo_assert,$$(MB_I18N_ASSERT_SUCCESS) $(1)/@assert/$(2)/$(5)) -$(MB_@RECIPE)$$(call mb_make_call,mb_proj_grow_assert_success,$(5)) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_assert,$(1)/@assert/$(5)/$(2),"Asserts that $(3) output matches.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(1)/@assert/$(5)/$(2)) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_proj_grow_deps_phase,$(5),$(1)/@assert/$(5)/$(2)) +$(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-assert,$$(MB_I18N_ASSERT_SUCCESS) $(1)/@assert/$(2)/$(5)) +$(MB_@RECIPE)$$(call mb-make-call,mb-proj-grow-assert-success,$(5)) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-assert,$(1)/@assert/$(5)/$(2),"Asserts that $(3) output matches.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(1)/@assert/$(5)/$(2)) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-proj-grow-deps-phase,$(5),$(1)/@assert/$(5)/$(2)) .RECIPEPREFIX := $(MB_@RECIPEPREFIX) endef -define mb_flow_assert_grep_binary_test -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg4,$(0),$(1),$(2),$(3),$(4)) -$(MB_ᕽᕽᕽ   )$(eval $(call mb_make_call,__mb_flow_assert_grep_binary_x,$(1),$(2),$(3),$(4),@test)) +define mb-flow-assert-grep-binary-test +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-arg4,$(0),$(1),$(2),$(3),$(4)) +$(MB_ᕽᕽᕽ   )$(eval $(call mb-make-call,__mb-flow-assert-grep-binary-x,$(1),$(2),$(3),$(4),@test)) endef -$(call mb_make_call,mb_doc_function_flow,mb_flow_assert_grep_binary_test,"Inject flow of single binary grep assert."," ") -define mb_flow_assert_grep_binary_package_qa -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg4,$(0),$(1),$(2),$(3),$(4)) -$(MB_ᕽᕽᕽ   )$(eval $(call mb_make_call,__mb_flow_assert_grep_binary_x,$(1),$(2),$(3),$(4),@package-qa)) -endef -$(call mb_make_call,mb_doc_function_flow,mb_flow_assert_grep_binary_package_qa,"Inject flow of single binary grep assert."," ") - -define __mb_flow_assert_msxpipe_grep_x2 -$(MB_ᕽᕽᕽ   )$(shell grep -q $(1) $(2) && echo || echo fail) +$(call mb-make-call,mb-doc-function-flow,mb-flow-assert-grep-binary-test,"Inject flow of single binary grep assert."," ") +define mb-flow-assert-grep-binary-package-qa +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-arg4,$(0),$(1),$(2),$(3),$(4)) +$(MB_ᕽᕽᕽ   )$(eval $(call mb-make-call,__mb-flow-assert-grep-binary-x,$(1),$(2),$(3),$(4),@package-qa)) endef +$(call mb-make-call,mb-doc-function-flow,mb-flow-assert-grep-binary-package-qa,"Inject flow of single binary grep assert."," ") -define __mb_flow_assert_msxpipe_grep_x +define __mb-flow-assert-msxpipe-grep-x .RECIPEPREFIX := $(MB_@RECIPE) $(1)/@$(5)-deps:: $(1)/@assert/$(5)/$(2) $(7)/@@init-deps:: $(1)/@build $(1)/@assert/$(5)/$(2): $(7)/@build -$(MB_@RECIPE)$$(if $$(wildcard $(7)/$(3).out),,$$(call mb_make_call,mb_os_file_delete,$(7)/$(3).out)) -$(MB_@RECIPE)$$(call mb_make_call,mb_msxpipe_safe_assert,$(7),$(3) > $(3).out,$(6)) +$(MB_@RECIPE)$$(if $$(wildcard $(7)/$(3).out),,$$(call mb-make-call,mb-os-file-delete,$(7)/$(3).out)) +$(MB_@RECIPE)$$(call mb-make-call,mb-msxpipe-safe-assert,$(7),$(3) > $(3).out,$(6)) $(MB_@RECIPE)grep -q $(4) $(7)/$(3).out -$(MB_@RECIPE)$$(call mb_make_call,mb_os_echo_assert,$$(MB_I18N_ASSERT_SUCCESS) $(1)/@assert/$(2)/$(5)) -$(MB_@RECIPE)$$(call mb_make_call,mb_proj_grow_assert_success,$(5)) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_assert,$(1)/@assert/$(5)/$(2),"Asserts that $(3) output matches.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(1)/@assert/$(5)/$(2)) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_proj_grow_deps_phase,$(5),$(1)/@assert/$(5)/$(2)) +$(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-assert,$$(MB_I18N_ASSERT_SUCCESS) $(1)/@assert/$(2)/$(5)) +$(MB_@RECIPE)$$(call mb-make-call,mb-proj-grow-assert-success,$(5)) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-assert,$(1)/@assert/$(5)/$(2),"Asserts that $(3) output matches.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(1)/@assert/$(5)/$(2)) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-proj-grow-deps-phase,$(5),$(1)/@assert/$(5)/$(2)) .RECIPEPREFIX := $(MB_@RECIPEPREFIX) endef -define mb_flow_assert_msxpipe_grep_test -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg5,$(0),$(1),$(2),$(3),$(4),$(5)) -$(MB_ᕽᕽᕽ   )$(eval $(call mb_make_call,mb_flow_clone_deps,$(1)$(MB_@WORK)_assert/$(notdir $(1))/test-$(3),$(1),$(2),$(6),$(7))) -$(MB_ᕽᕽᕽ   )$(eval $(call mb_make_call,__mb_flow_assert_msxpipe_grep_x,$(1),$(3),$(4),$(5),@test,$(7),$(1)$(MB_@WORK)_assert/$(notdir $(1))/test-$(3))) +define mb-flow-assert-msxpipe-grep-test +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-arg5,$(0),$(1),$(2),$(3),$(4),$(5)) +$(MB_ᕽᕽᕽ   )$(eval $(call mb-make-call,mb-flow-clone-deps,$(1)$(MB_@WORK)_assert/$(notdir $(1))/test-$(3),$(1),$(2),$(6),$(7))) +$(MB_ᕽᕽᕽ   )$(eval $(call mb-make-call,__mb-flow-assert-msxpipe-grep-x,$(1),$(3),$(4),$(5),@test,$(7),$(1)$(MB_@WORK)_assert/$(notdir $(1))/test-$(3))) endef -$(call mb_make_call,mb_doc_function_flow,mb_flow_assert_msxpipe_grep_test,"Inject flow of single grep assert."," [packages] [machine]") -define mb_flow_assert_msxpipe_grep_package_qa -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg5,$(0),$(1),$(2),$(3),$(4),$(5)) -$(MB_ᕽᕽᕽ   )$(eval $(call mb_make_call,mb_flow_clone_deps,$(1)$(MB_@WORK)_assert/$(notdir $(1))/package-qa-$(3),$(1),$(2),$(6),$(7))) -$(MB_ᕽᕽᕽ   )$(eval $(call mb_make_call,__mb_flow_assert_msxpipe_grep_x,$(1),$(3),$(4),$(5),@package-qa,$(7),$(1)$(MB_@WORK)_assert/$(notdir $(1))/package-qa-$(3))) +$(call mb-make-call,mb-doc-function-flow,mb-flow-assert-msxpipe-grep-test,"Inject flow of single grep assert."," [packages] [machine]") +define mb_flow-assert-msxpipe-grep-package-qa +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-arg5,$(0),$(1),$(2),$(3),$(4),$(5)) +$(MB_ᕽᕽᕽ   )$(eval $(call mb-make-call,mb-flow-clone-deps,$(1)$(MB_@WORK)_assert/$(notdir $(1))/package-qa-$(3),$(1),$(2),$(6),$(7))) +$(MB_ᕽᕽᕽ   )$(eval $(call mb-make-call,__mb-flow-assert-msxpipe-grep-x,$(1),$(3),$(4),$(5),@package-qa,$(7),$(1)$(MB_@WORK)_assert/$(notdir $(1))/package-qa-$(3))) endef -$(call mb_make_call,mb_doc_function_flow,mb_flow_assert_msxpipe_grep_package_qa,"Inject flow of single grep assert."," [packages] [machine]") - +$(call mb-make-call,mb-doc-function-flow,mb-flow-assert-msxpipe-grep-package-qa,"Inject flow of single grep assert."," [packages] [machine]") diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_clone.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_clone.mk index fdd55fa..2642bf2 100644 --- a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_clone.mk +++ b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_clone.mk @@ -1,21 +1,21 @@ -define __mb_flow_clone_deps +define __mb-flow-clone-deps .RECIPEPREFIX := $(MB_@RECIPE) $(1)/%: $(2)/% $(1)/@prepare -$(MB_@RECIPE)$$(call mb_make_call,mb_os_file_copy,$$<,$$@) +$(MB_@RECIPE)$$(call mb-make-call,mb-os-file-copy,$$<,$$@) $(1)/@@process-deps:: $(4) -$(MB_@RECIPE)$$(call mb_make_call,mb_os_echo_remark,$(MB_I18N_FLOW_CLONE_REPORT) $$(words $$^)) +$(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-remark,$(MB_I18N_FLOW_CLONE_REPORT) $$(words $$^)) .RECIPEPREFIX := $(MB_@RECIPEPREFIX) endef -define mb_flow_clone_deps -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg3,$(0),$(1),$(2),$(3)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_flow_proj_setup,$(1),@init,$(1)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_flow_proj_module_run,$(1),$(5)) -$(MB_ᕽᕽᕽ   )$(if $(4),$(call mb_make_call,mb_flow_proj_prepare_packages,$(1),$(4))) -$(MB_ᕽᕽᕽ   )$(eval $(call mb_make_call,__$(0),$(1),$(2),$(3),$(call mb_make_call,mb_make_module_local_deps,$(1),$(3)))) +define mb-flow-clone-deps +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-arg3,$(0),$(1),$(2),$(3)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-flow-proj-setup,$(1),@init,$(1)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-flow-proj-module-run,$(1),$(5)) +$(MB_ᕽᕽᕽ   )$(if $(4),$(call mb-make-call,mb-flow-proj-prepare-packages,$(1),$(4))) +$(MB_ᕽᕽᕽ   )$(eval $(call mb-make-call,__$(0),$(1),$(2),$(3),$(call mb-make-call,mb-make-module-local-deps,$(1),$(3)))) endef -$(call mb_make_call,mb_doc_function_flow,mb_flow_clone_deps,"Inject flow of file copy toolchain module."," [packages] [machine]") +$(call mb-make-call,mb-doc-function-flow,mb-flow-clone-deps,"Inject flow of file copy toolchain module."," [packages] [machine]") diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_help.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_help.mk index 629b6c1..52fba7b 100644 --- a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_help.mk +++ b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_help.mk @@ -1,136 +1,136 @@ -define __mb_flow_doc_help +define __mb-flow-doc-help .RECIPEPREFIX := $(MB_@RECIPE) @help: $(MB_@RECIPE)@echo -e "Welcome to the $$(if $$(MB_PROJ_META_NAME),$$(MB_PROJ_META_NAME),MSXBUILD) help system.\\n\\nFor detailed fire help use one of the following targets;" $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_HELP) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@help) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@help) @help-variable: $(MB_@RECIPE)@echo -e "Documention of the make fire variables;" $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@help-variable,"Lists build variables.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@help-variable) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@help-variable,"Lists build variables.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@help-variable) @help-variable-deep: $(MB_@RECIPE)@echo "Documention of the deep make variables;" $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE_DEEP) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@help-variable-deep,"Lists deep variables.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@help-variable-deep) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@help-variable-deep,"Lists deep variables.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@help-variable-deep) @help-variable-rock: $(MB_@RECIPE)@echo -e "Documention of the rock make variables;" $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE_ROCK) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@help-variable-rock,"Lists rock variables.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@help-variable-rock) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@help-variable-rock,"Lists rock variables.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@help-variable-rock) @help-variable-flow: $(MB_@RECIPE)@echo -e "Documention of the flow make variables;" $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE_FLOW) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@help-variable-flow,"Lists flow variables.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@help-variable-flow) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@help-variable-flow,"Lists flow variables.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@help-variable-flow) @help-variable-i18n: $(MB_@RECIPE)@echo -e "Documention of the i18n make variables;" $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE_I18N) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@help-variable-i18n,"Lists i18n variables.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@help-variable-i18n) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@help-variable-i18n,"Lists i18n variables.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@help-variable-i18n) @help-function: $(MB_@RECIPE)@echo -e "Documention of the make fire functions;" $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_FUNCTION) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@help-function,"Lists build functions.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@help-function) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@help-function,"Lists build functions.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@help-function) @help-function-deep: $(MB_@RECIPE)@echo -e "Documention of the deep make functions;" $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_FUNCTION_DEEP) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@help-function-deep,"Lists deep functions.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@help-function-deep) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@help-function-deep,"Lists deep functions.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@help-function-deep) @help-function-flow: $(MB_@RECIPE)@echo -e "Documention of the flow make functions;" $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_FUNCTION_FLOW) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@help-function-flow,"Lists flow functions.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@help-function-flow) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@help-function-flow,"Lists flow functions.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@help-function-flow) @help-target: $(MB_@RECIPE)@echo -e "Build one of the following make fire targets;" $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@help-target,"Lists build targets.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@help-target) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@help-target,"Lists build targets.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@help-target) @help-target-deep: $(MB_@RECIPE)@echo -e "Build one of the following deep make targets;" $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_DEEP) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@help-target-deep,"Lists deep targets.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@help-target-deep) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@help-target-deep,"Lists deep targets.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@help-target-deep) @help-target-run: $(MB_@RECIPE)@echo -e "Build one of the following run make targets;" $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_RUN) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@help-target-run,"Lists runnable targets.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@help-target-run) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@help-target-run,"Lists runnable targets.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@help-target-run) @help-target-module: $(MB_@RECIPE)@echo -e "Build one of the following module make targets;" $(MB_@RECIPE)$$(file >bin/@help.txt,$$(MB_DOC_FIRE_TARGET_MODULE)) $(MB_@RECIPE)@cat bin/@help.txt | sed 's/\\\\n/\n/g' | sed 's/\\\\t/\t/g' | sed 's/\"//g' -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@help-target-module,"Lists module targets.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@help-target-module) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@help-target-module,"Lists module targets.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@help-target-module) @help-target-assert: $(MB_@RECIPE)@echo -e "Build one of the following assert make targets;" $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_ASSERT) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@help-target-assert,"Lists assert targets.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@help-target-assert) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@help-target-assert,"Lists assert targets.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@help-target-assert) @help-machine: $(MB_@RECIPE)@echo -e "Use one of the following machines to build targets;" $(MB_@RECIPE)@echo -e "\n "$(foreach _rom,$(MB_MSXROM_FIRE_MACHINES)," * $(_rom)\n") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@help-machine,"Lists supported machines.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@help-machine) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@help-machine,"Lists supported machines.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@help-machine) @help-all: $(MB_@RECIPE)@echo -e "Documention of all fire functions/variables/targets;\\n" -$(MB_@RECIPE)$$(call mb_make_call,mb_os_echo_good,"Variables") +$(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-good,"Variables") $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE) -$(MB_@RECIPE)$$(call mb_make_call,mb_os_echo_good,"Variables in the deep") +$(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-good,"Variables in the deep") $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE_DEEP) -$(MB_@RECIPE)$$(call mb_make_call,mb_os_echo_good,"Variables hard as rock") +$(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-good,"Variables hard as rock") $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE_ROCK) -$(MB_@RECIPE)$$(call mb_make_call,mb_os_echo_good,"Variables defining flow") +$(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-good,"Variables defining flow") $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE_FLOW) -$(MB_@RECIPE)$$(call mb_make_call,mb_os_echo_good,"Variables for i18n letters") +$(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-good,"Variables for i18n letters") $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE_I18N) -$(MB_@RECIPE)$$(call mb_make_call,mb_os_echo_good,"Functions") +$(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-good,"Functions") $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_FUNCTION) -$(MB_@RECIPE)$$(call mb_make_call,mb_os_echo_good,"Functions in the deep") +$(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-good,"Functions in the deep") $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_FUNCTION_DEEP) -$(MB_@RECIPE)$$(call mb_make_call,mb_os_echo_good,"Functions building flow") +$(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-good,"Functions building flow") $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_FUNCTION_FLOW) -$(MB_@RECIPE)$$(call mb_make_call,mb_os_echo_good,"Targets") +$(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-good,"Targets") $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET) -$(MB_@RECIPE)$$(call mb_make_call,mb_os_echo_good,"Targets in the deep") +$(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-good,"Targets in the deep") $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_DEEP) -$(MB_@RECIPE)$$(call mb_make_call,mb_os_echo_good,"Targets that run away") +$(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-good,"Targets that run away") $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_RUN) -$(MB_@RECIPE)$$(call mb_make_call,mb_os_echo_good,"Targets from modules builds") +$(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-good,"Targets from modules builds") $(MB_@RECIPE)$$(file >bin/@help.txt,$$(MB_DOC_FIRE_TARGET_MODULE)) $(MB_@RECIPE)@cat bin/@help.txt | sed 's/\\\\n/\n/g' | sed 's/\\\\t/\t/g' | sed 's/\"//g' -$(MB_@RECIPE)$$(call mb_make_call,mb_os_echo_good,"Targets that assert hopefully") +$(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-good,"Targets that assert hopefully") $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_ASSERT) -$(MB_@RECIPE)$$(call mb_make_call,mb_os_echo_good,"Targets main project help") +$(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-good,"Targets main project help") $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_HELP) -$(MB_@RECIPE)$$(call mb_make_call,mb_os_echo_good,OK) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@help-all,"Lists all documented information.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@help-all) +$(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-good,OK) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@help-all,"Lists all documented information.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@help-all) @help-firemake: $(MB_@RECIPE)$$(eval MB_DOC_FORMAT :$(MB_MAKE_EQUALS) xml) -$(MB_@RECIPE)@echo -e $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT)) +$(MB_@RECIPE)@echo -e $$(call mb-make-call,mb-make-xml-open,$$(MB_DOC_XML_ROOT)) $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE) $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE_DEEP) $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE_ROCK) @@ -146,14 +146,14 @@ $(MB_@RECIPE)$$(file >bin/@help.txt,$$(MB_DOC_FIRE_TARGET_MODULE)) $(MB_@RECIPE)@cat bin/@help.txt | sed 's/\\\\n/\n/g' | sed 's/\\\\t/\t/g' | sed 's/\\\"/__TMP/g' | sed 's/\"//g' | sed 's/__TMP/\"/g' $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_ASSERT) $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_HELP) -$(MB_@RECIPE)@echo -e $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT)) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@help-firemake,"Output all documention in XML for IDE integration.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@help-firemake) +$(MB_@RECIPE)@echo -e $$(call mb-make-call,mb-make-xml-close,$$(MB_DOC_XML_ROOT)) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@help-firemake,"Output all documention in XML for IDE integration.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@help-firemake) .RECIPEPREFIX := $(MB_@RECIPEPREFIX) endef -define mb_flow_doc_help -$(MB_ᕽᕽᕽ   )$(eval $(call mb_make_call,__$(0),$(1))) +define mb-flow-doc-help +$(MB_ᕽᕽᕽ   )$(eval $(call mb-make-call,__$(0),$(1))) endef -$(call mb_make_call,mb_doc_function_flow,mb_flow_doc_help,"Inject flow of fire help system.") +$(call mb-make-call,mb-doc-function-flow,mb-flow-doc-help,"Inject flow of fire help system.") diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_macro80.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_macro80.mk index d17a775..c95f615 100644 --- a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_macro80.mk +++ b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_macro80.mk @@ -4,41 +4,41 @@ # and # hextobin hello.hex hello.bin -define __mb_flow_macro80_bdos_mono +define __mb-flow-macro80-bdos-mono .RECIPEPREFIX := $(MB_@RECIPE) $(1)/@@process-deps:: $(1)/$(3).mac $(1)/$(3).mac: $(2)/$(3).mac | $(1)/@prepare -$(MB_@RECIPE)$$(call mb_make_call,mb_conv_unix2dos,$(2)/$(3).mac,$(1)/$(3).mac) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).mac) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_proj_grow_deps_phase,@process,$(1)/$(3).mac) +$(MB_@RECIPE)$$(call mb-make-call,mb-conv-unix2dos,$(2)/$(3).mac,$(1)/$(3).mac) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-deep,$(1)/$(3).mac) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-proj-grow-deps-phase,@process,$(1)/$(3).mac) $(1)/@@compile-deps:: $(1)/$(3).rel $(1)/$(3).rel: $(1)/$(3).mac -$(MB_@RECIPE)$$(call mb_make_call,mb_msxpipe_safe_cmd,$(1),m80 $$(MB_MAKE_EQUALS)$(3)/Z) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).rel) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_proj_grow_deps_phase,@compile,$(1)/$(3).rel) +$(MB_@RECIPE)$$(call mb-make-call,mb-msxpipe-safe-cmd,$(1),m80 $$(MB_MAKE_EQUALS)$(3)/Z) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-deep,$(1)/$(3).rel) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-proj-grow-deps-phase,@compile,$(1)/$(3).rel) $(1)/@@link-deps:: $(1)/$(3).hex $(1)/$(3).hex: $(1)/$(3).rel -$(MB_@RECIPE)$$(call mb_make_call,mb_msxpipe_safe_cmd,$(1),l80 $(3)$$(MB_MAKE_COMMA)$(3)/N/X/Y/E) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).hex) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_proj_grow_deps_phase,@link,$(1)/$(3).hex) +$(MB_@RECIPE)$$(call mb-make-call,mb-msxpipe-safe-cmd,$(1),l80 $(3)$$(MB_MAKE_COMMA)$(3)/N/X/Y/E) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-deep,$(1)/$(3).hex) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-proj-grow-deps-phase,@link,$(1)/$(3).hex) $(1)/@@build-deps:: $(1)/$(3).com $(1)/$(3).com: $(1)/$(3).hex -$(MB_@RECIPE)$$(call mb_make_call,mb_msxpipe_safe_cmd,$(1),hextocom $(3)) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).com) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_proj_grow_deps_phase,@build,$(1)/$(3).com) +$(MB_@RECIPE)$$(call mb-make-call,mb-msxpipe-safe-cmd,$(1),hextocom $(3)) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-deep,$(1)/$(3).com) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-proj-grow-deps-phase,@build,$(1)/$(3).com) .RECIPEPREFIX := $(MB_@RECIPEPREFIX) endef -define mb_flow_macro80_bdos_mono -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg3,$(0),$(1),$(2),$(3)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_flow_proj_setup,$(1),@init,$(1)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_flow_proj_module_run,$(1)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_flow_proj_prepare_packages,$(1),macro80 z80asmuk,utils) -$(MB_ᕽᕽᕽ   )$(eval $(call mb_make_call,__$(0),$(1),$(2),$(3))) +define mb-flow-macro80-bdos-mono +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-arg3,$(0),$(1),$(2),$(3)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-flow-proj-setup,$(1),@init,$(1)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-flow-proj-module-run,$(1)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-flow-proj-prepare-packages,$(1),macro80 z80asmuk,utils) +$(MB_ᕽᕽᕽ   )$(eval $(call mb-make-call,__$(0),$(1),$(2),$(3))) endef -$(call mb_make_call,mb_doc_function_flow,mb_flow_macro80_bdos_mono,"Inject flow of m80 toolchain bdos mono file module."," ") +$(call mb-make-call,mb-doc-function-flow,mb-flow-macro80-bdos-mono,"Inject flow of m80 toolchain bdos mono file module."," ") diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_nestor80.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_nestor80.mk index 5e5c9c0..7d44a5b 100644 --- a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_nestor80.mk +++ b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_nestor80.mk @@ -1,32 +1,32 @@ -define __mb_flow_nestor80_bdos_mono +define __mb-flow-nestor80-bdos-mono .RECIPEPREFIX := $(MB_@RECIPE) $(1)/@@prepare-deps:: $(1)/$(3).mac $(1)/$(3).mac: $(2)/$(3).mac | $(1)/@init -$(MB_@RECIPE)$$(call mb_make_call,mb_os_file_copy,$$<,$$@) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).mac) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_proj_grow_deps_phase,@prepare,$(1)/$(3).mac) +$(MB_@RECIPE)$$(call mb-make-call,mb-os-file-copy,$$<,$$@) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-deep,$(1)/$(3).mac) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-proj-grow-deps-phase,@prepare,$(1)/$(3).mac) $(1)/@@compile-deps:: $(1)/$(3).rel $(1)/$(3).rel: $(1)/$(3).mac -$(MB_@RECIPE)$$(call mb_make_call,mb_nestor80_compile,$$<,$$@) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).rel) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_proj_grow_deps_phase,@compile,$(1)/$(3).rel) +$(MB_@RECIPE)$$(call mb-make-call,mb-nestor80-compile,$$<,$$@) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-deep,$(1)/$(3).rel) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-proj-grow-deps-phase,@compile,$(1)/$(3).rel) $(1)/@@link-deps:: $(1)/$(3).com $(1)/$(3).com: $(1)/$(3).rel -$(MB_@RECIPE)$$(call mb_make_call,mb_nestor80_link_bdos,$$<,$$@) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).com) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_proj_grow_deps_phase,@link,$(1)/$(3).com) +$(MB_@RECIPE)$$(call mb-make-call,mb-nestor80-link-bdos,$$<,$$@) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-deep,$(1)/$(3).com) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-proj-grow-deps-phase,@link,$(1)/$(3).com) .RECIPEPREFIX := $(MB_@RECIPEPREFIX) endef -define mb_flow_nestor80_bdos_mono -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg3,$(0),$(1),$(2),$(3)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_flow_proj_setup,$(1),@init,$(1)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_flow_proj_module_run,$(1)) -$(MB_ᕽᕽᕽ   )$(eval $(call mb_make_call,__$(0),$(1),$(2),$(3))) +define mb-flow-nestor80-bdos-mono +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-arg3,$(0),$(1),$(2),$(3)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-flow-proj-setup,$(1),@init,$(1)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-flow-proj-module-run,$(1)) +$(MB_ᕽᕽᕽ   )$(eval $(call mb-make-call,__$(0),$(1),$(2),$(3))) endef -$(call mb_make_call,mb_doc_function_flow,mb_flow_nestor80_bdos_mono,"Inject flow of Nestor80 toolchain bdos mono file module."," ") +$(call mb-make-call,mb-doc-function-flow,mb-flow-nestor80-bdos-mono,"Inject flow of Nestor80 toolchain bdos mono file module."," ") diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk index cc40e79..b0598f7 100644 --- a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk +++ b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk @@ -1,322 +1,322 @@ MB_PROJ_META_GROUP_ID ?= -$(call mb_make_call,mb_doc_variable,MB_PROJ_META_GROUP_ID,"The project group id.") +$(call mb-make-call,mb-doc-variable,MB_PROJ_META_GROUP_ID,"The project group id.") MB_PROJ_META_ARTIFACT_ID ?= -$(call mb_make_call,mb_doc_variable,MB_PROJ_META_ARTIFACT_ID,"The project artifact id.") +$(call mb-make-call,mb-doc-variable,MB_PROJ_META_ARTIFACT_ID,"The project artifact id.") MB_PROJ_META_VERSION ?= -$(call mb_make_call,mb_doc_variable,MB_PROJ_META_VERSION,"The project version.") +$(call mb-make-call,mb-doc-variable,MB_PROJ_META_VERSION,"The project version.") MB_PROJ_META_NAME ?= -$(call mb_make_call,mb_doc_variable,MB_PROJ_META_NAME,"The project name.") +$(call mb-make-call,mb-doc-variable,MB_PROJ_META_NAME,"The project name.") MB_PROJ_META_DESCRIPTION ?= -$(call mb_make_call,mb_doc_variable,MB_PROJ_META_DESCRIPTION,"The project description.") +$(call mb-make-call,mb-doc-variable,MB_PROJ_META_DESCRIPTION,"The project description.") MB_PROJ_META_WEBSITE ?= -$(call mb_make_call,mb_doc_variable,MB_PROJ_META_WEBSITE,"The project website location.") +$(call mb-make-call,mb-doc-variable,MB_PROJ_META_WEBSITE,"The project website location.") MB_PROJ_PHASE_ALL = @clean @init @prepare @process @compile @link @build @test @package @package-qa @package-deploy @all -$(call mb_make_call,mb_doc_variable_rock,MB_PROJ_PHASE_ALL,"All the phases of an project with flow steps.") +$(call mb-make-call,mb-doc-variable-rock,MB_PROJ_PHASE_ALL,"All the phases of an project with flow steps.") MB_PROJ_FIRE_MODULE_INIT ?= -$(call mb_make_call,mb_doc_variable_flow,MB_PROJ_FIRE_MODULE_INIT,"List of unique module project structure targets.") +$(call mb-make-call,mb-doc-variable-flow,MB_PROJ_FIRE_MODULE_INIT,"List of unique module project structure targets.") MB_PROJ_FIRE_MODULE_RUN ?= -$(call mb_make_call,mb_doc_variable_flow,MB_PROJ_FIRE_MODULE_RUN,"List of unique module project modules run targets.") +$(call mb-make-call,mb-doc-variable-flow,MB_PROJ_FIRE_MODULE_RUN,"List of unique module project modules run targets.") MB_PROJ_FIRE_ASSERT_SUCCESS ?= -$(call mb_make_call,mb_doc_variable_flow,MB_PROJ_FIRE_ASSERT_SUCCESS,"Word count of total asserts successes.") +$(call mb-make-call,mb-doc-variable-flow,MB_PROJ_FIRE_ASSERT_SUCCESS,"Word count of total asserts successes.") -define mb_proj_grow_assert_success -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg1,$(0),$(1)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_value_valid,$(0),$(1),@test @package-qa) +define mb-proj-grow-assert-success +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-arg1,$(0),$(1)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-value-valid,$(0),$(1),@test @package-qa) $(MB_ᕽᕽᕽ   )$(eval MB_PROJ_FIRE_ASSERT_SUCCESS $(MB_MAKE_APPEND) $(1)) endef -$(call mb_make_call,mb_doc_function_deep,mb_proj_grow_assert_success,"Grow the success counter of assertions.","") +$(call mb-make-call,mb-doc-function-deep,mb-proj-grow-assert-success,"Grow the success counter of assertions.","") -define mb_proj_grow_deps_phase -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg2,$(0),$(1),$(2)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_value_valid,$(0),$(1),$(MB_PROJ_PHASE_ALL)) +define mb-proj-grow-deps-phase +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-arg2,$(0),$(1),$(2)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-value-valid,$(0),$(1),$(MB_PROJ_PHASE_ALL)) $(MB_ᕽᕽᕽ   )$(eval @$(1)-deps:: $(2)) endef -$(call mb_make_call,mb_doc_function_deep,mb_proj_grow_deps_phase_clean,"Grow the deps of the selected phase."," ") +$(call mb-make-call,mb-doc-function-deep,mb-proj-grow-deps-phase,"Grow the deps of the selected phase."," ") -define _mb_proj_flow_step_before -$(MB_ᕽᕽᕽ   )$(if $(filter $(subst @@,@,$@),$(MB_PROJ_PHASE_ALL)),$(call mb_make_call,mb_os_echo_phase,$(MB_I18N_PROJ_STEP_BEFORE) $@)) +define _mb-proj-flow-step-before +$(MB_ᕽᕽᕽ   )$(if $(filter $(subst @@,@,$@),$(MB_PROJ_PHASE_ALL)),$(call mb-make-call,mb-os-echo-phase,$(MB_I18N_PROJ_STEP_BEFORE) $@)) endef -define _mb_proj_flow_step_done -$(MB_ᕽᕽᕽ   )$(if $(filter $@,$(MB_PROJ_PHASE_ALL)),$(call mb_make_call,mb_os_echo_phase,$(MB_I18N_PROJ_STEP_AFTER) $@)) -$(MB_ᕽᕽᕽ   )$(if $(value MAKECMDGOALS),$(if $(filter $@,$(MAKECMDGOALS)),$(call mb_make_call,mb_os_echo_report,$(MB_I18N_PROJ_OPENMSX_COUNT) $(words $(MB_OPENMSX_FIRE_PIPE_SMOKE))))) -$(MB_ᕽᕽᕽ   )$(if $(value MAKECMDGOALS),$(if $(filter $@,$(MAKECMDGOALS)),$(call mb_make_call,mb_os_echo_good,$(MB_I18N_PROJ_STEP_DONE) $@))) +define _mb-proj-flow-step-done +$(MB_ᕽᕽᕽ   )$(if $(filter $@,$(MB_PROJ_PHASE_ALL)),$(call mb-make-call,mb-os-echo-phase,$(MB_I18N_PROJ_STEP_AFTER) $@)) +$(MB_ᕽᕽᕽ   )$(if $(value MAKECMDGOALS),$(if $(filter $@,$(MAKECMDGOALS)),$(call mb-make-call,mb-os-echo-report,$(MB_I18N_PROJ_OPENMSX_COUNT) $(words $(MB_OPENMSX_FIRE_PIPE_SMOKE))))) +$(MB_ᕽᕽᕽ   )$(if $(value MAKECMDGOALS),$(if $(filter $@,$(MAKECMDGOALS)),$(call mb-make-call,mb-os-echo-good,$(MB_I18N_PROJ_STEP_DONE) $@))) endef -define __mb_flow_proj_setup +define __mb-flow-proj-setup .RECIPEPREFIX := $(MB_@RECIPE) $(3)@@clean: $(2) -$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_before) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@clean) +$(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-before) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@@clean) $(3)@@clean-deps:: $(2) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@clean-deps) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@@clean-deps) $(3)@clean: $(3)@@clean $(3)@@clean-deps -$(MB_@RECIPE)$$(if $$(wildcard $(1)),$$(call mb_make_call,mb_os_echo_command,$$(MB_I18N_PROJ_DIR_DELETE) $(1))) -$(MB_@RECIPE)$$(if $$(wildcard $(1)),$$(call mb_make_call,mb_os_dir_delete,$(1))) -$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target$$(if $(3),_module,_help),$(3)@clean,"Clean's the project build folders.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@clean) +$(MB_@RECIPE)$$(if $$(wildcard $(1)),$$(call mb-make-call,mb-os-echo-command,$$(MB_I18N_PROJ_DIR_DELETE) $(1))) +$(MB_@RECIPE)$$(if $$(wildcard $(1)),$$(call mb-make-call,mb-os-dir-delete,$(1))) +$(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-$$(if $(3),module,help),$(3)@clean,"Clean's the project build folders.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@clean) $(3)@@init: $(2) -$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_before) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@init) +$(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-before) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@@init) $(3)@@init-deps:: $(2) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@init-deps) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@@init-deps) $(3)@init: $(3)@@init $(3)@@init-deps -$(MB_@RECIPE)$$(if $$(wildcard $(1)),,$$(call mb_make_call,mb_os_echo_command,$$(MB_I18N_PROJ_DIR_CREATE) $(1))) -$(MB_@RECIPE)$$(if $$(wildcard $(1)),,$$(call mb_make_call,mb_os_dir_create,$(1))) -$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target$$(if $(3),_module,_help),$(3)@init,"Create the project output folders.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@init) +$(MB_@RECIPE)$$(if $$(wildcard $(1)),,$$(call mb-make-call,mb-os-echo-command,$$(MB_I18N_PROJ_DIR_CREATE) $(1))) +$(MB_@RECIPE)$$(if $$(wildcard $(1)),,$$(call mb-make-call,mb-os-dir-create,$(1))) +$(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-$$(if $(3),module,help),$(3)@init,"Create the project output folders.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@init) $(3)@@prepare: $(3)@init -$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_before) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@prepare) +$(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-before) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@@prepare) $(3)@@prepare-deps:: -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@prepare-deps) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@@prepare-deps) $(3)@prepare: $(3)@@prepare $(3)@@prepare-deps -$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target$$(if $(3),_module,_help),$(3)@prepare,"Prepare sources before processing.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@prepare) +$(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-$$(if $(3),module,help),$(3)@prepare,"Prepare sources before processing.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@prepare) $(3)@@process: $(3)@prepare -$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_before) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@process) +$(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-before) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@@process) $(3)@@process-deps:: -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@process-deps) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@@process-deps) $(3)@process: $(3)@@process $(3)@@process-deps -$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target$$(if $(3),_module,_help),$(3)@process,"Process sources before compiling.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@process) +$(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-$$(if $(3),module,help),$(3)@process,"Process sources before compiling.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@process) $(3)@@compile: $(3)@process -$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_before) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@compile) +$(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-before) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@@compile) $(3)@@compile-deps:: -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@compile-deps) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@@compile-deps) $(3)@compile: $(3)@@compile $(3)@@compile-deps -$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target$$(if $(3),_module,_help),$(3)@compile,"Compiles all project sources.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@compile) +$(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-$$(if $(3),module,help),$(3)@compile,"Compiles all project sources.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@compile) $(3)@@link: $(3)@compile -$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_before) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@link) +$(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-before) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@@link) $(3)@@link-deps:: -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@link-deps) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@@link-deps) $(3)@link: $(3)@@link $(3)@@link-deps -$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target$$(if $(3),_module,_help),$(3)@link,"Link all project intermediate files.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@link) +$(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-$$(if $(3),module,help),$(3)@link,"Link all project intermediate files.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@link) $(3)@@build: $(3)@link -$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_before) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@build) +$(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-before) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@@build) $(3)@@build-deps:: -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@build-deps) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@@build-deps) $(3)@build: $(3)@@build $(3)@@build-deps -$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target$$(if $(3),_module,_help),$(3)@build,"Build all project artifacts.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@build) +$(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-$$(if $(3),module,help),$(3)@build,"Build all project artifacts.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@build) $(3)@@test: $(3)@build -$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_before) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@test) +$(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-before) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@@test) $(3)@@test-deps:: -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@test-deps) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@@test-deps) $(3)@test: $(3)@@test $(3)@@test-deps -$(MB_@RECIPE)$$(if $(3),,$$(call mb_make_call,mb_os_echo_report,$$(MB_I18N_PROJ_ASSERT_COUNT) @test: $$(words $$(filter @test,$$(MB_PROJ_FIRE_ASSERT_SUCCESS))))) -$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target$$(if $(3),_module,_help),$(3)@test,"Run all assertion tests.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@test) +$(MB_@RECIPE)$$(if $(3),,$$(call mb-make-call,mb-os-echo-report,$$(MB_I18N_PROJ_ASSERT_COUNT) @test: $$(words $$(filter @test,$$(MB_PROJ_FIRE_ASSERT_SUCCESS))))) +$(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-$$(if $(3),module,help),$(3)@test,"Run all assertion tests.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@test) $(3)@@package: $(3)@test -$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_before) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,v@@package) +$(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-before) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@@package) $(3)@@package-deps:: -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@package-deps) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@@package-deps) $(3)@package: $(3)@@package $(3)@@package-deps -$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target$$(if $(3),_module,_help),$(3)@package,"Create all packages of project.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@package) +$(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-$$(if $(3),module,help),$(3)@package,"Create all packages of project.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@package) $(3)@@package-qa: $(3)@package -$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_before) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@package-qa) +$(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-before) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@@package-qa) $(3)@@package-qa-deps:: -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@package-qa-deps) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@@package-qa-deps) $(3)@package-qa: $(3)@@package-qa $(3)@@package-qa-deps -$(MB_@RECIPE)$$(if $(3),,$$(call mb_make_call,mb_os_echo_report,$$(MB_I18N_PROJ_ASSERT_COUNT) @package-qa: $$(words $$(filter @package-qa,$$(MB_PROJ_FIRE_ASSERT_SUCCESS))))) -$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target$$(if $(3),_module,_help),$(3)@package-qa,"Run all packages QA of project.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@package-qa) +$(MB_@RECIPE)$$(if $(3),,$$(call mb-make-call,mb-os-echo-report,$$(MB_I18N_PROJ_ASSERT_COUNT) @package-qa: $$(words $$(filter @package-qa,$$(MB_PROJ_FIRE_ASSERT_SUCCESS))))) +$(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-$$(if $(3),module,help),$(3)@package-qa,"Run all packages QA of project.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@package-qa) $(3)@@package-deploy: $(3)@package-qa -$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_before) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@package-deploy) +$(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-before) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@@package-deploy) $(3)@@package-deploy-deps:: -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@package-deploy-deps) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@@package-deploy-deps) $(3)@package-deploy: $(3)@@package-deploy $(3)@@package-deploy-deps -$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target$$(if $(3),_module,_help),$(3)@package-deploy,"Deploy all the packages.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@package-deploy) +$(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-$$(if $(3),module,help),$(3)@package-deploy,"Deploy all the packages.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@package-deploy) $(3)@@all: $(3)@package-qa -$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_before) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@all) +$(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-before) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@@all) $(3)@@all-deps:: -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@all-deps) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@@all-deps) $(3)@all: $(3)@@all $(3)@@all-deps -$(MB_@RECIPE)$$(if $$(value MAKECMDGOALS),,$$(call mb_make_call,mb_os_echo_report,$$(MB_I18N_PROJ_OPENMSX_COUNT) $$(words $$(MB_OPENMSX_FIRE_PIPE_SMOKE)))) -$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target$$(if $(3),_module,_help),$(3)@all,"Run full build and package QA tests.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@all) +$(MB_@RECIPE)$$(if $$(value MAKECMDGOALS),,$$(call mb-make-call,mb-os-echo-report,$$(MB_I18N_PROJ_OPENMSX_COUNT) $$(words $$(MB_OPENMSX_FIRE_PIPE_SMOKE)))) +$(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-$$(if $(3),module,help),$(3)@all,"Run full build and package QA tests.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@all) .RECIPEPREFIX := $(MB_@RECIPEPREFIX) endef -define mb_flow_proj_setup -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg1,$(0),$(1)) -$(MB_ᕽᕽᕽ   )$(if $(3),,$(call mb_make_call,mb_make_check_variable,MB_PROJ_META_GROUP_ID)) -$(MB_ᕽᕽᕽ   )$(if $(3),,$(call mb_make_call,mb_make_check_variable,MB_PROJ_META_ARTIFACT_ID)) -$(MB_ᕽᕽᕽ   )$(if $(3),,$(call mb_make_call,mb_make_check_variable,MB_PROJ_META_VERSION)) -$(MB_ᕽᕽᕽ   )$(if $(3),,$(call mb_make_call,mb_make_check_variable,MB_PROJ_META_NAME)) -$(MB_ᕽᕽᕽ   )$(if $(3),,$(call mb_make_call,mb_make_check_variable,MB_PROJ_META_DESCRIPTION)) -$(MB_ᕽᕽᕽ   )$(if $(3),,$(call mb_make_call,mb_make_check_variable,MB_PROJ_META_WEBSITE)) -$(MB_ᕽᕽᕽ   )$(if $(filter $(if $(3),$(3)/@init,@init),$(MB_PROJ_FIRE_MODULE_INIT)),,$(eval $(call mb_make_call,__$(0),$(1),$(2),$(if $(3),$(3)/)))) -$(MB_ᕽᕽᕽ   )$(if $(3),,$(if $(MB_I18N),$(if $(filter off,$(MB_I18N)),,$(call mb_make_call,_$(0)_i18n)))) +define mb-flow-proj-setup +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-arg1,$(0),$(1)) +$(MB_ᕽᕽᕽ   )$(if $(3),,$(call mb-make-call,mb-make-check-variable,MB_PROJ_META_GROUP_ID)) +$(MB_ᕽᕽᕽ   )$(if $(3),,$(call mb-make-call,mb-make-check-variable,MB_PROJ_META_ARTIFACT_ID)) +$(MB_ᕽᕽᕽ   )$(if $(3),,$(call mb-make-call,mb-make-check-variable,MB_PROJ_META_VERSION)) +$(MB_ᕽᕽᕽ   )$(if $(3),,$(call mb-make-call,mb-make-check-variable,MB_PROJ_META_NAME)) +$(MB_ᕽᕽᕽ   )$(if $(3),,$(call mb-make-call,mb-make-check-variable,MB_PROJ_META_DESCRIPTION)) +$(MB_ᕽᕽᕽ   )$(if $(3),,$(call mb-make-call,mb-make-check-variable,MB_PROJ_META_WEBSITE)) +$(MB_ᕽᕽᕽ   )$(if $(filter $(if $(3),$(3)/@init,@init),$(MB_PROJ_FIRE_MODULE_INIT)),,$(eval $(call mb-make-call,__$(0),$(1),$(2),$(if $(3),$(3)/)))) +$(MB_ᕽᕽᕽ   )$(if $(3),,$(if $(MB_I18N),$(if $(filter off,$(MB_I18N)),,$(call mb-make-call,_$(0)-i18n)))) $(MB_ᕽᕽᕽ   )$(eval MB_PROJ_FIRE_MODULE_INIT $(MB_MAKE_APPEND) $(if $(3),$(3)/@init,@init)) endef -$(call mb_make_call,mb_doc_function_flow,mb_flow_proj_setup,"Inject flow of abstract project build cycle."," [parent-glue] [bin-mod-dir]") +$(call mb-make-call,mb-doc-function-flow,mb-flow-proj-setup,"Inject flow of abstract project build cycle."," [parent-glue] [bin-mod-dir]") -define __mb_flow_proj_setup_i18n +define __mb-flow-proj-setup-i18n .RECIPEPREFIX := $(MB_@RECIPE) @$$(MB_I18N_PROJ_PHASEID_CLEAN): @clean -$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@$$(MB_I18N_PROJ_PHASEID_CLEAN),$$(MB_I18N_PROJ_PHASEDOC_CLEAN)) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@$$(MB_I18N_PROJ_PHASEID_CLEAN)) +$(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@$$(MB_I18N_PROJ_PHASEID_CLEAN),$$(MB_I18N_PROJ_PHASEDOC_CLEAN)) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@$$(MB_I18N_PROJ_PHASEID_CLEAN)) @$$(MB_I18N_PROJ_PHASEID_INIT): @init -$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@$$(MB_I18N_PROJ_PHASEID_INIT),$$(MB_I18N_PROJ_PHASEDOC_INIT)) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@$$(MB_I18N_PROJ_PHASEID_INIT)) +$(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@$$(MB_I18N_PROJ_PHASEID_INIT),$$(MB_I18N_PROJ_PHASEDOC_INIT)) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@$$(MB_I18N_PROJ_PHASEID_INIT)) @$$(MB_I18N_PROJ_PHASEID_PREPARE): @$$(MB_I18N_PROJ_PHASEID_INIT) @prepare -$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@$$(MB_I18N_PROJ_PHASEID_PREPARE),$$(MB_I18N_PROJ_PHASEDOC_PREPARE)) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@$$(MB_I18N_PROJ_PHASEID_PREPARE)) +$(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@$$(MB_I18N_PROJ_PHASEID_PREPARE),$$(MB_I18N_PROJ_PHASEDOC_PREPARE)) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@$$(MB_I18N_PROJ_PHASEID_PREPARE)) @$$(MB_I18N_PROJ_PHASEID_PROCESS): @$$(MB_I18N_PROJ_PHASEID_PREPARE) @process -$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@$$(MB_I18N_PROJ_PHASEID_PROCESS),$$(MB_I18N_PROJ_PHASEDOC_PROCESS)) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@$$(MB_I18N_PROJ_PHASEID_PROCESS)) +$(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@$$(MB_I18N_PROJ_PHASEID_PROCESS),$$(MB_I18N_PROJ_PHASEDOC_PROCESS)) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@$$(MB_I18N_PROJ_PHASEID_PROCESS)) @$$(MB_I18N_PROJ_PHASEID_COMPILE): @$$(MB_I18N_PROJ_PHASEID_PROCESS) @compile -$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@$$(MB_I18N_PROJ_PHASEID_COMPILE),$$(MB_I18N_PROJ_PHASEDOC_COMPILE)) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@$$(MB_I18N_PROJ_PHASEID_COMPILE)) +$(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@$$(MB_I18N_PROJ_PHASEID_COMPILE),$$(MB_I18N_PROJ_PHASEDOC_COMPILE)) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@$$(MB_I18N_PROJ_PHASEID_COMPILE)) @$$(MB_I18N_PROJ_PHASEID_LINK): @$$(MB_I18N_PROJ_PHASEID_COMPILE) @link -$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@$$(MB_I18N_PROJ_PHASEID_LINK),$$(MB_I18N_PROJ_PHASEDOC_LINK)) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@$$(MB_I18N_PROJ_PHASEID_LINK)) +$(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@$$(MB_I18N_PROJ_PHASEID_LINK),$$(MB_I18N_PROJ_PHASEDOC_LINK)) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@$$(MB_I18N_PROJ_PHASEID_LINK)) @$$(MB_I18N_PROJ_PHASEID_BUILD): @$$(MB_I18N_PROJ_PHASEID_LINK) @build -$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@$$(MB_I18N_PROJ_PHASEID_BUILD),$$(MB_I18N_PROJ_PHASEDOC_BUILD)) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@$$(MB_I18N_PROJ_PHASEID_BUILD)) +$(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@$$(MB_I18N_PROJ_PHASEID_BUILD),$$(MB_I18N_PROJ_PHASEDOC_BUILD)) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@$$(MB_I18N_PROJ_PHASEID_BUILD)) @$$(MB_I18N_PROJ_PHASEID_TEST): @$$(MB_I18N_PROJ_PHASEID_BUILD) @test -$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@$$(MB_I18N_PROJ_PHASEID_TEST),$$(MB_I18N_PROJ_PHASEDOC_TEST)) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@$$(MB_I18N_PROJ_PHASEID_TEST)) +$(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@$$(MB_I18N_PROJ_PHASEID_TEST),$$(MB_I18N_PROJ_PHASEDOC_TEST)) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@$$(MB_I18N_PROJ_PHASEID_TEST)) @$$(MB_I18N_PROJ_PHASEID_PACKAGE): @$$(MB_I18N_PROJ_PHASEID_TEST) @package -$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@$$(MB_I18N_PROJ_PHASEID_PACKAGE),$$(MB_I18N_PROJ_PHASEDOC_PACKAGE)) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@$$(MB_I18N_PROJ_PHASEID_PACKAGE)) +$(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@$$(MB_I18N_PROJ_PHASEID_PACKAGE),$$(MB_I18N_PROJ_PHASEDOC_PACKAGE)) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@$$(MB_I18N_PROJ_PHASEID_PACKAGE)) @$$(MB_I18N_PROJ_PHASEID_PACKAGE_QA): @$$(MB_I18N_PROJ_PHASEID_PACKAGE) @package-qa -$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@$$(MB_I18N_PROJ_PHASEID_PACKAGE_QA),$$(MB_I18N_PROJ_PHASEDOC_PACKAGE_QA)) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@$$(MB_I18N_PROJ_PHASEID_PACKAGE_QA)) +$(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@$$(MB_I18N_PROJ_PHASEID_PACKAGE_QA),$$(MB_I18N_PROJ_PHASEDOC_PACKAGE_QA)) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@$$(MB_I18N_PROJ_PHASEID_PACKAGE_QA)) @$$(MB_I18N_PROJ_PHASEID_PACKAGE_DEPLOY): @$$(MB_I18N_PROJ_PHASEID_PACKAGE_QA) @package-deploy -$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@$$(MB_I18N_PROJ_PHASEID_PACKAGE_DEPLOY),$$(MB_I18N_PROJ_PHASEDOC_PACKAGE_DEPLOY)) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@$$(MB_I18N_PROJ_PHASEID_PACKAGE_DEPLOY)) +$(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@$$(MB_I18N_PROJ_PHASEID_PACKAGE_DEPLOY),$$(MB_I18N_PROJ_PHASEDOC_PACKAGE_DEPLOY)) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@$$(MB_I18N_PROJ_PHASEID_PACKAGE_DEPLOY)) @$$(MB_I18N_PROJ_PHASEID_ALL): @$$(MB_I18N_PROJ_PHASEID_PACKAGE_QA) @all -$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@$$(MB_I18N_PROJ_PHASEID_ALL),$$(MB_I18N_PROJ_PHASEDOC_ALL)) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@$$(MB_I18N_PROJ_PHASEID_ALL)) +$(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@$$(MB_I18N_PROJ_PHASEID_ALL),$$(MB_I18N_PROJ_PHASEDOC_ALL)) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@$$(MB_I18N_PROJ_PHASEID_ALL)) .RECIPEPREFIX := $(MB_@RECIPEPREFIX) endef -define _mb_flow_proj_setup_i18n -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_variable,MB_I18N_PROJ_PHASEID_CLEAN) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_variable,MB_I18N_PROJ_PHASEID_INIT) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_variable,MB_I18N_PROJ_PHASEID_PREPARE) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_variable,MB_I18N_PROJ_PHASEID_PROCESS) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_variable,MB_I18N_PROJ_PHASEID_COMPILE) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_variable,MB_I18N_PROJ_PHASEID_LINK) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_variable,MB_I18N_PROJ_PHASEID_BUILD) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_variable,MB_I18N_PROJ_PHASEID_TEST) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_variable,MB_I18N_PROJ_PHASEID_PACKAGE) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_variable,MB_I18N_PROJ_PHASEID_PACKAGE_QA) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_variable,MB_I18N_PROJ_PHASEID_PACKAGE_DEPLOY) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_variable,MB_I18N_PROJ_PHASEID_ALL) -$(MB_ᕽᕽᕽ   )$(eval $(call mb_make_call,_$(0))) +define _mb-flow-proj-setup-i18n +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-variable,MB_I18N_PROJ_PHASEID_CLEAN) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-variable,MB_I18N_PROJ_PHASEID_INIT) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-variable,MB_I18N_PROJ_PHASEID_PREPARE) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-variable,MB_I18N_PROJ_PHASEID_PROCESS) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-variable,MB_I18N_PROJ_PHASEID_COMPILE) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-variable,MB_I18N_PROJ_PHASEID_LINK) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-variable,MB_I18N_PROJ_PHASEID_BUILD) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-variable,MB_I18N_PROJ_PHASEID_TEST) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-variable,MB_I18N_PROJ_PHASEID_PACKAGE) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-variable,MB_I18N_PROJ_PHASEID_PACKAGE_QA) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-variable,MB_I18N_PROJ_PHASEID_PACKAGE_DEPLOY) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-variable,MB_I18N_PROJ_PHASEID_ALL) +$(MB_ᕽᕽᕽ   )$(eval $(call mb-make-call,_$(0))) endef -define __mb_flow_proj_module_run +define __mb-flow-proj-module-run .RECIPEPREFIX := $(MB_@RECIPE) $(1)/@run: $(1)/@build -$(MB_@RECIPE)$$(call mb_make_call,mb_msxpipe_run_gui,$(1),,$(2)) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_run,$(1)/@run,"Run manually with gui.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(1)/@run) +$(MB_@RECIPE)$$(call mb-make-call,mb-msxpipe-run-gui,$(1),,$(2)) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-run,$(1)/@run,"Run manually with gui.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(1)/@run) .RECIPEPREFIX := $(MB_@RECIPEPREFIX) endef -define mb_flow_proj_module_run -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg1,$(0),$(1)) -$(MB_ᕽᕽᕽ   )$(if $(filter $(1)/@run,$(MB_PROJ_FIRE_MODULE_RUN)),,$(eval $(call mb_make_call,__$(0),$(1),$(2)))) +define mb-flow-proj-module-run +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-arg1,$(0),$(1)) +$(MB_ᕽᕽᕽ   )$(if $(filter $(1)/@run,$(MB_PROJ_FIRE_MODULE_RUN)),,$(eval $(call mb-make-call,__$(0),$(1),$(2)))) $(MB_ᕽᕽᕽ   )$(eval MB_PROJ_FIRE_MODULE_RUN +$(MB_MAKE_EQUALS) $(1)/@run) endef -$(call mb_make_call,mb_doc_function_flow,mb_flow_proj_module_run,"Inject flow module @run."," [machine]") +$(call mb-make-call,mb-doc-function-flow,mb-flow-proj-module-run,"Inject flow module @run."," [machine]") -define __mb_flow_proj_prepare_packages +define __mb-flow-proj-prepare-packages .RECIPEPREFIX := $(MB_@RECIPE) $(1)/@@prepare-deps:: $(1)/@msxhub/$(2) $(1)/@msxhub/$(2): -$(MB_@RECIPE)$$(if $$(wildcard $(1)$$(if $(3),/$(3))),,$$(call mb_make_call,mb_os_dir_create,$(1)$$(if $(3),/$(3)))) -$(MB_@RECIPE)$$(call mb_make_call,mb_msxhub_get_$(2),$(1)$$(if $(3),/$(3))) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(1)/@msxhub/$(2)) +$(MB_@RECIPE)$$(if $$(wildcard $(1)$$(if $(3),/$(3))),,$$(call mb-make-call,mb-os-dir-create,$(1)$$(if $(3),/$(3)))) +$(MB_@RECIPE)$$(call mb-make-call,mb-msxhub-get-$(2),$(1)$$(if $(3),/$(3))) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(1)/@msxhub/$(2)) .RECIPEPREFIX := $(MB_@RECIPEPREFIX) endef -define mb_flow_proj_prepare_packages -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg2,$(0),$(1),$(2)) -$(MB_ᕽᕽᕽ   )$(foreach _pack,$(2),$(eval $(call mb_make_call,__$(0),$(1),$(_pack),$(3)))) +define mb-flow-proj-prepare-packages +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-arg2,$(0),$(1),$(2)) +$(MB_ᕽᕽᕽ   )$(foreach _pack,$(2),$(eval $(call mb-make-call,__$(0),$(1),$(_pack),$(3)))) endef -$(call mb_make_call,mb_doc_function_flow,mb_flow_proj_prepare_packages,"Inject package fetch flow for module."," [dest-dir]") +$(call mb-make-call,mb-doc-function-flow,mb-flow-proj-prepare-packages,"Inject package fetch flow for module."," [dest-dir]") diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_sdcc.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_sdcc.mk index 8e76b96..0a16ac4 100644 --- a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_sdcc.mk +++ b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_sdcc.mk @@ -1,32 +1,32 @@ -define __mb_flow_sdcc_bdos_mono +define __mb-flow-sdcc-bdos-mono .RECIPEPREFIX := $(MB_@RECIPE) $(1)/@@compile-deps:: $(1)/$(3).rel $(1)/$(3).rel: $(2)/$(3).asm | $(1)/@prepare -$(MB_@RECIPE)$$(call mb_make_call,mb_sdcc_compile_asm,$$<,$$@) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).rel) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_proj_grow_deps_phase,@compile,$(1)/$(3).rel) +$(MB_@RECIPE)$$(call mb-make-call,mb-sdcc-compile-asm,$$<,$$@) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-deep,$(1)/$(3).rel) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-proj-grow-deps-phase,@compile,$(1)/$(3).rel) $(1)/@@link-deps:: $(1)/$(3).hex $(1)/$(3).hex: $(1)/$(3).rel -$(MB_@RECIPE)$$(call mb_make_call,mb_sdcc_link_asm_bdos,$$<,$$@) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).hex) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_proj_grow_deps_phase,@link,$(1)/$(3).hex) +$(MB_@RECIPE)$$(call mb-make-call,mb-sdcc-link-asm-bdos,$$<,$$@) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-deep,$(1)/$(3).hex) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-proj-grow-deps-phase,@link,$(1)/$(3).hex) $(1)/@@build-deps:: $(1)/$(3).com $(1)/$(3).com: $(1)/$(3).hex -$(MB_@RECIPE)$$(call mb_make_call,mb_sdcc_objcopy,$$<,$$@) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).com) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_proj_grow_deps_phase,@build,$(1)/$(3).com) +$(MB_@RECIPE)$$(call mb-make-call,mb-sdcc-objcopy,$$<,$$@) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-deep,$(1)/$(3).com) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-proj-grow-deps-phase,@build,$(1)/$(3).com) .RECIPEPREFIX := $(MB_@RECIPEPREFIX) endef -define mb_flow_sdcc_bdos_mono -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg3,$(0),$(1),$(2),$(3)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_flow_proj_setup,$(1),@init,$(1)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_flow_proj_module_run,$(1)) -$(MB_ᕽᕽᕽ   )$(eval $(call mb_make_call,__$(0),$(1),$(2),$(3))) +define mb-flow-sdcc-bdos-mono +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-arg3,$(0),$(1),$(2),$(3)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-flow-proj-setup,$(1),@init,$(1)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-flow-proj-module-run,$(1)) +$(MB_ᕽᕽᕽ   )$(eval $(call mb-make-call,__$(0),$(1),$(2),$(3))) endef -$(call mb_make_call,mb_doc_function_flow,mb_flow_sdcc_bdos_mono,"Inject flow of sdcc toolchain bdos mono file module."," ") +$(call mb-make-call,mb-doc-function-flow,mb-flow-sdcc-bdos-mono,"Inject flow of sdcc toolchain bdos mono file module."," ") diff --git a/lib/msxbuild.mk b/lib/msxbuild.mk index 8009f17..dfae229 100644 --- a/lib/msxbuild.mk +++ b/lib/msxbuild.mk @@ -14,6 +14,9 @@ SHELL := bash ifeq ($(origin .RECIPEPREFIX), undefined) $(error This version of make does not support dynamic white space brain fuck mode.) endif +######################################################### +### IN THIS FILE NEVER USE A WHITE SPACE OR TAB SPACE ### +######################################################### # Set some root variable to use in this library MB_@RECIPE := ] @@ -23,7 +26,12 @@ MB_@WORK := /../_work/ MB_@INCLUDE_CHAIN := # Build multiple groups of chains of includes -define __mb_include +define __mb-include +ifdef DEBUG +ifneq ("$$(DEBUG)", "off") +$$(warning DEBUG $(0) ($(1))) +endif +endif .PHONY: $(MB_@BASEPATH)$(1) include $(MB_@BASEPATH)$(1) $(if $(MB_@INCLUDE_CHAIN),$(eval $(MB_@BASEPATH)$(1): $(MB_@INCLUDE_CHAIN))) @@ -32,45 +40,45 @@ endef # Include full library grouped in functional blocks MB_@INCLUDE_CHAIN := @@include-parent -$(eval $(call __mb_include,make/सिस्टमₚᵣₐᵧToੴ/mb_xxx.mk)) -$(eval $(call __mb_include,make/सिस्टमₚᵣₐᵧToੴ/mb_doc.mk)) -$(eval $(call __mb_include,make/सिस्टमₚᵣₐᵧToੴ/mb_make_call.mk)) -$(eval $(call __mb_include,make/सिस्टमₚᵣₐᵧToੴ/mb_make_check.mk)) -$(eval $(call __mb_include,make/सिस्टमₚᵣₐᵧToੴ/mb_make_module.mk)) -$(eval $(call __mb_include,make/सिस्टमₚᵣₐᵧToੴ/mb_make_xml.mk)) -$(eval $(call __mb_include,make/सिस्टमₚᵣₐᵧToੴ/mb_make.mk)) +$(eval $(call __mb-include,make/सिस्टमₚᵣₐᵧToੴ/mb_xxx.mk)) +$(eval $(call __mb-include,make/सिस्टमₚᵣₐᵧToੴ/mb_doc.mk)) +$(eval $(call __mb-include,make/सिस्टमₚᵣₐᵧToੴ/mb_make_call.mk)) +$(eval $(call __mb-include,make/सिस्टमₚᵣₐᵧToੴ/mb_make_check.mk)) +$(eval $(call __mb-include,make/सिस्टमₚᵣₐᵧToੴ/mb_make_module.mk)) +$(eval $(call __mb-include,make/सिस्टमₚᵣₐᵧToੴ/mb_make_xml.mk)) +$(eval $(call __mb-include,make/सिस्टमₚᵣₐᵧToੴ/mb_make.mk)) @@include-libs:: $(MB_@INCLUDE_CHAIN) MB_@INCLUDE_CHAIN := @@include-parent -$(eval $(call __mb_include,make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_os.mk)) -$(eval $(call __mb_include,make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_conv.mk)) -$(eval $(call __mb_include,make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_sdcc.mk)) -$(eval $(call __mb_include,make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_package.mk)) -$(eval $(call __mb_include,make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_nestor80.mk)) +$(eval $(call __mb-include,make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_os.mk)) +$(eval $(call __mb-include,make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_conv.mk)) +$(eval $(call __mb-include,make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_sdcc.mk)) +$(eval $(call __mb-include,make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_package.mk)) +$(eval $(call __mb-include,make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_nestor80.mk)) @@include-libs:: $(MB_@INCLUDE_CHAIN) MB_@INCLUDE_CHAIN := @@include-parent -$(eval $(call __mb_include,make/天房系統擴展/mb_msxrom.mk)) -$(eval $(call __mb_include,make/天房系統擴展/mb_msxhub.mk)) -$(eval $(call __mb_include,make/天房系統擴展/mb_msxpipe.mk)) -$(eval $(call __mb_include,make/天房系統擴展/mb_openmsx.mk)) -$(eval $(call __mb_include,make/天房系統擴展/mb_autoexec.mk)) +$(eval $(call __mb-include,make/天房系統擴展/mb_msxrom.mk)) +$(eval $(call __mb-include,make/天房系統擴展/mb_msxhub.mk)) +$(eval $(call __mb-include,make/天房系統擴展/mb_msxpipe.mk)) +$(eval $(call __mb-include,make/天房系統擴展/mb_openmsx.mk)) +$(eval $(call __mb-include,make/天房系統擴展/mb_autoexec.mk)) @@include-libs:: $(MB_@INCLUDE_CHAIN) MB_@INCLUDE_CHAIN := @@include-parent -$(eval $(call __mb_include,make/𓃬𓍄𓋹𓀭/mb_flow_0module.mk)) -$(eval $(call __mb_include,make/𓃬𓍄𓋹𓀭/mb_flow_help.mk)) -$(eval $(call __mb_include,make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk)) -$(eval $(call __mb_include,make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk)) -$(eval $(call __mb_include,make/𓃬𓍄𓋹𓀭/mb_flow_macro80.mk)) -$(eval $(call __mb_include,make/𓃬𓍄𓋹𓀭/mb_flow_nestor80.mk)) -$(eval $(call __mb_include,make/𓃬𓍄𓋹𓀭/mb_flow_sdcc.mk)) -$(eval $(call __mb_include,make/𓃬𓍄𓋹𓀭/mb_flow_clone.mk)) +$(eval $(call __mb-include,make/𓃬𓍄𓋹𓀭/mb_flow_0module.mk)) +$(eval $(call __mb-include,make/𓃬𓍄𓋹𓀭/mb_flow_help.mk)) +$(eval $(call __mb-include,make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk)) +$(eval $(call __mb-include,make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk)) +$(eval $(call __mb-include,make/𓃬𓍄𓋹𓀭/mb_flow_macro80.mk)) +$(eval $(call __mb-include,make/𓃬𓍄𓋹𓀭/mb_flow_nestor80.mk)) +$(eval $(call __mb-include,make/𓃬𓍄𓋹𓀭/mb_flow_sdcc.mk)) +$(eval $(call __mb-include,make/𓃬𓍄𓋹𓀭/mb_flow_clone.mk)) @@include-libs:: $(MB_@INCLUDE_CHAIN) MB_@INCLUDE_CHAIN := -$(eval $(call __mb_include,make/mb_env.mk)) -$(eval $(call __mb_include,make/mb_flight.mk)) -$(eval $(call __mb_include,make/ i18n/mb_i18n.mk)) +$(eval $(call __mb-include,make/mb_env.mk)) +$(eval $(call __mb-include,make/mb_flight.mk)) +$(eval $(call __mb-include,make/ i18n/mb_i18n.mk)) ifneq ("$(MB_I18N)", "off") $(if $(MB_I18N),$(if $(wildcard $(MB_@BASEPATH)make/ i18n/mb_i18n_$(MB_I18N).mk),,$(error Unsupported i18n language code: $(MB_I18N)))) -$(if $(MB_I18N),$(eval include $(MB_@BASEPATH)make/ i18n/mb_i18n_$(MB_I18N).mk)) +$(eval $(call __mb-include,make/ i18n/mb_i18n_$(MB_I18N).mk)) endif @include:: $(MB_@INCLUDE_CHAIN) MB_@INCLUDE_CHAIN := @@ -81,19 +89,19 @@ MB_@INCLUDE_CHAIN := @include:: @@include-libs @@include-root # Document our internal variables, now we have the functions loaded -$(call mb_make_call,mb_doc_variable_rock,MB_@RECIPE,"Recipe prefix to set and indent flow eval rules.") -$(call mb_make_call,mb_doc_variable_rock,MB_@RECIPEPREFIX,"Recipe prefix to restore to after flow eval rules.") -$(call mb_make_call,mb_doc_variable_rock,MB_@BASEPATH,"Path where msxbuild lib folder is located.") -$(call mb_make_call,mb_doc_variable_rock,MB_@WORK,"Shorthand to move to the work folder of module.") -$(call mb_make_call,mb_doc_variable_rock,MB_@INCLUDE_CHAIN,"Helper to chain includes into groups.") +$(MB_ᕽᕽᕽ )$(call mb-make-call,mb-doc-variable-rock,MB_@RECIPE,"Recipe prefix to set and indent flow eval rules.") +$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-doc-variable-rock,MB_@RECIPEPREFIX,"Recipe prefix to restore to after flow eval rules.") +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-doc-variable-rock,MB_@BASEPATH,"Path where msxbuild lib folder is located.") +$(MB_ᕽᕽᕽ    )$(call mb-make-call,mb-doc-variable-rock,MB_@WORK,"Shorthand to move to the work folder of module.") +$(MB_ᕽᕽᕽ     )$(call mb-make-call,mb-doc-variable-rock,MB_@INCLUDE_CHAIN,"Helper to chain includes into groups.") # Provider+doc easy single function start point for user -define mb_setup_default -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg2,$(0),$(1),$(2)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_flow_doc_help) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_flow_0module_setup,$(2)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_flow_proj_setup,$(1),@include) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_flight_proj_flow_video,$(1)) +define mb-setup-default +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-arg2,$(0),$(1),$(2)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-flow-doc-help) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-flow-0module-include,$(2)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-flow-proj-setup,$(1),@include) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-flight-proj-flow-video,$(1)) endef -$(call mb_make_call,mb_doc_function,mb_setup_default,"Setup default extenstions to configure dynamic project flow."," ") +$(call mb-make-call,mb-doc-function,mb-setup-default,"Setup default extenstions to configure dynamic project flow."," ") diff --git a/src/assert/msxhub/0module100.mk b/src/assert/msxhub/0module100.mk index eec52aa..599567a 100644 --- a/src/assert/msxhub/0module100.mk +++ b/src/assert/msxhub/0module100.mk @@ -1,57 +1,57 @@ -ASSERT_MSXHUB_SRC := $(call mb_make_call,mb_make_module_path_src,$(PATH_SRC)) -ASSERT_MSXHUB_BIN := $(call mb_make_call,mb_make_module_path_bin,$(PATH_SRC),$(PATH_BIN)) +ASSERT_MSXHUB_SRC := $(call mb-make-call,mb-make-module-path-src,$(PATH_SRC)) +ASSERT_MSXHUB_BIN := $(call mb-make-call,mb-make-module-path-bin,$(PATH_SRC),$(PATH_BIN)) ASSERT_MSXHUB_MACHINE ?= Boosted_MSX2+_JP -$(call mb_make_call,mb_doc_variable,ASSERT_MSXHUB_MACHINE,"The machine to run the msxhub module on.") +$(call mb-make-call,mb-doc-variable,ASSERT_MSXHUB_MACHINE,"The machine to run the msxhub module on.") $(ASSERT_MSXHUB_BIN): | @init -_$(MB_ᕽᕽᕽ  )$(if $(wildcard $(ASSERT_MSXHUB_BIN)),,$(call mb_make_call,mb_os_dir_create,$(ASSERT_MSXHUB_BIN))) -_$(MB_ᕽᕽᕽ  )$(if $(wildcard $(ASSERT_MSXHUB_BIN)/utils),,$(call mb_make_call,mb_os_dir_create,$(ASSERT_MSXHUB_BIN)/utils)) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_msxdos2_utils,$(ASSERT_MSXHUB_BIN)/utils) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_nextor_utils,$(ASSERT_MSXHUB_BIN)/utils) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_macro80,$(ASSERT_MSXHUB_BIN)/utils) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_z80asmuk,$(ASSERT_MSXHUB_BIN)/utils) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_wbass2,$(ASSERT_MSXHUB_BIN)/utils) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_konpass,$(ASSERT_MSXHUB_BIN)/utils) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_pmarc,$(ASSERT_MSXHUB_BIN)/utils) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_lhpack,$(ASSERT_MSXHUB_BIN)/utils) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_lhext,$(ASSERT_MSXHUB_BIN)/utils) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_gunzip,$(ASSERT_MSXHUB_BIN)/utils) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_tunzip,$(ASSERT_MSXHUB_BIN)/utils) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_popcom,$(ASSERT_MSXHUB_BIN)/utils) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_make,$(ASSERT_MSXHUB_BIN)/utils) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_adir,$(ASSERT_MSXHUB_BIN)/utils) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_turbo,$(ASSERT_MSXHUB_BIN)/utils) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_baskom,$(ASSERT_MSXHUB_BIN)/utils) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_binldr,$(ASSERT_MSXHUB_BIN)/utils) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_dmphex,$(ASSERT_MSXHUB_BIN)/utils) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_zd,$(ASSERT_MSXHUB_BIN)/utils) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_msxdos2t,$(ASSERT_MSXHUB_BIN)/utils) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_gfxage,$(ASSERT_MSXHUB_BIN)/utils) +_$(MB_ᕽᕽᕽ  )$(if $(wildcard $(ASSERT_MSXHUB_BIN)),,$(call mb-make-call,mb-os-dir-create,$(ASSERT_MSXHUB_BIN))) +_$(MB_ᕽᕽᕽ  )$(if $(wildcard $(ASSERT_MSXHUB_BIN)/utils),,$(call mb-make-call,mb-os-dir-create,$(ASSERT_MSXHUB_BIN)/utils)) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-msxhub-get-msxdos2-utils,$(ASSERT_MSXHUB_BIN)/utils) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-msxhub-get-nextor-utils,$(ASSERT_MSXHUB_BIN)/utils) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-msxhub-get-macro80,$(ASSERT_MSXHUB_BIN)/utils) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-msxhub-get-z80asmuk,$(ASSERT_MSXHUB_BIN)/utils) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-msxhub-get-wbass2,$(ASSERT_MSXHUB_BIN)/utils) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-msxhub-get-konpass,$(ASSERT_MSXHUB_BIN)/utils) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-msxhub-get-pmarc,$(ASSERT_MSXHUB_BIN)/utils) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-msxhub-get-lhpack,$(ASSERT_MSXHUB_BIN)/utils) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-msxhub-get-lhext,$(ASSERT_MSXHUB_BIN)/utils) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-msxhub-get-gunzip,$(ASSERT_MSXHUB_BIN)/utils) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-msxhub-get-tunzip,$(ASSERT_MSXHUB_BIN)/utils) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-msxhub-get-popcom,$(ASSERT_MSXHUB_BIN)/utils) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-msxhub-get-make,$(ASSERT_MSXHUB_BIN)/utils) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-msxhub-get-adir,$(ASSERT_MSXHUB_BIN)/utils) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-msxhub-get-turbo,$(ASSERT_MSXHUB_BIN)/utils) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-msxhub-get-baskom,$(ASSERT_MSXHUB_BIN)/utils) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-msxhub-get-binldr,$(ASSERT_MSXHUB_BIN)/utils) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-msxhub-get-dmphex,$(ASSERT_MSXHUB_BIN)/utils) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-msxhub-get-zd,$(ASSERT_MSXHUB_BIN)/utils) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-msxhub-get-msxdos2t,$(ASSERT_MSXHUB_BIN)/utils) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-msxhub-get-gfxage,$(ASSERT_MSXHUB_BIN)/utils) $(ASSERT_MSXHUB_BIN)/@run: | $(ASSERT_MSXHUB_BIN) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxpipe_run_gui,$(ASSERT_MSXHUB_BIN),,$(ASSERT_MSXHUB_MACHINE)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target_run,$(ASSERT_MSXHUB_BIN)/@run,"Run the dist with all msxhub packages.") -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_target_phony,$(ASSERT_MSXHUB_BIN)/@run) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-msxpipe-run-gui,$(ASSERT_MSXHUB_BIN),,$(ASSERT_MSXHUB_MACHINE)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-doc-target-run,$(ASSERT_MSXHUB_BIN)/@run,"Run the dist with all msxhub packages.") +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-target-phony,$(ASSERT_MSXHUB_BIN)/@run) $(ASSERT_MSXHUB_BIN)/@assert: | $(ASSERT_MSXHUB_BIN) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_file_delete,$(ASSERT_MSXHUB_BIN)/ahello1.out) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_file_delete,$(ASSERT_MSXHUB_BIN)/ahello2.out) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_file_delete,$(ASSERT_MSXHUB_BIN)/utils.out) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_write_default,$(ASSERT_MSXHUB_BIN),336) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_assert,$(ASSERT_MSXHUB_BIN),dir utils > utils.out) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_exit,$(ASSERT_MSXHUB_BIN)) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_openmsx_dosctl,$(ASSERT_MSXHUB_BIN),$(ASSERT_MSXHUB_MACHINE)) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-file-delete,$(ASSERT_MSXHUB_BIN)/ahello1.out) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-file-delete,$(ASSERT_MSXHUB_BIN)/ahello2.out) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-file-delete,$(ASSERT_MSXHUB_BIN)/utils.out) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-write-default,$(ASSERT_MSXHUB_BIN),336) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-append-safe-assert,$(ASSERT_MSXHUB_BIN),dir utils > utils.out) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-append-exit,$(ASSERT_MSXHUB_BIN)) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-openmsx-dosctl,$(ASSERT_MSXHUB_BIN),$(ASSERT_MSXHUB_MACHINE)) _$(MB_ᕽᕽᕽ  )grep -q "KONPASS COM" $(ASSERT_MSXHUB_BIN)/utils.out _$(MB_ᕽᕽᕽ  )grep -q "MAKE COM" $(ASSERT_MSXHUB_BIN)/utils.out -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_echo_assert,$(MB_I18N_ASSERT_SUCCESS) $(ASSERT_MSXHUB_BIN)/@assert) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_proj_grow_assert_success,@test) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_proj_grow_assert_success,@test) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target_assert,$(ASSERT_MSXHUB_BIN)/@assert,"Asserts that all dist artifacts execute results.") -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_proj_grow_deps_phase,@test,$(ASSERT_MSXHUB_BIN)/@assert) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_target_phony,$(ASSERT_MSXHUB_BIN)/@assert) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-echo-assert,$(MB_I18N_ASSERT_SUCCESS) $(ASSERT_MSXHUB_BIN)/@assert) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-proj-grow-assert-success,@test) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-proj-grow-assert-success,@test) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-doc-target-assert,$(ASSERT_MSXHUB_BIN)/@assert,"Asserts that all dist artifacts execute results.") +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-proj-grow-deps-phase,@test,$(ASSERT_MSXHUB_BIN)/@assert) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-target-phony,$(ASSERT_MSXHUB_BIN)/@assert) diff --git a/src/assert/msxrom/0module100.mk b/src/assert/msxrom/0module100.mk index 2f2fa98..987fcc9 100644 --- a/src/assert/msxrom/0module100.mk +++ b/src/assert/msxrom/0module100.mk @@ -1,21 +1,21 @@ -ASSERT_MSXROM_SRC := $(call mb_make_call,mb_make_module_path_src,$(PATH_SRC)) -ASSERT_MSXROM_BIN := $(call mb_make_call,mb_make_module_path_bin,$(PATH_SRC),$(PATH_BIN)) -ASSERT_MSXROM_DEPS := $(call mb_make_call,mb_make_module_local_deps,$(PATH_BIN),$(EXAMPLE_DIST_HELLO_DEPS)) +ASSERT_MSXROM_SRC := $(call mb-make-call,mb-make-module-path-src,$(PATH_SRC)) +ASSERT_MSXROM_BIN := $(call mb-make-call,mb-make-module-path-bin,$(PATH_SRC),$(PATH_BIN)) +ASSERT_MSXROM_DEPS := $(call mb-make-call,mb-make-module-local-deps,$(PATH_BIN),$(EXAMPLE_DIST_HELLO_DEPS)) ASSERT_MSXROM_MATRIX ?= off -$(call mb_make_call,mb_doc_variable,ASSERT_MSXROM_MATRIX,"When on enabled matrix testing of all machines.") +$(call mb-make-call,mb-doc-variable,ASSERT_MSXROM_MATRIX,"When on enabled matrix testing of all machines.") -# Test matrix = 13 * 2 * 4 = 104 assertion tests -$(call mb_make_call,mb_flow_clone_deps,$(ASSERT_MSXROM_BIN),$(EXAMPLE_DIST_HELLO_BIN),$(EXAMPLE_DIST_HELLO_DEPS)) +# Test matrix = 13 * 2 * 4 = 104 assertion tests +$(call mb-make-call,mb-flow-clone-deps,$(ASSERT_MSXROM_BIN),$(EXAMPLE_DIST_HELLO_BIN),$(EXAMPLE_DIST_HELLO_DEPS)) ifeq ("$(ASSERT_MSXROM_MATRIX)", "on") - $(foreach _rom,$(MB_MSXROM_FIRE_MACHINES),$(call mb_make_call,mb_flow_assert_msxpipe_grep_test,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-msxdos2-chk1,hello1,M80,msxdos2_boot,$(_rom))) - $(foreach _rom,$(MB_MSXROM_FIRE_MACHINES),$(call mb_make_call,mb_flow_assert_msxpipe_grep_test,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-msxdos2-chk2,hello2,SDCC,msxdos2_boot,$(_rom))) - $(foreach _rom,$(MB_MSXROM_FIRE_MACHINES),$(call mb_make_call,mb_flow_assert_msxpipe_grep_test,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-msxdos2-chk3,hello3,MAKE,msxdos2_boot,$(_rom))) - $(foreach _rom,$(MB_MSXROM_FIRE_MACHINES),$(call mb_make_call,mb_flow_assert_msxpipe_grep_test,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-msxdos1-chk4,hello4,N80,msxdos2_boot,$(_rom))) - $(foreach _rom,$(MB_MSXROM_FIRE_MACHINES),$(call mb_make_call,mb_flow_assert_msxpipe_grep_test,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-nextor-chk1,hello1,M80,,$(_rom))) - $(foreach _rom,$(MB_MSXROM_FIRE_MACHINES),$(call mb_make_call,mb_flow_assert_msxpipe_grep_test,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-nextor-chk2,hello2,SDCC,,$(_rom))) - $(foreach _rom,$(MB_MSXROM_FIRE_MACHINES),$(call mb_make_call,mb_flow_assert_msxpipe_grep_test,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-nextor-chk3,hello3,MAKE,,$(_rom))) - $(foreach _rom,$(MB_MSXROM_FIRE_MACHINES),$(call mb_make_call,mb_flow_assert_msxpipe_grep_test,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-nextor-chk4,hello4,N80,,$(_rom))) + $(foreach _rom,$(MB_MSXROM_FIRE_MACHINES),$(call mb-make-call,mb-flow-assert-msxpipe-grep-test,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-msxdos2-chk1,hello1,M80,msxdos2_boot,$(_rom))) + $(foreach _rom,$(MB_MSXROM_FIRE_MACHINES),$(call mb-make-call,mb-flow-assert-msxpipe-grep-test,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-msxdos2-chk2,hello2,SDCC,msxdos2_boot,$(_rom))) + $(foreach _rom,$(MB_MSXROM_FIRE_MACHINES),$(call mb-make-call,mb-flow-assert-msxpipe-grep-test,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-msxdos2-chk3,hello3,MAKE,msxdos2_boot,$(_rom))) + $(foreach _rom,$(MB_MSXROM_FIRE_MACHINES),$(call mb-make-call,mb-flow-assert-msxpipe-grep-test,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-msxdos1-chk4,hello4,N80,msxdos2_boot,$(_rom))) + $(foreach _rom,$(MB_MSXROM_FIRE_MACHINES),$(call mb-make-call,mb-flow-assert-msxpipe-grep-test,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-nextor-chk1,hello1,M80,,$(_rom))) + $(foreach _rom,$(MB_MSXROM_FIRE_MACHINES),$(call mb-make-call,mb-flow-assert-msxpipe-grep-test,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-nextor-chk2,hello2,SDCC,,$(_rom))) + $(foreach _rom,$(MB_MSXROM_FIRE_MACHINES),$(call mb-make-call,mb-flow-assert-msxpipe-grep-test,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-nextor-chk3,hello3,MAKE,,$(_rom))) + $(foreach _rom,$(MB_MSXROM_FIRE_MACHINES),$(call mb-make-call,mb-flow-assert-msxpipe-grep-test,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-nextor-chk4,hello4,N80,,$(_rom))) endif diff --git a/src/example/dist-hello-qa-dos1/0module010.mk b/src/example/dist-hello-qa-dos1/0module010.mk index 738c0f3..9e6c506 100644 --- a/src/example/dist-hello-qa-dos1/0module010.mk +++ b/src/example/dist-hello-qa-dos1/0module010.mk @@ -1,21 +1,21 @@ -EXAMPLE_DIST_HELLO_QA_DOS1_SRC := $(call mb_make_call,mb_make_module_path_src,$(PATH_SRC)) -EXAMPLE_DIST_HELLO_QA_DOS1_BIN := $(call mb_make_call,mb_make_module_path_bin,$(PATH_SRC),$(PATH_BIN)) +EXAMPLE_DIST_HELLO_QA_DOS1_SRC := $(call mb-make-call,mb-make-module-path-src,$(PATH_SRC)) +EXAMPLE_DIST_HELLO_QA_DOS1_BIN := $(call mb-make-call,mb-make-module-path-bin,$(PATH_SRC),$(PATH_BIN)) -$(call mb_make_call,mb_flow_clone_deps,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN),$(EXAMPLE_DIST_HELLO_BIN),$(EXAMPLE_DIST_HELLO_DEPS),msxdos1_boot) +$(call mb-make-call,mb-flow-clone-deps,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN),$(EXAMPLE_DIST_HELLO_BIN),$(EXAMPLE_DIST_HELLO_DEPS),msxdos1-boot) $(EXAMPLE_DIST_HELLO_QA_DOS1_BIN)/@assert: $(EXAMPLE_DIST_HELLO_QA_DOS1_BIN)/@build -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_write_default,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN),515) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_assert,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN),hello1) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_assert,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN),hello2) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_assert,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN),hello3) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_assert,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN),hello4) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_exit,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN)) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_openmsx_dosctl,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN)) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_echo_assert,$(MB_I18N_ASSERT_SUCCESS) $(EXAMPLE_DIST_HELLO_QA_DOS1_BIN)/@assert) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target_assert,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN)/@assert,"Assert binaries on msxdos1.") -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_proj_grow_deps_phase,@package-qa,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN)/@assert) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_target_phony,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN)/@assert) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-write-default,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN),515) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-append-safe-assert,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN),hello1) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-append-safe-assert,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN),hello2) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-append-safe-assert,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN),hello3) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-append-safe-assert,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN),hello4) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-append-exit,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN)) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-openmsx-dosctl,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN)) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-echo-assert,$(MB_I18N_ASSERT_SUCCESS) $(EXAMPLE_DIST_HELLO_QA_DOS1_BIN)/@assert) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-doc-target-assert,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN)/@assert,"Assert binaries on msxdos1.") +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-proj-grow-deps-phase,@package-qa,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN)/@assert) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-target-phony,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN)/@assert) diff --git a/src/example/dist-hello-qa-dos2/0module010.mk b/src/example/dist-hello-qa-dos2/0module010.mk index f2ad6a7..a97f6ff 100644 --- a/src/example/dist-hello-qa-dos2/0module010.mk +++ b/src/example/dist-hello-qa-dos2/0module010.mk @@ -1,31 +1,31 @@ -EXAMPLE_DIST_HELLO_QA_DOS2_SRC := $(call mb_make_call,mb_make_module_path_src,$(PATH_SRC)) -EXAMPLE_DIST_HELLO_QA_DOS2_BIN := $(call mb_make_call,mb_make_module_path_bin,$(PATH_SRC),$(PATH_BIN)) +EXAMPLE_DIST_HELLO_QA_DOS2_SRC := $(call mb-make-call,mb-make-module-path-src,$(PATH_SRC)) +EXAMPLE_DIST_HELLO_QA_DOS2_BIN := $(call mb-make-call,mb-make-module-path-bin,$(PATH_SRC),$(PATH_BIN)) -$(call mb_make_call,mb_flow_clone_deps,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN),$(EXAMPLE_DIST_HELLO_BIN),$(EXAMPLE_DIST_HELLO_DEPS),msxdos2_boot) +$(call mb-make-call,mb-flow-clone-deps,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN),$(EXAMPLE_DIST_HELLO_BIN),$(EXAMPLE_DIST_HELLO_DEPS),msxdos2-boot) $(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/@assert: $(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/@build -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_file_delete,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/ahello1.out) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_file_delete,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/ahello2.out) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_write_default,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN),515) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_assert,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN),hello1 > hello1.out) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_assert,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN),hello2 > hello2.out) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_assert,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN),hello3 > hello3.out) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_assert,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN),hello4 > hello4.out) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_exit,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_openmsx_dosctl,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-file-delete,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/ahello1.out) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-file-delete,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/ahello2.out) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-write-default,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN),515) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-append-safe-assert,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN),hello1 > hello1.out) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-append-safe-assert,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN),hello2 > hello2.out) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-append-safe-assert,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN),hello3 > hello3.out) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-append-safe-assert,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN),hello4 > hello4.out) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-append-exit,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-openmsx-dosctl,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)) _$(MB_ᕽᕽᕽ  )grep -q "M80: Hello MSX" $(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/hello1.out _$(MB_ᕽᕽᕽ  )grep -q "SDCC: Hello MSX" $(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/hello2.out _$(MB_ᕽᕽᕽ  )grep -q "MAKE: Hello MSX" $(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/hello3.out _$(MB_ᕽᕽᕽ  )grep -q "N80: Hello MSX" $(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/hello4.out -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_echo_assert,$(MB_I18N_ASSERT_SUCCESS) $(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/@assert) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_proj_grow_assert_success,@package-qa) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_proj_grow_assert_success,@package-qa) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_proj_grow_assert_success,@package-qa) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_proj_grow_assert_success,@package-qa) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target_assert,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/@assert,"Assert binaries on msxdos2.") -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_proj_grow_deps_phase,@package-qa,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/@assert) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_target_phony,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/@assert) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-echo-assert,$(MB_I18N_ASSERT_SUCCESS) $(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/@assert) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-proj-grow-assert-success,@package-qa) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-proj-grow-assert-success,@package-qa) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-proj-grow-assert-success,@package-qa) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-proj-grow-assert-success,@package-qa) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-doc-target-assert,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/@assert,"Assert binaries on msxdos2.") +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-proj-grow-deps-phase,@package-qa,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/@assert) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-target-phony,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/@assert) diff --git a/src/example/dist-hello-qa-msx1/0module010.mk b/src/example/dist-hello-qa-msx1/0module010.mk index 10e9e37..ef4e511 100644 --- a/src/example/dist-hello-qa-msx1/0module010.mk +++ b/src/example/dist-hello-qa-msx1/0module010.mk @@ -1,34 +1,34 @@ -EXAMPLE_DIST_HELLO_QA_MSX1_SRC := $(call mb_make_call,mb_make_module_path_src,$(PATH_SRC)) -EXAMPLE_DIST_HELLO_QA_MSX1_BIN := $(call mb_make_call,mb_make_module_path_bin,$(PATH_SRC),$(PATH_BIN)) +EXAMPLE_DIST_HELLO_QA_MSX1_SRC := $(call mb-make-call,mb-make-module-path-src,$(PATH_SRC)) +EXAMPLE_DIST_HELLO_QA_MSX1_BIN := $(call mb-make-call,mb-make-module-path-bin,$(PATH_SRC),$(PATH_BIN)) EXAMPLE_DIST_HELLO_QA_MSX1_MACHINE ?= Canon_V-20 -$(call mb_make_call,mb_doc_variable,EXAMPLE_DIST_HELLO_QA_MSX1_MACHINE,"The machine to run the msx1 qa module on.") +$(call mb-make-call,mb-doc-variable,EXAMPLE_DIST_HELLO_QA_MSX1_MACHINE,"The machine to run the msx1 qa module on.") -$(call mb_make_call,mb_flow_clone_deps,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN),$(EXAMPLE_DIST_HELLO_BIN),$(EXAMPLE_DIST_HELLO_DEPS),,$(EXAMPLE_DIST_HELLO_QA_MSX1_MACHINE)) +$(call mb-make-call,mb-flow-clone-deps,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN),$(EXAMPLE_DIST_HELLO_BIN),$(EXAMPLE_DIST_HELLO_DEPS),,$(EXAMPLE_DIST_HELLO_QA_MSX1_MACHINE)) $(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/@assert: $(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/@build -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_file_delete,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/ahello1.out) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_file_delete,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/ahello2.out) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_write_default,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN),515) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_assert,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN),hello1 > hello1.out) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_assert,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN),hello2 > hello2.out) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_assert,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN),hello3 > hello3.out) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_assert,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN),hello4 > hello4.out) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_exit,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_openmsx_dosctl,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN),$(EXAMPLE_DIST_HELLO_QA_MSX1_MACHINE)) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-file-delete,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/ahello1.out) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-file-delete,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/ahello2.out) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-write-default,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN),515) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-append-safe-assert,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN),hello1 > hello1.out) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-append-safe-assert,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN),hello2 > hello2.out) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-append-safe-assert,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN),hello3 > hello3.out) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-append-safe-assert,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN),hello4 > hello4.out) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-append-exit,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-openmsx-dosctl,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN),$(EXAMPLE_DIST_HELLO_QA_MSX1_MACHINE)) _$(MB_ᕽᕽᕽ  )grep -q "M80: Hello MSX" $(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/hello1.out _$(MB_ᕽᕽᕽ  )grep -q "SDCC: Hello MSX" $(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/hello2.out _$(MB_ᕽᕽᕽ  )grep -q "MAKE: Hello MSX" $(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/hello3.out _$(MB_ᕽᕽᕽ  )grep -q "N80: Hello MSX" $(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/hello4.out -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_echo_assert,$(MB_I18N_ASSERT_SUCCESS) $(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/@assert) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_proj_grow_assert_success,@package-qa) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_proj_grow_assert_success,@package-qa) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_proj_grow_assert_success,@package-qa) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_proj_grow_assert_success,@package-qa) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target_assert,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/@assert,"Assert binaries on MSX1 machine.") -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_proj_grow_deps_phase,@package-qa,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/@assert) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_target_phony,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/@assert) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-echo-assert,$(MB_I18N_ASSERT_SUCCESS) $(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/@assert) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-proj-grow-assert-success,@package-qa) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-proj-grow-assert-success,@package-qa) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-proj-grow-assert-success,@package-qa) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-proj-grow-assert-success,@package-qa) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-doc-target-assert,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/@assert,"Assert binaries on MSX1 machine.") +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-proj-grow-deps-phase,@package-qa,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/@assert) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-target-phony,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/@assert) diff --git a/src/example/dist-hello/0module001.mk b/src/example/dist-hello/0module001.mk index b9ddd1c..42724b1 100644 --- a/src/example/dist-hello/0module001.mk +++ b/src/example/dist-hello/0module001.mk @@ -1,6 +1,6 @@ -EXAMPLE_DIST_HELLO_SRC := $(call mb_make_call,mb_make_module_path_src,$(PATH_SRC)) -EXAMPLE_DIST_HELLO_BIN := $(call mb_make_call,mb_make_module_path_bin,$(PATH_SRC),$(PATH_BIN)) +EXAMPLE_DIST_HELLO_SRC := $(call mb-make-call,mb-make-module-path-src,$(PATH_SRC)) +EXAMPLE_DIST_HELLO_BIN := $(call mb-make-call,mb-make-module-path-bin,$(PATH_SRC),$(PATH_BIN)) EXAMPLE_DIST_HELLO_OUT := $(PATH_BIN)/example-dist-hello.tar.gz EXAMPLE_DIST_HELLO_DEPS := $(EXAMPLE_DIST_HELLO_BIN)/readme.txt \ $(EXAMPLE_DIST_HELLO_BIN)/hello1.com \ @@ -9,30 +9,30 @@ EXAMPLE_DIST_HELLO_DEPS := $(EXAMPLE_DIST_HELLO_BIN)/readme.txt \ $(EXAMPLE_DIST_HELLO_BIN)/hello4.com $(EXAMPLE_DIST_HELLO_BIN): | @init -_$(MB_ᕽᕽᕽ  )$(if $(wildcard $(EXAMPLE_DIST_HELLO_BIN)),,$(call mb_make_call,mb_os_dir_create,$(EXAMPLE_DIST_HELLO_BIN))) +_$(MB_ᕽᕽᕽ  )$(if $(wildcard $(EXAMPLE_DIST_HELLO_BIN)),,$(call mb-make-call,mb-os-dir-create,$(EXAMPLE_DIST_HELLO_BIN))) $(EXAMPLE_DIST_HELLO_BIN)/readme.txt: $(EXAMPLE_DIST_HELLO_SRC)/readme.txt | $(EXAMPLE_DIST_HELLO_BIN) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_conv_unix2dos,$<,$@) -$(call mb_make_call,mb_proj_grow_deps_phase,@process,$(EXAMPLE_DIST_HELLO_BIN)/readme.txt) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-conv-unix2dos,$<,$@) +$(call mb-make-call,mb-proj-grow-deps-phase,@process,$(EXAMPLE_DIST_HELLO_BIN)/readme.txt) $(EXAMPLE_DIST_HELLO_BIN)/hello1.com: bin/example/hello-macro80/hello.com | $(EXAMPLE_DIST_HELLO_BIN) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_file_copy,$<,$@) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-file-copy,$<,$@) $(EXAMPLE_DIST_HELLO_BIN)/hello2.com: bin/example/hello-sdcc-asm/hello.com | $(EXAMPLE_DIST_HELLO_BIN) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_file_copy,$<,$@) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-file-copy,$<,$@) $(EXAMPLE_DIST_HELLO_BIN)/hello3.com: bin/example/hello-make4msx/hello.com | $(EXAMPLE_DIST_HELLO_BIN) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_file_copy,$<,$@) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-file-copy,$<,$@) $(EXAMPLE_DIST_HELLO_BIN)/hello4.com: bin/example/hello-nestor80/hello.com | $(EXAMPLE_DIST_HELLO_BIN) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_file_copy,$<,$@) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-file-copy,$<,$@) $(EXAMPLE_DIST_HELLO_BIN)/@prepare: | $(EXAMPLE_DIST_HELLO_DEPS) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target,$(EXAMPLE_DIST_HELLO_BIN)/@prepare,"Prepare folder with binaries for distribution.") -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_target_phony,$(EXAMPLE_DIST_HELLO_BIN)/@prepare) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-doc-target,$(EXAMPLE_DIST_HELLO_BIN)/@prepare,"Prepare folder with binaries for distribution.") +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-target-phony,$(EXAMPLE_DIST_HELLO_BIN)/@prepare) $(EXAMPLE_DIST_HELLO_OUT): | $(EXAMPLE_DIST_HELLO_BIN)/@prepare -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_package_create_archive,$(EXAMPLE_DIST_HELLO_BIN),$@) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target,$(EXAMPLE_DIST_HELLO_OUT),"Build archive distribution artifact.") -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_proj_grow_deps_phase,@package,$(EXAMPLE_DIST_HELLO_OUT)) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-package-create-archive,$(EXAMPLE_DIST_HELLO_BIN),$@) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-doc-target,$(EXAMPLE_DIST_HELLO_OUT),"Build archive distribution artifact.") +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-proj-grow-deps-phase,@package,$(EXAMPLE_DIST_HELLO_OUT)) diff --git a/src/example/hello-macro80/0module000.mk b/src/example/hello-macro80/0module000.mk index a6ffb6d..772500f 100644 --- a/src/example/hello-macro80/0module000.mk +++ b/src/example/hello-macro80/0module000.mk @@ -1,9 +1,9 @@ -EXAMPLE_HELLO_MACRO80_SRC := $(call mb_make_call,mb_make_module_path_src,$(PATH_SRC)) -EXAMPLE_HELLO_MACRO80_BIN := $(call mb_make_call,mb_make_module_path_bin,$(PATH_SRC),$(PATH_BIN)) +EXAMPLE_HELLO_MACRO80_SRC := $(call mb-make-call,mb-make-module-path-src,$(PATH_SRC)) +EXAMPLE_HELLO_MACRO80_BIN := $(call mb-make-call,mb-make-module-path-bin,$(PATH_SRC),$(PATH_BIN)) EXAMPLE_HELLO_MACRO80_DEPS := $(EXAMPLE_HELLO_MACRO80_BIN)/hello.com -$(call mb_make_call,mb_flow_macro80_bdos_mono,$(EXAMPLE_HELLO_MACRO80_BIN),$(EXAMPLE_HELLO_MACRO80_SRC),hello) -$(call mb_make_call,mb_flow_assert_msxpipe_grep_test,$(EXAMPLE_HELLO_MACRO80_BIN),$(EXAMPLE_HELLO_MACRO80_DEPS),check-m80,hello,M80) -$(call mb_make_call,mb_flow_assert_msxpipe_grep_test,$(EXAMPLE_HELLO_MACRO80_BIN),$(EXAMPLE_HELLO_MACRO80_DEPS),check-txt,hello,"o MSX world...") +$(call mb-make-call,mb-flow-macro80-bdos-mono,$(EXAMPLE_HELLO_MACRO80_BIN),$(EXAMPLE_HELLO_MACRO80_SRC),hello) +$(call mb-make-call,mb-flow-assert-msxpipe-grep-test,$(EXAMPLE_HELLO_MACRO80_BIN),$(EXAMPLE_HELLO_MACRO80_DEPS),check-m80,hello,M80) +$(call mb-make-call,mb-flow-assert-msxpipe-grep-test,$(EXAMPLE_HELLO_MACRO80_BIN),$(EXAMPLE_HELLO_MACRO80_DEPS),check-txt,hello,"o MSX world...") diff --git a/src/example/hello-make4msx/0module000.mk b/src/example/hello-make4msx/0module000.mk index 5951ef8..46178e1 100644 --- a/src/example/hello-make4msx/0module000.mk +++ b/src/example/hello-make4msx/0module000.mk @@ -1,51 +1,52 @@ -EXAMPLE_HELLO_MAKE4MSX_SRC := $(call mb_make_call,mb_make_module_path_src,$(PATH_SRC)) -EXAMPLE_HELLO_MAKE4MSX_BIN := $(call mb_make_call,mb_make_module_path_bin,$(PATH_SRC),$(PATH_BIN)) +EXAMPLE_HELLO_MAKE4MSX_SRC := $(call mb-make-call,mb-make-module-path-src,$(PATH_SRC)) +EXAMPLE_HELLO_MAKE4MSX_BIN := $(call mb-make-call,mb-make-module-path-bin,$(PATH_SRC),$(PATH_BIN)) $(EXAMPLE_HELLO_MAKE4MSX_BIN): | @init -_$(MB_ᕽᕽᕽ  )$(if $(wildcard $(EXAMPLE_HELLO_MAKE4MSX_BIN)),,$(call mb_make_call,mb_os_dir_create,$(EXAMPLE_HELLO_MAKE4MSX_BIN))) -_$(MB_ᕽᕽᕽ  )$(if $(wildcard $(EXAMPLE_HELLO_MAKE4MSX_BIN)/utils),,$(call mb_make_call,mb_os_dir_create,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/utils)) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_make,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/utils) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_macro80,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/utils) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_z80asmuk,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/utils) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target_deep,$(EXAMPLE_HELLO_MAKE4MSX_BIN)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_target_phony,$(EXAMPLE_HELLO_MAKE4MSX_BIN)) +_$(MB_ᕽᕽᕽ  )$(if $(wildcard $(EXAMPLE_HELLO_MAKE4MSX_BIN)),,$(call mb-make-call,mb-os-dir-create,$(EXAMPLE_HELLO_MAKE4MSX_BIN))) +_$(MB_ᕽᕽᕽ  )$(if $(wildcard $(EXAMPLE_HELLO_MAKE4MSX_BIN)/utils),,$(call mb-make-call,mb-os-dir-create,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/utils)) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-msxhub-get-make,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/utils) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-msxhub-get-macro80,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/utils) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-msxhub-get-z80asmuk,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/utils) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-doc-target-deep,$(EXAMPLE_HELLO_MAKE4MSX_BIN)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-target-phony,$(EXAMPLE_HELLO_MAKE4MSX_BIN)) $(EXAMPLE_HELLO_MAKE4MSX_BIN)/hello.mac: $(EXAMPLE_HELLO_MAKE4MSX_SRC)/hello.mac | $(EXAMPLE_HELLO_MAKE4MSX_BIN) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_conv_unix2dos,$<,$@) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target_deep,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/hello.mac) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_proj_grow_deps_phase,@process,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/hello.mac) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-conv-unix2dos,$<,$@) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-doc-target-deep,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/hello.mac) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-proj-grow-deps-phase,@process,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/hello.mac) $(EXAMPLE_HELLO_MAKE4MSX_BIN)/hello.mf: $(EXAMPLE_HELLO_MAKE4MSX_SRC)/hello.mf $(EXAMPLE_HELLO_MAKE4MSX_BIN)/hello.mac -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_conv_unix2dos,$<,$@) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target_deep,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/hello.mf) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_proj_grow_deps_phase,@process,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/hello.mf) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-conv-unix2dos,$<,$@) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-doc-target-deep,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/hello.mf) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-proj-grow-deps-phase,@process,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/hello.mf) $(EXAMPLE_HELLO_MAKE4MSX_BIN)/build.bat: $(EXAMPLE_HELLO_MAKE4MSX_SRC)/build.bat $(EXAMPLE_HELLO_MAKE4MSX_BIN)/hello.mf -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_conv_unix2dos,$<,$@) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target_deep,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/build.bat) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_proj_grow_deps_phase,@process,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/build.bat) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-conv-unix2dos,$<,$@) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-doc-target-deep,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/build.bat) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-proj-grow-deps-phase,@process,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/build.bat) $(EXAMPLE_HELLO_MAKE4MSX_BIN)/hello.com: $(EXAMPLE_HELLO_MAKE4MSX_BIN)/build.bat -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxpipe_safe_cmd,$(EXAMPLE_HELLO_MAKE4MSX_BIN),build shutdown,,662) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target_deep,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/hello.com) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-msxpipe-safe-cmd,$(EXAMPLE_HELLO_MAKE4MSX_BIN),build shutdown,,662) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-doc-target-deep,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/hello.com) $(EXAMPLE_HELLO_MAKE4MSX_BIN)/@build: $(EXAMPLE_HELLO_MAKE4MSX_BIN)/hello.com -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/@build,"Compiles binary with make on msx.") -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_proj_grow_deps_phase,@build,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/@build) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_target_phony,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/@build) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-doc-target,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/@build,"Compiles binary with make on msx.") +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-proj-grow-deps-phase,@build,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/@build) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-target-phony,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/@build) $(EXAMPLE_HELLO_MAKE4MSX_BIN)/@run: $(EXAMPLE_HELLO_MAKE4MSX_BIN)/@build -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxpipe_run_gui,$(EXAMPLE_HELLO_MAKE4MSX_BIN)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target_run,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/@run,"Run the make build manually.") -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_target_phony,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/@run) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-msxpipe-run-gui,$(EXAMPLE_HELLO_MAKE4MSX_BIN)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-doc-target-run,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/@run,"Run the make build manually.") +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-target-phony,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/@run) -$(call mb_make_call,mb_flow_assert_grep_binary_test,$(EXAMPLE_HELLO_MAKE4MSX_BIN),check-bin,hello.com,MAKE) + +$(call mb-make-call,mb-flow-assert-grep-binary-test,$(EXAMPLE_HELLO_MAKE4MSX_BIN),check-bin,hello.com,MAKE) diff --git a/src/example/hello-nestor80/0module000.mk b/src/example/hello-nestor80/0module000.mk index c5894f8..4a37a75 100644 --- a/src/example/hello-nestor80/0module000.mk +++ b/src/example/hello-nestor80/0module000.mk @@ -1,9 +1,9 @@ -EXAMPLE_HELLO_NESTOR80_SRC := $(call mb_make_call,mb_make_module_path_src,$(PATH_SRC)) -EXAMPLE_HELLO_NESTOR80_BIN := $(call mb_make_call,mb_make_module_path_bin,$(PATH_SRC),$(PATH_BIN)) +EXAMPLE_HELLO_NESTOR80_SRC := $(call mb-make-call,mb-make-module-path-src,$(PATH_SRC)) +EXAMPLE_HELLO_NESTOR80_BIN := $(call mb-make-call,mb-make-module-path-bin,$(PATH_SRC),$(PATH_BIN)) EXAMPLE_HELLO_NESTOR80_DEPS := $(EXAMPLE_HELLO_NESTOR80_BIN)/hello.com -$(call mb_make_call,mb_flow_nestor80_bdos_mono,$(EXAMPLE_HELLO_NESTOR80_BIN),$(EXAMPLE_HELLO_NESTOR80_SRC),hello) -$(call mb_make_call,mb_flow_assert_msxpipe_grep_test,$(EXAMPLE_HELLO_NESTOR80_BIN),$(EXAMPLE_HELLO_NESTOR80_DEPS),check-m80,hello,N80) -$(call mb_make_call,mb_flow_assert_msxpipe_grep_test,$(EXAMPLE_HELLO_NESTOR80_BIN),$(EXAMPLE_HELLO_NESTOR80_DEPS),check-txt,hello,"o MSX world...") +$(call mb-make-call,mb-flow-nestor80-bdos-mono,$(EXAMPLE_HELLO_NESTOR80_BIN),$(EXAMPLE_HELLO_NESTOR80_SRC),hello) +$(call mb-make-call,mb-flow-assert-msxpipe-grep-test,$(EXAMPLE_HELLO_NESTOR80_BIN),$(EXAMPLE_HELLO_NESTOR80_DEPS),check-m80,hello,N80) +$(call mb-make-call,mb-flow-assert-msxpipe-grep-test,$(EXAMPLE_HELLO_NESTOR80_BIN),$(EXAMPLE_HELLO_NESTOR80_DEPS),check-txt,hello,"o MSX world...") diff --git a/src/example/hello-sdcc-asm/0module000.mk b/src/example/hello-sdcc-asm/0module000.mk index 93f8d72..dec6323 100644 --- a/src/example/hello-sdcc-asm/0module000.mk +++ b/src/example/hello-sdcc-asm/0module000.mk @@ -1,9 +1,9 @@ -EXAMPLE_HELLO_SDCC_ASM_SRC := $(call mb_make_call,mb_make_module_path_src,$(PATH_SRC)) -EXAMPLE_HELLO_SDCC_ASM_BIN := $(call mb_make_call,mb_make_module_path_bin,$(PATH_SRC),$(PATH_BIN)) +EXAMPLE_HELLO_SDCC_ASM_SRC := $(call mb-make-call,mb-make-module-path-src,$(PATH_SRC)) +EXAMPLE_HELLO_SDCC_ASM_BIN := $(call mb-make-call,mb-make-module-path-bin,$(PATH_SRC),$(PATH_BIN)) EXAMPLE_HELLO_SDCC_ASM_DEPS := $(EXAMPLE_HELLO_SDCC_ASM_BIN)/hello.com -$(call mb_make_call,mb_flow_sdcc_bdos_mono,$(EXAMPLE_HELLO_SDCC_ASM_BIN),$(EXAMPLE_HELLO_SDCC_ASM_SRC),hello) -$(call mb_make_call,mb_flow_assert_msxpipe_grep_test,$(EXAMPLE_HELLO_SDCC_ASM_BIN),$(EXAMPLE_HELLO_SDCC_ASM_DEPS),check-sdcc,hello,SDCC) -$(call mb_make_call,mb_flow_assert_msxpipe_grep_test,$(EXAMPLE_HELLO_SDCC_ASM_BIN),$(EXAMPLE_HELLO_SDCC_ASM_DEPS),check-hell,hello,Hell) +$(call mb-make-call,mb-flow-sdcc-bdos-mono,$(EXAMPLE_HELLO_SDCC_ASM_BIN),$(EXAMPLE_HELLO_SDCC_ASM_SRC),hello) +$(call mb-make-call,mb-flow-assert-msxpipe-grep-test,$(EXAMPLE_HELLO_SDCC_ASM_BIN),$(EXAMPLE_HELLO_SDCC_ASM_DEPS),check-sdcc,hello,SDCC) +$(call mb-make-call,mb-flow-assert-msxpipe-grep-test,$(EXAMPLE_HELLO_SDCC_ASM_BIN),$(EXAMPLE_HELLO_SDCC_ASM_DEPS),check-hell,hello,Hell) diff --git a/src/tools/gluedos1/0module000.mk b/src/tools/gluedos1/0module000.mk index 5c57dc8..9d72c36 100644 --- a/src/tools/gluedos1/0module000.mk +++ b/src/tools/gluedos1/0module000.mk @@ -1,39 +1,39 @@ -GLUEDOS1_SRC := $(call mb_make_call,mb_make_module_path_src,$(PATH_SRC)) -GLUEDOS1_BIN := $(call mb_make_call,mb_make_module_path_bin,$(PATH_SRC),$(PATH_BIN)) +GLUEDOS1_SRC := $(call mb-make-call,mb-make-module-path-src,$(PATH_SRC)) +GLUEDOS1_BIN := $(call mb-make-call,mb-make-module-path-bin,$(PATH_SRC),$(PATH_BIN)) GLUEDOS1_DIST := lib/emuctl/gluedos1 # Add msxdos1 to override default(nextor) for manual @run target -$(call mb_make_call,mb_flow_proj_prepare_packages,$(GLUEDOS1_BIN),msxdos1_boot) +$(call mb-make-call,mb-flow-proj-prepare-packages,$(GLUEDOS1_BIN),msxdos1-boot) # Compile binaries -$(call mb_make_call,mb_flow_sdcc_bdos_mono,$(GLUEDOS1_BIN),$(GLUEDOS1_SRC),cls) -$(call mb_make_call,mb_flow_sdcc_bdos_mono,$(GLUEDOS1_BIN),$(GLUEDOS1_SRC),echo) -$(call mb_make_call,mb_flow_sdcc_bdos_mono,$(GLUEDOS1_BIN),$(GLUEDOS1_SRC),set) -$(call mb_make_call,mb_flow_sdcc_bdos_mono,$(GLUEDOS1_BIN),$(GLUEDOS1_SRC),ver) +$(call mb-make-call,mb-flow-sdcc-bdos-mono,$(GLUEDOS1_BIN),$(GLUEDOS1_SRC),cls) +$(call mb-make-call,mb-flow-sdcc-bdos-mono,$(GLUEDOS1_BIN),$(GLUEDOS1_SRC),echo) +$(call mb-make-call,mb-flow-sdcc-bdos-mono,$(GLUEDOS1_BIN),$(GLUEDOS1_SRC),set) +$(call mb-make-call,mb-flow-sdcc-bdos-mono,$(GLUEDOS1_BIN),$(GLUEDOS1_SRC),ver) # (Manual) Test binaries $(GLUEDOS1_BIN)/@@test-deps:: $(GLUEDOS1_BIN)/@assert/@test/check-simple $(GLUEDOS1_BIN)/@assert/@test/check-simple: $(GLUEDOS1_BIN)/@build -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_write_default,$(GLUEDOS1_BIN)) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_assert,$(GLUEDOS1_BIN),a:cls.com) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_assert,$(GLUEDOS1_BIN),a:echo.com) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_assert,$(GLUEDOS1_BIN),a:set.com) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_assert,$(GLUEDOS1_BIN),a:ver.com) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_exit,$(GLUEDOS1_BIN)) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_openmsx_dosctl,$(GLUEDOS1_BIN)) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_echo_assert,$(MB_I18N_ASSERT_SUCCESS) $(GLUEDOS1_BIN)/@assert/@test/check-simple) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target_assert,$(GLUEDOS1_BIN)/@assert/@test/check-simple,"Assert binaries on msxdos1.") -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_proj_grow_deps_phase,@test,$(GLUEDOS1_BIN)/@assert/@test/check-simple) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_target_phony,$(GLUEDOS1_BIN)/@assert/@test/check-simple) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-write-default,$(GLUEDOS1_BIN)) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-append-safe-assert,$(GLUEDOS1_BIN),a:cls.com) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-append-safe-assert,$(GLUEDOS1_BIN),a:echo.com) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-append-safe-assert,$(GLUEDOS1_BIN),a:set.com) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-append-safe-assert,$(GLUEDOS1_BIN),a:ver.com) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-append-exit,$(GLUEDOS1_BIN)) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-openmsx-dosctl,$(GLUEDOS1_BIN)) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-echo-assert,$(MB_I18N_ASSERT_SUCCESS) $(GLUEDOS1_BIN)/@assert/@test/check-simple) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-doc-target-assert,$(GLUEDOS1_BIN)/@assert/@test/check-simple,"Assert binaries on msxdos1.") +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-proj-grow-deps-phase,@test,$(GLUEDOS1_BIN)/@assert/@test/check-simple) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-target-phony,$(GLUEDOS1_BIN)/@assert/@test/check-simple) # Release local $(GLUEDOS1_BIN)/@release-local: | $(GLUEDOS1_BIN)/@build -_$(MB_ᕽᕽᕽ  )$(if $(wildcard $(GLUEDOS1_DIST)),,$(call mb_make_call,mb_os_folder_create,$(GLUEDOS1_DIST))) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_file_copy,$(GLUEDOS1_BIN)/cls.com,$(GLUEDOS1_DIST)) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_file_copy,$(GLUEDOS1_BIN)/echo.com,$(GLUEDOS1_DIST)) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_file_copy,$(GLUEDOS1_BIN)/set.com,$(GLUEDOS1_DIST)) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_file_copy,$(GLUEDOS1_BIN)/ver.com,$(GLUEDOS1_DIST)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target,$(GLUEDOS1_BIN)/@release-local,"Updates binary copy in $(GLUEDOS1_DIST) folder.") -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_target_phony,$(GLUEDOS1_BIN)/@release-local) +_$(MB_ᕽᕽᕽ  )$(if $(wildcard $(GLUEDOS1_DIST)),,$(call mb-make-call,mb-os-folder-create,$(GLUEDOS1_DIST))) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-file-copy,$(GLUEDOS1_BIN)/cls.com,$(GLUEDOS1_DIST)) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-file-copy,$(GLUEDOS1_BIN)/echo.com,$(GLUEDOS1_DIST)) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-file-copy,$(GLUEDOS1_BIN)/set.com,$(GLUEDOS1_DIST)) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-file-copy,$(GLUEDOS1_BIN)/ver.com,$(GLUEDOS1_DIST)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-doc-target,$(GLUEDOS1_BIN)/@release-local,"Updates binary copy in $(GLUEDOS1_DIST) folder.") +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-target-phony,$(GLUEDOS1_BIN)/@release-local) diff --git a/src/tools/gluemsx1/0module000.mk b/src/tools/gluemsx1/0module000.mk index 021d20a..241f7f2 100644 --- a/src/tools/gluemsx1/0module000.mk +++ b/src/tools/gluemsx1/0module000.mk @@ -1,14 +1,14 @@ -MBBOOT80_SRC := $(call mb_make_call,mb_make_module_path_src,$(PATH_SRC)) -MBBOOT80_BIN := $(call mb_make_call,mb_make_module_path_bin,$(PATH_SRC),$(PATH_BIN)) -MBBOOT80_DEPS := $(call mb_make_call,mb_make_module_local_deps,$(MBBOOT80_BIN),$(patsubst %.asm,%.com,$(shell ls -1 $(MBBOOT80_SRC)/*.asm))) +MBBOOT80_SRC := $(call mb-make-call,mb-make-module-path-src,$(PATH_SRC)) +MBBOOT80_BIN := $(call mb-make-call,mb-make-module-path-bin,$(PATH_SRC),$(PATH_BIN)) +MBBOOT80_DEPS := $(call mb-make-call,mb-make-module-local-deps,$(MBBOOT80_BIN),$(patsubst %.asm,%.com,$(shell ls -1 $(MBBOOT80_SRC)/*.asm))) -$(call mb_make_call,mb_flow_sdcc_bdos_mono,$(MBBOOT80_BIN),$(MBBOOT80_SRC),mbboot80) -$(call mb_make_call,mb_flow_assert_msxpipe_grep_test,$(MBBOOT80_BIN),$(MBBOOT80_DEPS),check-boot80,mbboot80,"mb::boot mode 80") +$(call mb-make-call,mb-flow-sdcc-bdos-mono,$(MBBOOT80_BIN),$(MBBOOT80_SRC),mbboot80) +$(call mb-make-call,mb-flow-assert-msxpipe-grep-test,$(MBBOOT80_BIN),$(MBBOOT80_DEPS),check-boot80,mbboot80,"mb::boot mode 80") $(MBBOOT80_BIN)/@release-local: | $(MBBOOT80_BIN)/@build -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_file_copy,$(MBBOOT80_BIN)/mbboot80.com,lib/emuctl/mbboot80.com) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target,$(MBBOOT80_BIN)/@release-local,"Updates binary copy in lib/emuctl folder.") -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_target_phony,$(MBBOOT80_BIN)/@release-local) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-file-copy,$(MBBOOT80_BIN)/mbboot80.com,lib/emuctl/mbboot80.com) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-doc-target,$(MBBOOT80_BIN)/@release-local,"Updates binary copy in lib/emuctl folder.") +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-target-phony,$(MBBOOT80_BIN)/@release-local) From fbdbdd5b28f014a721c34879905062eb8ce988c4 Mon Sep 17 00:00:00 2001 From: Willem Date: Tue, 16 Jul 2024 16:59:36 +0200 Subject: [PATCH 226/274] Fixed wordign. --- README.md | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/README.md b/README.md index 1eaae34..383f8f6 100644 --- a/README.md +++ b/README.md @@ -146,12 +146,12 @@ When you want to see whats happening do a debug run; ## Internal conventions make -* A library MUST use variables for indenting zero tab or whitespace +* A library MUST use variables for indenting, zero tab space or white space * Internal things MUST start with an under score * Variables MUST be in upper case * Variables MUST use an under score as separator * File targets MUST be in a relative binary build folder -* None file targets MUST start with at-sign with optional build folder +* None file targets MUST start with at-sign with optional build folder prefix * None file targets MUST separate words with an hyphen * Define eval flow MUST start with two underscores * Eval flow MUST be injected by a function From 729023d32b73766213c5afb80d5092ada393e87e Mon Sep 17 00:00:00 2001 From: Willem Date: Tue, 16 Jul 2024 17:20:47 +0200 Subject: [PATCH 227/274] Use internal make file function to empty an file. --- lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_os.mk | 12 +++++++++--- lib/make/天房系統擴展/mb_autoexec.mk | 2 +- 2 files changed, 10 insertions(+), 4 deletions(-) diff --git a/lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_os.mk b/lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_os.mk index 8485a80..a6663bd 100644 --- a/lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_os.mk +++ b/lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_os.mk @@ -42,10 +42,16 @@ endif endif -define mb-os-file-create -$(MB_ᕽᕽᕽ   )echo "" > $(1) +define mb-os-file-empty +$(MB_ᕽᕽᕽ   )$(file >$(1)) endef -$(call mb-make-call,mb-doc-function,mb-os-file-create,"Creates an empty file.","") +$(call mb-make-call,mb-doc-function,mb-os-file-empty,"Makes an file empty.","") + + +define mb-os-file-append +$(MB_ᕽᕽᕽ   )$(file >>$(1),$(2)) +endef +$(call mb-make-call,mb-doc-function,mb-os-file-append,"Appends text to an file"," ") define mb-os-file-copy diff --git a/lib/make/天房系統擴展/mb_autoexec.mk b/lib/make/天房系統擴展/mb_autoexec.mk index 2231e3e..c083d59 100644 --- a/lib/make/天房系統擴展/mb_autoexec.mk +++ b/lib/make/天房系統擴展/mb_autoexec.mk @@ -156,7 +156,7 @@ endef define mb-autoexec-write-default $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-os-echo-remark,$(MB_I18N_AUTOEXEC_WRITE) $@) -$(MB_ᕽᕽᕽ   )@echo -n "" > $(1)/autoexec.bat +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-os-file-empty,$(1)/autoexec.bat) $(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)/utils),$(call mb-make-call,_mb-autoexec-write-preboot,$(1),utils\,$(2),$(3)),$(call mb-make-call,_mb-autoexec-write-preboot,$(1),,$(2),$(3))) $(MB_ᕽᕽᕽ   )$(if $(filter on,$(MB_AUTOEXEC_SHOW_HOST)),$(call mb-make-call,mb-autoexec-append-cmd,$(1),omsxctl boot_exec_show_host)) $(MB_ᕽᕽᕽ   )$(if $(MB_AUTOEXEC_STARTUP_NOTE),$(call mb-make-call,mb-autoexec-append-echo,$(1),mb::note $(MB_AUTOEXEC_STARTUP_NOTE))) From 5f25388ec7bc4b4b9937e0281f49b041b2296c25 Mon Sep 17 00:00:00 2001 From: Willem Date: Tue, 16 Jul 2024 17:36:22 +0200 Subject: [PATCH 228/274] Added missing i18n for 2 lastest check functions. --- lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_check.mk | 4 ++-- lib/make/ i18n/mb_i18n.mk | 6 ++++++ lib/make/ i18n/mb_i18n_BASIC.mk | 2 ++ lib/make/ i18n/mb_i18n_iu.mk | 2 ++ lib/make/ i18n/mb_i18n_ja.mk | 2 ++ 5 files changed, 14 insertions(+), 2 deletions(-) diff --git a/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_check.mk b/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_check.mk index ed20a0a..cdfa96f 100644 --- a/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_check.mk +++ b/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_check.mk @@ -1,12 +1,12 @@ define mb-make-check-variable -$(MB_ᕽᕽᕽ   )$(if $($(1)),,$(error $(1): Is empty)) +$(MB_ᕽᕽᕽ   )$(if $($(1)),,$(error $(1): $(MB_I18N_MAKE_CHECK_VARIABLE))) endef $(call mb-make-call,mb-doc-function-deep,mb-make-check-variable,"Checks that a variable is not empty.","") define mb-make-check-value-valid -$(MB_ᕽᕽᕽ   )$(if $(filter $(2),$(3)),,$(error $(1): $(2) Is not valid, options are: $(3))) +$(MB_ᕽᕽᕽ   )$(if $(filter $(2),$(3)),,$(error $(1): $(MB_I18N_MAKE_CHECK_VALUE_VALID) $(2) $(3))) endef $(call mb-make-call,mb-doc-function-deep,mb-make-check-value-valid,"Checks that a value is valid."," ") diff --git a/lib/make/ i18n/mb_i18n.mk b/lib/make/ i18n/mb_i18n.mk index 258d489..e8691d8 100644 --- a/lib/make/ i18n/mb_i18n.mk +++ b/lib/make/ i18n/mb_i18n.mk @@ -20,6 +20,12 @@ $(call mb-make-call,mb-doc-variable-i18n,MB_I18N_CONV_DOS2UNIX,"Message for when MB_I18N_PACKAGE_CREATE_ARCHIVE ?= Build distribution archive $(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PACKAGE_CREATE_ARCHIVE,"Message for when creating a archive.") +MB_I18N_MAKE_CHECK_VARIABLE ?= Variable is empty +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_MAKE_CHECK_VARIABLE,"Error message when an variable is empty.") + +MB_I18N_MAKE_CHECK_VALUE_VALID ?= Value is not valid +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_MAKE_CHECK_VALID_VALUE,"Error message when the value is invalid.") + MB_I18N_MAKE_CHECK_ARG1 ?= Argument 1 is missing $(call mb-make-call,mb-doc-variable-i18n,MB_I18N_MAKE_CHECK_ARG1,"Error message when argument 1 is missing.") diff --git a/lib/make/ i18n/mb_i18n_BASIC.mk b/lib/make/ i18n/mb_i18n_BASIC.mk index 3b07e7a..9a4cabd 100644 --- a/lib/make/ i18n/mb_i18n_BASIC.mk +++ b/lib/make/ i18n/mb_i18n_BASIC.mk @@ -5,6 +5,8 @@ MB_I18N_AUTOEXEC_WRITE = WRITE BOOSTER: MB_I18N_CONV_UNIX2DOS = CONV UNIX2DOS: MB_I18N_CONV_DOS2UNIX = CONV DOS2UNIX: MB_I18N_PACKAGE_CREATE_ARCHIVE = BUILD ARCHIVE: +MB_I18N_MAKE_CHECK_VARIABLE = VARIABLE EMPTY +MB_I18N_MAKE_CHECK_VALUE_VALID = VALUE ERROR MB_I18N_MAKE_CHECK_ARG1 = ARG 1 FAIL MB_I18N_MAKE_CHECK_ARG2 = ARG 2 FAIL MB_I18N_MAKE_CHECK_ARG3 = ARG 3 FAIL diff --git a/lib/make/ i18n/mb_i18n_iu.mk b/lib/make/ i18n/mb_i18n_iu.mk index d90b8ca..7513092 100644 --- a/lib/make/ i18n/mb_i18n_iu.mk +++ b/lib/make/ i18n/mb_i18n_iu.mk @@ -5,6 +5,8 @@ MB_I18N_AUTOEXEC_WRITE = ᑎᑎᕋᑲᐅᑎᒋᓂᖅ ᑲᒫᓗᖕᒥᒃ MB_I18N_CONV_UNIX2DOS = ᐅᐊᔭᐅᑉ ᐃᓱᐊᓄᐊᕐᓗᒍ MB_I18N_CONV_DOS2UNIX = ᑐᑭᓕᐊᖓᔪᓐᓃᕐᓗᓂ MB_I18N_PACKAGE_CREATE_ARCHIVE = ᓴᓇᓗᑎᒃ ᑐᖅᑯᐃᕕᒃᓴᓂᑦ +MB_I18N_MAKE_CHECK_VARIABLE = ᐊᓯᔾᔨᖅᑕᖅᑐᑦ ᐱᑕᖃᙱᓐᓂᐅᔪᑦ +MB_I18N_MAKE_CHECK_VALUE_VALID = ᐊᑐᙱᓐᓂᖓ ᖃᔅᓯᐅᓂᖓ MB_I18N_MAKE_CHECK_ARG1 = ᐊᐃᕙᔾᔪᑎ ᐊᑕᐅᓯᖅ ᐊᔪᖅᑐᖅ MB_I18N_MAKE_CHECK_ARG2 = ᐊᐃᕙᔾᔪᑎ ᒪᕐᕉᒃ ᐊᔪᖅᑑᒃ MB_I18N_MAKE_CHECK_ARG3 = ᐊᐃᕙᐅᑎ ᐱᖓᓱᑦ ᐊᔪᖅᑐᑦ diff --git a/lib/make/ i18n/mb_i18n_ja.mk b/lib/make/ i18n/mb_i18n_ja.mk index 29f66a0..4505f24 100644 --- a/lib/make/ i18n/mb_i18n_ja.mk +++ b/lib/make/ i18n/mb_i18n_ja.mk @@ -5,6 +5,8 @@ MB_I18N_AUTOEXEC_WRITE = 自動実行の書き込み MB_I18N_CONV_UNIX2DOS = ディスクシステムの行末に変換 MB_I18N_CONV_DOS2UNIX = メインフレームラインエンドに変換 MB_I18N_PACKAGE_CREATE_ARCHIVE = ビルド配布アーカイブ +MB_I18N_MAKE_CHECK_VARIABLE = 変数が空です +MB_I18N_MAKE_CHECK_VALUE_VALID = 値が無効です MB_I18N_MAKE_CHECK_ARG1 = 引数 一 がありません MB_I18N_MAKE_CHECK_ARG2 = 引数 二 がありません MB_I18N_MAKE_CHECK_ARG3 = 引数 三 がありません From ff16e6b5cbce5a2354c25249d0a3270344079cd0 Mon Sep 17 00:00:00 2001 From: Willem Date: Tue, 16 Jul 2024 17:43:14 +0200 Subject: [PATCH 229/274] Fixed i18n MB_I18N_MAKE_CHECK_VALUE_VALID doc. --- lib/make/ i18n/mb_i18n.mk | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/lib/make/ i18n/mb_i18n.mk b/lib/make/ i18n/mb_i18n.mk index e8691d8..ea55eac 100644 --- a/lib/make/ i18n/mb_i18n.mk +++ b/lib/make/ i18n/mb_i18n.mk @@ -24,7 +24,7 @@ MB_I18N_MAKE_CHECK_VARIABLE ?= Variable is empty $(call mb-make-call,mb-doc-variable-i18n,MB_I18N_MAKE_CHECK_VARIABLE,"Error message when an variable is empty.") MB_I18N_MAKE_CHECK_VALUE_VALID ?= Value is not valid -$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_MAKE_CHECK_VALID_VALUE,"Error message when the value is invalid.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_MAKE_CHECK_VALUE_VALID,"Error message when the value is invalid.") MB_I18N_MAKE_CHECK_ARG1 ?= Argument 1 is missing $(call mb-make-call,mb-doc-variable-i18n,MB_I18N_MAKE_CHECK_ARG1,"Error message when argument 1 is missing.") From 760ebffb6f0f041eaa64c4e0e6311a6188965344 Mon Sep 17 00:00:00 2001 From: Willem Date: Tue, 16 Jul 2024 19:32:08 +0200 Subject: [PATCH 230/274] Moved all quoting of docs to print functions. --- lib/make/mb_env.mk | 2 +- lib/make/mb_flight.mk | 24 ++-- lib/make/सिस्टमₚᵣₐᵧToੴ/mb_doc.mk | 80 ++++++------- lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make.mk | 26 ++--- lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_call.mk | 4 +- lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_check.mk | 14 +-- lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_module.mk | 6 +- lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_xml.mk | 20 ++-- lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_conv.mk | 4 +- lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_nestor80.mk | 26 ++--- lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_os.mk | 48 ++++---- lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_package.mk | 2 +- lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_sdcc.mk | 34 +++--- lib/make/ i18n/mb_i18n.mk | 112 +++++++++---------- lib/make/天房系統擴展/mb_autoexec.mk | 70 ++++++------ lib/make/天房系統擴展/mb_msxhub.mk | 54 ++++----- lib/make/天房系統擴展/mb_msxpipe.mk | 20 ++-- lib/make/天房系統擴展/mb_msxrom.mk | 54 ++++----- lib/make/天房系統擴展/mb_openmsx.mk | 34 +++--- lib/make/𓃬𓍄𓋹𓀭/mb_flow_0module.mk | 2 +- lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk | 12 +- lib/make/𓃬𓍄𓋹𓀭/mb_flow_clone.mk | 2 +- lib/make/𓃬𓍄𓋹𓀭/mb_flow_help.mk | 63 +++++------ lib/make/𓃬𓍄𓋹𓀭/mb_flow_macro80.mk | 2 +- lib/make/𓃬𓍄𓋹𓀭/mb_flow_nestor80.mk | 2 +- lib/make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk | 56 +++++----- lib/make/𓃬𓍄𓋹𓀭/mb_flow_sdcc.mk | 2 +- lib/msxbuild.mk | 12 +- src/assert/msxhub/0module100.mk | 6 +- src/assert/msxrom/0module100.mk | 2 +- src/example/dist-hello-qa-dos1/0module010.mk | 2 +- src/example/dist-hello-qa-dos2/0module010.mk | 2 +- src/example/dist-hello-qa-msx1/0module010.mk | 4 +- src/example/dist-hello/0module001.mk | 4 +- src/example/hello-make4msx/0module000.mk | 4 +- src/tools/gluedos1/0module000.mk | 4 +- src/tools/gluemsx1/0module000.mk | 4 +- 37 files changed, 410 insertions(+), 409 deletions(-) diff --git a/lib/make/mb_env.mk b/lib/make/mb_env.mk index 2db9be6..bf0d616 100644 --- a/lib/make/mb_env.mk +++ b/lib/make/mb_env.mk @@ -1,6 +1,6 @@ MB_ENV ?= on -$(call mb-make-call,mb-doc-variable,MB_ENV,"Enables VERBOSE and DEBUG variable parsing.") +$(call mb-make-call,mb-doc-variable,MB_ENV,Enables VERBOSE and DEBUG variable parsing.) # diff --git a/lib/make/mb_flight.mk b/lib/make/mb_flight.mk index d56c281..d7618e8 100644 --- a/lib/make/mb_flight.mk +++ b/lib/make/mb_flight.mk @@ -1,21 +1,21 @@ MB_FLIGHT_SCREEN ?= off -$(call mb-make-call,mb-doc-variable,MB_FLIGHT_SCREEN,"Creates screenshots on exit of openMSX.") +$(call mb-make-call,mb-doc-variable,MB_FLIGHT_SCREEN,Creates screenshots on exit of openMSX.) MB_FLIGHT_VIDEO ?= off -$(call mb-make-call,mb-doc-variable,MB_FLIGHT_VIDEO,"Create video of every build pipe session.") +$(call mb-make-call,mb-doc-variable,MB_FLIGHT_VIDEO,Create video of every build pipe session.) MB_FLIGHT_PREFIX ?= msxbuild -$(call mb-make-call,mb-doc-variable,MB_FLIGHT_PREFIX,"Prefix for video and screenshot flight files.") +$(call mb-make-call,mb-doc-variable,MB_FLIGHT_PREFIX,Prefix for video and screenshot flight files.) MB_FLIGHT_SEPERATOR ?= - -$(call mb-make-call,mb-doc-variable,MB_FLIGHT_SEPERATOR,"File and index number seperator for flight files.") +$(call mb-make-call,mb-doc-variable,MB_FLIGHT_SEPERATOR,File and index number seperator for flight files.) MB_FLIGHT_RECORD_FLAG ?= -doublesize -$(call mb-make-call,mb-doc-variable,MB_FLIGHT_RECORD_FLAG,"Command parameter flag of the video recorder.") +$(call mb-make-call,mb-doc-variable,MB_FLIGHT_RECORD_FLAG,Command parameter flag of the video recorder.) MB_FLIGHT_VIDEO_NAME ?= night-flight -$(call mb-make-call,mb-doc-variable,MB_FLIGHT_VIDEO_NAME,"Video file name of the final result merged video.") +$(call mb-make-call,mb-doc-variable,MB_FLIGHT_VIDEO_NAME,Video file name of the final result merged video.) define mb-flight-video-merge @@ -25,7 +25,7 @@ $(MB_ᕽᕽᕽ   )find $(1) -type f -name '*.avi' -printf '%T@ %Tc %p\n' | $(MB_ᕽᕽᕽ   )ffmpeg -v quiet -y -f concat -safe 0 -i $(1)/$(MB_FLIGHT_VIDEO_NAME).lst -c copy $(1)/$(MB_FLIGHT_VIDEO_NAME).avi $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-os-echo-remark,Flight video completed) endef -$(call mb-make-call,mb-doc-function-deep,mb-flight-video-merge,"Merged all flight videos to one.","") +$(call mb-make-call,mb-doc-function-deep,mb-flight-video-merge,Merged all flight videos to one.,) define __mb-flight-proj-flow-video @@ -39,7 +39,7 @@ $(MB_@RECIPE)$$(if $$(filter on,$$(MB_FLIGHT_VIDEO)),$$(call mb-make-call,mb-fli $(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-before) $(MB_@RECIPE)MB_OPENMSX_HEADLESS$(MB_MAKE_EQUALS)off MB_FLIGHT_VIDEO$(MB_MAKE_EQUALS)on $$(MAKE) -s @@flight-video-build $(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) -$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@flight-video-build,"Runs @clean and @build with recorder and merges flight videos to one.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@flight-video-build,Runs @clean and @build with recorder and merges flight videos to one.) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@flight-video-build) @@ -51,7 +51,7 @@ $(MB_@RECIPE)$$(if $$(filter on,$$(MB_FLIGHT_VIDEO)),$$(call mb-make-call,mb-fli $(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-before) $(MB_@RECIPE)MB_OPENMSX_HEADLESS$(MB_MAKE_EQUALS)off MB_FLIGHT_VIDEO$(MB_MAKE_EQUALS)on $$(MAKE) @@flight-video-test $(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) -$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@flight-video-test,"Runs @clean and @test with recorder and merges flight videos to one.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@flight-video-test,Runs @clean and @test with recorder and merges flight videos to one.) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@flight-video-test) @@ -63,7 +63,7 @@ $(MB_@RECIPE)$$(if $$(filter on,$$(MB_FLIGHT_VIDEO)),$$(call mb-make-call,mb-fli $(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-before) $(MB_@RECIPE)MB_OPENMSX_HEADLESS$(MB_MAKE_EQUALS)off MB_FLIGHT_VIDEO$(MB_MAKE_EQUALS)on $$(MAKE) @@flight-video-package-qa $(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) -$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@flight-video-package-qa,"Runs @clean and @package-qa with recorder and merges flight videos to one.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@flight-video-package-qa,Runs @clean and @package-qa with recorder and merges flight videos to one.) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@flight-video-package-qa) @@ -75,7 +75,7 @@ $(MB_@RECIPE)$$(if $$(filter on,$$(MB_FLIGHT_VIDEO)),$$(call mb-make-call,mb-fli $(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-before) $(MB_@RECIPE)MB_OPENMSX_HEADLESS$(MB_MAKE_EQUALS)off MB_FLIGHT_VIDEO$(MB_MAKE_EQUALS)on $$(MAKE) @@flight-video-all $(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) -$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@flight-video-all,"Runs @clean and @all with recorder and merges flight videos to one.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@flight-video-all,Runs @clean and @all with recorder and merges flight videos to one.) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@flight-video-all) .RECIPEPREFIX := $(MB_@RECIPEPREFIX) @@ -84,5 +84,5 @@ define mb-flight-proj-flow-video $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-arg1,$(0),$(1)) $(MB_ᕽᕽᕽ   )$(eval $(call mb-make-call,__$(0),$(1))) endef -$(call mb-make-call,mb-doc-function-flow,mb-flight-proj-flow-video,"Prints flow for adding flight video targets in project build cycle.","") +$(call mb-make-call,mb-doc-function-flow,mb-flight-proj-flow-video,Prints flow for adding flight video targets in project build cycle.,) diff --git a/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_doc.mk b/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_doc.mk index da76830..6551560 100644 --- a/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_doc.mk +++ b/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_doc.mk @@ -1,74 +1,76 @@ MB_DOC_FIRE_VARIABLE_FLOW ?= \\n -#*** Recursive variable: MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_VARIABLE_FLOW,"Flow builder of fire flow variable data.") +#*** Recursive variable: MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_VARIABLE_FLOW,Flow builder of fire flow variable data.) MB_DOC_FIRE_VARIABLE ?= \\n -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_VARIABLE,"Flow builder of firemake variable data.") +MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_VARIABLE,Flow builder of firemake variable data.) MB_DOC_FIRE_VARIABLE_DEEP ?= \\n -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_VARIABLE_DEEP,"Flow builder of makefire deep variable data.") +MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_VARIABLE_DEEP,Flow builder of makefire deep variable data.) MB_DOC_FIRE_VARIABLE_ROCK ?= \\n -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_VARIABLE_ROCK,"Flow builder of makefire rock variable data.") +MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_VARIABLE_ROCK,Flow builder of makefire rock variable data.) MB_DOC_FIRE_VARIABLE_I18N ?= \\n -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_VARIABLE_I18N,"Flow builder of makefire i18n variable data.") +MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_VARIABLE_I18N,Flow builder of makefire i18n variable data.) MB_DOC_FIRE_FUNCTION ?= \\n -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_FUNCTION,"Flow builder of firemake function data.") +MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_FUNCTION,Flow builder of firemake function data.) MB_DOC_FIRE_FUNCTION_DEEP ?= \\n -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_FUNCTION_DEEP,"Flow builder of firemake deep function data.") +MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_FUNCTION_DEEP,Flow builder of firemake deep function data.) MB_DOC_FIRE_FUNCTION_FLOW ?= \\n -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_FUNCTION_FLOW,"Flow builder of firemake flow function data.") +MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_FUNCTION_FLOW,Flow builder of firemake flow function data.) MB_DOC_FIRE_TARGET ?= \\n -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_TARGET,"Flow builder of firemake target data.") +MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_TARGET,Flow builder of firemake target data.) MB_DOC_FIRE_TARGET_DEEP ?= \\n -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_TARGET_DEEP,"Flow builder of firemake deep target data.") +MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_TARGET_DEEP,Flow builder of firemake deep target data.) MB_DOC_FIRE_TARGET_RUN ?= \\n -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_TARGET_RUN,"Flow builder of firemake run target data.") +MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_TARGET_RUN,Flow builder of firemake run target data.) MB_DOC_FIRE_TARGET_MODULE ?= \\n -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_TARGET_MODULE,"Flow builder of firemake module target data.") +MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_TARGET_MODULE,Flow builder of firemake module target data.) MB_DOC_FIRE_TARGET_ASSERT ?= \\n -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_TARGET_ASSERT,"Flow builder of firemake assert target data.") +MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_TARGET_ASSERT,Flow builder of firemake assert target data.) MB_DOC_FIRE_TARGET_HELP ?= \\n -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_TARGET_HELP,"Flow builder of firemake help data.") +MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_TARGET_HELP,Flow builder of firemake help data.) MB_DOC_XML_ROOT := firemake -MB_DOC_FIRE_VARIABLE_ROCK += $(call mb-make-call,_mb-doc-variable4u-rock,MB_DOC_XML_ROOT,"XML root tag for firemake output.") +MB_DOC_FIRE_VARIABLE_ROCK += $(call mb-make-call,_mb-doc-variable4u-rock,MB_DOC_XML_ROOT,XML root tag for firemake output.) MB_DOC_XML_ATTR_NAME := name -MB_DOC_FIRE_VARIABLE_ROCK += $(call mb-make-call,_mb-doc-variable4u-rock,MB_DOC_XML_ATTR_NAME,"XML name attribute for firemake output.") +MB_DOC_FIRE_VARIABLE_ROCK += $(call mb-make-call,_mb-doc-variable4u-rock,MB_DOC_XML_ATTR_NAME,XML name attribute for firemake output.) MB_DOC_XML_ATTR_LEVEL := level -MB_DOC_FIRE_VARIABLE_ROCK += $(call mb-make-call,_mb-doc-variable4u-rock,MB_DOC_XML_ATTR_LEVEL,"XML level attribute for firemake output.") +MB_DOC_FIRE_VARIABLE_ROCK += $(call mb-make-call,_mb-doc-variable4u-rock,MB_DOC_XML_ATTR_LEVEL,XML level attribute for firemake output.) MB_DOC_XML_TAG_ARGS := arguments -MB_DOC_FIRE_VARIABLE_ROCK += $(call mb-make-call,_mb-doc-variable4u-rock,MB_DOC_XML_TAG_ARGS,"XML arguments tag for firemake output.") +MB_DOC_FIRE_VARIABLE_ROCK += $(call mb-make-call,_mb-doc-variable4u-rock,MB_DOC_XML_TAG_ARGS,XML arguments tag for firemake output.) MB_DOC_XML_TAG_DESC := description -MB_DOC_FIRE_VARIABLE_ROCK += $(call mb-make-call,_mb-doc-variable4u-rock,MB_DOC_XML_TAG_DESC,"XML description tag for firemake output.") +MB_DOC_FIRE_VARIABLE_ROCK += $(call mb-make-call,_mb-doc-variable4u-rock,MB_DOC_XML_TAG_DESC,XML description tag for firemake output.) MB_DOC_XML_TAG_VALUE := value -MB_DOC_FIRE_VARIABLE_ROCK += $(call mb-make-call,_mb-doc-variable4u-rock,MB_DOC_XML_TAG_VALUE,"XML value tag for firemake output.") +MB_DOC_FIRE_VARIABLE_ROCK += $(call mb-make-call,_mb-doc-variable4u-rock,MB_DOC_XML_TAG_VALUE,XML value tag for firemake output.) # rename now internal: _MB_DOC_FORMAT MB_DOC_FORMAT ?= txt -#MB_DOC_FIRE_VARIABLE_DEEP += $(call mb-make-call,_mb-doc-variable4u-deep,MB_DOC_FORMAT,"Output format of documention$(MB_MAKE_COMMA) only 'txt' or 'xml' is supported.") +#MB_DOC_FIRE_VARIABLE_DEEP += $(call mb-make-call,_mb-doc-variable4u-deep,MB_DOC_FORMAT,Output format of documention$(MB_MAKE_COMMA) only 'txt' or 'xml' is supported.) define _escape -$(MB_ᕽᕽᕽ   )$(subst <,"<",$(subst >,">",$(subst \\n,\\\n,$(subst ','"'"',$(1))))) +$(MB_ᕽᕽᕽ   )$(subst ;,';',$(subst <,'<',$(subst >,'>',$(subst \\n,\\\n,$(subst ',"'","$(1)"))))) endef + + define _mb-doc-variable-txt -$(MB_ᕽᕽᕽ   )"* "$(2)$(MB_MAKE_EQUALS)$(call mb-make-call,_escape,$(4))\\n$(if $(3),\\t$(3)\\n)\\n +$(MB_ᕽᕽᕽ   )"* $(2)$(MB_MAKE_EQUALS)$(call mb-make-call,_escape,$(4))\\n$(if $(3),\\t$(call mb-make-call,_escape,$(3))\\n)\\n" endef define _mb-doc-variable-xml $(MB_ᕽᕽᕽ   )\\n\\t$(call mb-make-call,mb-make-xml-open,variable,$(MB_DOC_XML_ATTR_NAME),$(2),$(MB_DOC_XML_ATTR_LEVEL),$(1))\\n\\t\\t$(call mb-make-call,mb-make-xml-tag-value,$(MB_DOC_XML_TAG_VALUE),$(4))\\n\\t\\t$(call mb-make-call,mb-make-xml-tag-value,$(MB_DOC_XML_TAG_DESC),$(3))\\n\\t$(call mb-make-call,mb-make-xml-close,variable) @@ -88,27 +90,27 @@ endef define mb-doc-variable $(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_VARIABLE += $$(call mb-make-call,_mb-doc-variable-$$(MB_DOC_FORMAT),build,$(1),$(2),$($(1)))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb-make-call,_mb-doc-function4u-deep,mb-doc-variable,"Saves formatted documention of an variable."," [desc]") +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb-make-call,_mb-doc-function4u-deep,mb-doc-variable,Saves formatted documention of an variable., [desc]) define mb-doc-variable-deep $(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_VARIABLE_DEEP += $$(call mb-make-call,_mb-doc-variable4u-deep,$(1),$(2))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb-make-call,_mb-doc-function4u-deep,mb-doc-variable-deep,"Saves formatted documention of an deep variable."," [desc]") +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb-make-call,_mb-doc-function4u-deep,mb-doc-variable-deep,Saves formatted documention of an deep variable., [desc]) define mb-doc-variable-rock $(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_VARIABLE_ROCK += $$(call mb-make-call,_mb-doc-variable4u-rock,$(1),$(2))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb-make-call,_mb-doc-function4u-deep,mb-doc-variable-rock,"Saves formatted documention of an rock variable."," [desc]") +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb-make-call,_mb-doc-function4u-deep,mb-doc-variable-rock,Saves formatted documention of an rock variable., [desc]) define mb-doc-variable-flow $(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_VARIABLE_FLOW += $$(call mb-make-call,_mb-doc-variable4u-flow,$(1),$(2))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb-make-call,_mb-doc-function4u-deep,mb-doc-variable-flow,"Saves formatted documention of an flow variable."," [desc]") +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb-make-call,_mb-doc-function4u-deep,mb-doc-variable-flow,Saves formatted documention of an flow variable., [desc]) define mb-doc-variable-i18n $(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_VARIABLE_I18N += $$(call mb-make-call,_mb-doc-variable4u-i18n,$(1),$(2))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb-make-call,_mb-doc-function4u-deep,mb-doc-variable-i18n,"Saves formatted documention of an i18n variable."," [desc]") +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb-make-call,_mb-doc-function4u-deep,mb-doc-variable-i18n,Saves formatted documention of an i18n variable., [desc]) define _mb-doc-function-txt -$(MB_ᕽᕽᕽ   )"* "$(2)$(if $(4), $(4))$(if $(3),\\n\\t$(3)\\n)\\n +$(MB_ᕽᕽᕽ   )"* $(2) $(if $(4),$(MB_MAKE_EQUALS) $(call mb-make-call,_escape,$(4)))$(if $(3),\\n\\t$(call mb-make-call,_escape,$(3))\\n)\\n" endef define _mb-doc-function-xml $(MB_ᕽᕽᕽ   )\\n\\t$(call mb-make-call,mb-make-xml-open,function,$(MB_DOC_XML_ATTR_NAME),$(2),$(MB_DOC_XML_ATTR_LEVEL),$(1))\\n\\t\\t$(call mb-make-call,mb-make-xml-tag-value,$(MB_DOC_XML_TAG_ARGS),$(4))\\n\\t\\t$(call mb-make-call,mb-make-xml-tag-value,$(MB_DOC_XML_TAG_DESC),$(3))\\n\\t$(call mb-make-call,mb-make-xml-close,function) @@ -122,19 +124,19 @@ endef define mb-doc-function $(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_FUNCTION += $$(call mb-make-call,_mb-doc-function-$$(MB_DOC_FORMAT),build,$(1),$(2),$(3))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb-make-call,_mb-doc-function4u-deep,mb-doc-function,"Saves formatted documention of an function."," [desc] [args]") +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb-make-call,_mb-doc-function4u-deep,mb-doc-function,Saves formatted documention of an function., [desc] [args]) define mb-doc-function-deep $(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_FUNCTION_DEEP += $$(call mb-make-call,_mb-doc-function4u-deep,$(1),$(2),$(3))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb-make-call,_mb-doc-function4u-deep,mb-doc-function-deep,"Saves formatted documention of an deep function."," [desc] [args]") +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb-make-call,_mb-doc-function4u-deep,mb-doc-function-deep,Saves formatted documention of an deep function., [desc] [args]) define mb-doc-function-flow $(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_FUNCTION_FLOW += $$(call mb-make-call,_mb-doc-function4u-flow,$(1),$(2),$(3))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb-make-call,_mb-doc-function4u-deep,mb-doc-function-flow,"Saves formatted documention of an flow function."," [desc] [args]") +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb-make-call,_mb-doc-function4u-deep,mb-doc-function-flow,Saves formatted documention of an flow function., [desc] [args]) define _mb-doc-target-txt -$(MB_ᕽᕽᕽ   )"* "$(2)$(if $(3),\\n\\t$(3))\\n\\n +$(MB_ᕽᕽᕽ   )"* $(2)$(if $(3),\\n\\t$(call mb-make-call,_escape,$(3)))\\n\\n" endef define _mb-doc-target-xml $(MB_ᕽᕽᕽ   )\\n\\t$(call mb-make-call,mb-make-xml-open,target,$(MB_DOC_XML_ATTR_NAME),$(2),$(MB_DOC_XML_ATTR_LEVEL),$(1))$(if $3,\\n\\t\\t$(call mb-make-call,mb-make-xml-tag-value,$(MB_DOC_XML_TAG_DESC),$(3))\\n\\t$(call mb-make-call,mb-make-xml-close,target),\\n\\t$(call mb-make-call,mb-make-xml-close,target)) @@ -142,25 +144,25 @@ endef define mb-doc-target $(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_TARGET += $$(call mb-make-call,_mb-doc-target-$$(MB_DOC_FORMAT),build,$(1),$(2))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb-make-call,_mb-doc-function4u-deep,mb-doc-target,"Saves formatted documention of an target."," [desc]") +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb-make-call,_mb-doc-function4u-deep,mb-doc-target,Saves formatted documention of an target., [desc]) define mb-doc-target-deep $(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_TARGET_DEEP += $$(call mb-make-call,_mb-doc-target-$$(MB_DOC_FORMAT),deep,$(1),$(2))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb-make-call,_mb-doc-function4u-deep,mb-doc-target-deep,"Saves formatted documention of an deep target."," [desc]") +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb-make-call,_mb-doc-function4u-deep,mb-doc-target-deep,Saves formatted documention of an deep target., [desc]) define mb-doc-target-help $(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_TARGET_HELP += $$(call mb-make-call,_mb-doc-target-$$(MB_DOC_FORMAT),help,$(1),$(2))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb-make-call,_mb-doc-function4u-deep,mb-doc-target-help,"Saves formatted documention of an help target."," [desc]") +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb-make-call,_mb-doc-function4u-deep,mb-doc-target-help,Saves formatted documention of an help target., [desc]) define mb-doc-target-run $(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_TARGET_RUN += $$(call mb-make-call,_mb-doc-target-$$(MB_DOC_FORMAT),run,$(1),$(2))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb-make-call,_mb-doc-function4u-deep,mb-doc-target_run,"Saves formatted documention of an run target."," [desc]") +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb-make-call,_mb-doc-function4u-deep,mb-doc-target_run,Saves formatted documention of an run target., [desc]) define mb-doc-target-module $(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_TARGET_MODULE += $$(call mb-make-call,_mb-doc-target-$$(MB_DOC_FORMAT),module,$(1),$(2))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb-make-call,_mb-doc-function4u-deep,mb-doc-target-module,"Saves formatted documention of an module target."," [desc]") +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb-make-call,_mb-doc-function4u-deep,mb-doc-target-module,Saves formatted documention of an module target., [desc]) define mb-doc-target-assert $(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_TARGET_ASSERT += $$(call mb-make-call,_mb-doc-target-$$(MB_DOC_FORMAT),assert,$(1),$(2))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb-make-call,_mb-doc-function4u-deep,mb-doc-target-assert,"Saves formatted documention of an assert target."," [desc]") +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb-make-call,_mb-doc-function4u-deep,mb-doc-target-assert,Saves formatted documention of an assert target., [desc]) diff --git a/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make.mk b/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make.mk index d066529..66072e1 100644 --- a/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make.mk +++ b/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make.mk @@ -1,58 +1,58 @@ MB_MAKE_COMMA := , -$(call mb-make-call,mb-doc-variable-rock,MB_MAKE_COMMA,"Expanded special char; comma.") +$(call mb-make-call,mb-doc-variable-rock,MB_MAKE_COMMA,Expanded special char; comma.) MB_MAKE_SPACE := $(subst ,, ) -$(call mb-make-call,mb-doc-variable-rock,MB_MAKE_SPACE,"Expanded special char; space.") +$(call mb-make-call,mb-doc-variable-rock,MB_MAKE_SPACE,Expanded special char; space.) MB_MAKE_EQUALS := = -$(call mb-make-call,mb-doc-variable-rock,MB_MAKE_EQUALS,"Expanded special char; equals.") +$(call mb-make-call,mb-doc-variable-rock,MB_MAKE_EQUALS,Expanded special char; equals.) MB_MAKE_APPEND := +$(MB_MAKE_EQUALS) -$(call mb-make-call,mb-doc-variable-rock,MB_MAKE_APPEND,"Expanded special char; +equals.") +$(call mb-make-call,mb-doc-variable-rock,MB_MAKE_APPEND,Expanded special char; +equals.) MB_MAKE_HASH := \# -$(call mb-make-call,mb-doc-variable-rock,MB_MAKE_HASH,"Expanded special char; hash.") +$(call mb-make-call,mb-doc-variable-rock,MB_MAKE_HASH,Expanded special char; hash.) MB_MAKE_COLON := : -$(call mb-make-call,mb-doc-variable-rock,MB_MAKE_COLON,"Expanded special char; colon.") +$(call mb-make-call,mb-doc-variable-rock,MB_MAKE_COLON,Expanded special char; colon.) MB_MAKE_DOLLAR := $$ -$(call mb-make-call,mb-doc-variable-rock,MB_MAKE_DOLLAR,"Expanded special char; dollar.") +$(call mb-make-call,mb-doc-variable-rock,MB_MAKE_DOLLAR,Expanded special char; dollar.) define mb-make-target-phony .PHONY: $(1) endef -$(call mb-make-call,mb-doc-function-deep,mb-make-flag-phony,"Marks an target as phony.","") +$(call mb-make-call,mb-doc-function-deep,mb-make-flag-phony,Marks an target as phony.,) define mb-make-newline endef -$(call mb-make-call,mb-doc-function-deep,mb-make-newline,"Prints a newline character.") +$(call mb-make-call,mb-doc-function-deep,mb-make-newline,Prints a newline character.) define mb-make-space2comma $(MB_ᕽᕽᕽ   )$(subst $(MB_MAKE_SPACE),$(MB_MAKE_COMMA),$1) endef -$(call mb-make-call,mb-doc-function-deep,mb-make-space2comma,"Replaces all spaces witth comma's.") +$(call mb-make-call,mb-doc-function-deep,mb-make-space2comma,Replaces all spaces witth comma's.) define mb-make-wildcard-treewalker $(MB_ᕽᕽᕽ   )$(foreach _dir,$(wildcard $(1)*),$(call mb-make-wildcard-treewalker,$(_dir)/,$(2)) $(filter $(subst *,%,$(2)),$(_dir))) endef -$(call mb-make-call,mb-doc-function-deep,mb-make-wildcard-treewalker,"Recursive wildcard search."," ") +$(call mb-make-call,mb-doc-function-deep,mb-make-wildcard-treewalker,Recursive wildcard search., ) define mb-make-lowercase $(MB_ᕽᕽᕽ   )$(subst A,a,$(subst B,b,$(subst C,c,$(subst D,d,$(subst E,e,$(subst F,f,$(subst G,g,$(subst H,h,$(subst I,i,$(subst J,j,$(subst K,k,$(subst L,l,$(subst M,m,$(subst N,n,$(subst O,o,$(subst P,p,$(subst Q,q,$(subst R,r,$(subst S,s,$(subst T,t,$(subst U,u,$(subst V,v,$(subst W,w,$(subst X,x,$(subst Y,y,$(subst Z,z,$(1))))))))))))))))))))))))))) endef -$(call mb-make-call,mb-doc-function-deep,mb-make-lowercase,"Converts ascii string to lowercase.","") +$(call mb-make-call,mb-doc-function-deep,mb-make-lowercase,Converts ascii string to lowercase.,) define mb-make-uppercase $(MB_ᕽᕽᕽ   )$(subst a,A,$(subst b,B,$(subst c,C,$(subst d,D,$(subst e,E,$(subst f,F,$(subst g,G,$(subst h,H,$(subst i,I,$(subst j,J,$(subst k,K,$(subst l,L,$(subst m,M,$(subst n,N,$(subst o,O,$(subst p,P,$(subst q,Q,$(subst r,R,$(subst s,S,$(subst t,T,$(subst u,U,$(subst v,V,$(subst w,W,$(subst x,X,$(subst y,Y,$(subst z,Z,$(1))))))))))))))))))))))))))) endef -$(call mb-make-call,mb-doc-function-deep,mb-make-uppercase,"Converts ascii string to uppercase.","") +$(call mb-make-call,mb-doc-function-deep,mb-make-uppercase,Converts ascii string to uppercase.,) diff --git a/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_call.mk b/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_call.mk index 5f8c4bf..f298280 100644 --- a/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_call.mk +++ b/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_call.mk @@ -18,8 +18,8 @@ endef define mb-make-call $(MB_ᕽᕽᕽ   )$(call _mb-make-call-debug,$(1),$(2),$(3),$(4),$(5),$(6),$(7),$(8),$(9))$(if $(filter file,$(origin $(1))),$(call $(1),$(2),$(3),$(4),$(5),$(6),$(7),$(8),$(9)),$(error $(1) is not defined)) endef -$(call mb-make-call,mb-doc-function-deep,mb-make-call,"Checked origin call function wrapper."," [args...]") +$(call mb-make-call,mb-doc-function-deep,mb-make-call,Checked origin call function wrapper., [args...]) # Wait until function is parsed... -$(call mb-make-call,mb-doc-variable-deep,MB_MAKE_CALL_DEBUG,"If on prints debug trace of all make calls.") +$(call mb-make-call,mb-doc-variable-deep,MB_MAKE_CALL_DEBUG,If on prints debug trace of all make calls.) diff --git a/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_check.mk b/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_check.mk index cdfa96f..5e510fc 100644 --- a/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_check.mk +++ b/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_check.mk @@ -2,26 +2,26 @@ define mb-make-check-variable $(MB_ᕽᕽᕽ   )$(if $($(1)),,$(error $(1): $(MB_I18N_MAKE_CHECK_VARIABLE))) endef -$(call mb-make-call,mb-doc-function-deep,mb-make-check-variable,"Checks that a variable is not empty.","") +$(call mb-make-call,mb-doc-function-deep,mb-make-check-variable,Checks that a variable is not empty.,) define mb-make-check-value-valid $(MB_ᕽᕽᕽ   )$(if $(filter $(2),$(3)),,$(error $(1): $(MB_I18N_MAKE_CHECK_VALUE_VALID) $(2) $(3))) endef -$(call mb-make-call,mb-doc-function-deep,mb-make-check-value-valid,"Checks that a value is valid."," ") +$(call mb-make-call,mb-doc-function-deep,mb-make-check-value-valid,Checks that a value is valid., ) define mb-make-check-arg1 $(MB_ᕽᕽᕽ   )$(if $(2),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG1))) endef -$(call mb-make-call,mb-doc-function-deep,mb-make-check-arg1,"Checks that a function has a given argument."," ") +$(call mb-make-call,mb-doc-function-deep,mb-make-check-arg1,Checks that a function has a given argument., ) define mb-make-check-arg2 $(MB_ᕽᕽᕽ   )$(if $(2),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG1))) \ $(MB_ᕽᕽᕽ   )$(if $(3),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG2))) endef -$(call mb-make-call,mb-doc-function-deep,mb-make-check-arg2,"Checks that a function has the given arguments."," ") +$(call mb-make-call,mb-doc-function-deep,mb-make-check-arg2,Checks that a function has the given arguments., ) define mb-make-check-arg3 @@ -29,7 +29,7 @@ $(MB_ᕽᕽᕽ   )$(if $(2),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG1))) \ $(MB_ᕽᕽᕽ   )$(if $(3),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG2))) \ $(MB_ᕽᕽᕽ   )$(if $(4),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG3))) endef -$(call mb-make-call,mb-doc-function-deep,mb-make-check-arg3,"Checks that a function has the given arguments."," ") +$(call mb-make-call,mb-doc-function-deep,mb-make-check-arg3,Checks that a function has the given arguments., ) define mb-make-check-arg4 @@ -38,7 +38,7 @@ $(MB_ᕽᕽᕽ   )$(if $(3),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG2))) \ $(MB_ᕽᕽᕽ   )$(if $(4),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG3))) \ $(MB_ᕽᕽᕽ   )$(if $(5),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG4))) endef -$(call mb-make-call,mb-doc-function-deep,mb-make-check-arg4,"Checks that a function has the given arguments."," ") +$(call mb-make-call,mb-doc-function-deep,mb-make-check-arg4,Checks that a function has the given arguments., ) define mb-make-check-arg5 @@ -48,5 +48,5 @@ $(MB_ᕽᕽᕽ   )$(if $(4),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG3))) \ $(MB_ᕽᕽᕽ   )$(if $(5),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG4))) \ $(MB_ᕽᕽᕽ   )$(if $(6),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG5))) endef -$(call mb-make-call,mb-doc-function-deep,mb-make-check-arg5,"Checks that a function has the given arguments."," ") +$(call mb-make-call,mb-doc-function-deep,mb-make-check-arg5,Checks that a function has the given arguments., ) diff --git a/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_module.mk b/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_module.mk index 9907877..a10c783 100644 --- a/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_module.mk +++ b/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_module.mk @@ -2,17 +2,17 @@ define mb-make-module-path-src $(MB_ᕽᕽᕽ   )$(1)$(abspath $(subst $(1),,$(dir $(lastword $(MAKEFILE_LIST))))) endef -$(call mb-make-call,mb-doc-function-deep,mb-make-module-path-src,"Convert current location folder as src folder.","") +$(call mb-make-call,mb-doc-function-deep,mb-make-module-path-src,Convert current location folder as src folder.,) define mb-make-module-path-bin $(MB_ᕽᕽᕽ   )$(2)$(abspath $(subst $(1),,$(dir $(lastword $(MAKEFILE_LIST))))) endef -$(call mb-make-call,mb-doc-function-deep,mb-make-module-path-src,"Convert current location folder as bin folder."," ") +$(call mb-make-call,mb-doc-function-deep,mb-make-module-path-src,Convert current location folder as bin folder., ) define mb-make-module-local-deps $(MB_ᕽᕽᕽ   )$(foreach _dep,$(2),$(1)/$(notdir $(_dep))) endef -$(call mb-make-call,mb-doc-function-deep,mb-make-module-deps,"Convert other files to local deps."," ") +$(call mb-make-call,mb-doc-function-deep,mb-make-module-deps,Convert other files to local deps., ) diff --git a/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_xml.mk b/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_xml.mk index 4cd554a..43552dc 100644 --- a/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_xml.mk +++ b/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_xml.mk @@ -1,43 +1,43 @@ MB_MAKE_XML_LT := < -$(call mb-make-call,mb-doc-variable-rock,MB_MAKE_XML_LT,"Expanded special char; lesser than.") +$(call mb-make-call,mb-doc-variable-rock,MB_MAKE_XML_LT,Expanded special char; lesser than.) MB_MAKE_XML_GT := > -$(call mb-make-call,mb-doc-variable-rock,MB_MAKE_XML_GT,"Expanded special char; greater than.") +$(call mb-make-call,mb-doc-variable-rock,MB_MAKE_XML_GT,Expanded special char; greater than.) MB_MAKE_XML_CDATA_START := -$(call mb-make-call,mb-doc-variable-rock,MB_MAKE_XML_CDATA_END,"Expanded special char; XML cdata end.") +$(call mb-make-call,mb-doc-variable-rock,MB_MAKE_XML_CDATA_END,Expanded special char; XML cdata end.) define _escape-xml-attr -$(MB_ᕽᕽᕽ   )$(subst <,\u0026lt\u003B,$(subst >,\u003Cgt\u003B,$(subst $(MB_MAKE_XML_CDATA_START),,$(subst $(MB_MAKE_XML_CDATA_END),,$(1))))) +$(MB_ᕽᕽᕽ   )$(subst <,\u0026lt\u003B,$(subst >,\u003Cgt\u003B,$(subst $(MB_MAKE_XML_CDATA_START),,$(subst $(MB_MAKE_XML_CDATA_END),,"$(1)")))) endef define mb-make-xml-open $(MB_ᕽᕽᕽ   )"$(MB_MAKE_XML_LT)"$(1)$(if $(2), $(2)$(MB_MAKE_EQUALS)\"$(call mb-make-call,_escape-xml-attr,$(3))\")$(if $(4), $(4)$(MB_MAKE_EQUALS)\"$(call mb-make-call,_escape-xml-attr,$(5))\")"$(MB_MAKE_XML_GT)" endef -$(call mb-make-call,mb-doc-function-deep,mb-make-xml-open,"Print xml open tag."," [attr1-name] [attr1-value] [attr2-name] [attr2-value]") +$(call mb-make-call,mb-doc-function-deep,mb-make-xml-open,Print xml open tag., [attr1-name] [attr1-value] [attr2-name] [attr2-value]) define mb-make-xml-close $(MB_ᕽᕽᕽ   )"$(MB_MAKE_XML_LT)"/$(1)"$(MB_MAKE_XML_GT)" endef -$(call mb-make-call,mb-doc-function-deep,mb-make-xml-close,"Print xml close tag.","") +$(call mb-make-call,mb-doc-function-deep,mb-make-xml-close,Print xml close tag.,) define _escape-xml-value -$(MB_ᕽᕽᕽ   )$(subst <,\u003C,$(subst >,\u003E,$(subst $(MB_MAKE_XML_CDATA_START),,$(subst $(MB_MAKE_XML_CDATA_END),,$(1))))) +$(MB_ᕽᕽᕽ   )$(subst <,\u003C,$(subst >,\u003E,$(subst $(MB_MAKE_XML_CDATA_START),,$(subst $(MB_MAKE_XML_CDATA_END),,"$(1)")))) endef define mb-make-xml-value $(MB_ᕽᕽᕽ   )"$(MB_MAKE_XML_CDATA_START)"$(call mb-make-call,_escape-xml-value,$(1))"$(MB_MAKE_XML_CDATA_END)" endef -$(call mb-make-call,mb-doc-function-deep,mb-make-xml-value,"Print xml value in cdata wrapper.","") +$(call mb-make-call,mb-doc-function-deep,mb-make-xml-value,Print xml value in cdata wrapper.,) define mb-make-xml-tag-value $(MB_ᕽᕽᕽ   )$(if $(2),$(call mb-make-call,mb-make-xml-open,$(1))$(call mb-make-call,mb-make-xml-value,$(2))$(call mb-make-call,mb-make-xml-close,$(1))) endef -$(call mb-make-call,mb-doc-function-deep,mb-make-xml-tag-value,"Print xml tag with value inside."," [value]") +$(call mb-make-call,mb-doc-function-deep,mb-make-xml-tag-value,Print xml tag with value inside., [value]) diff --git a/lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_conv.mk b/lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_conv.mk index 692ed4b..04929c0 100644 --- a/lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_conv.mk +++ b/lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_conv.mk @@ -4,7 +4,7 @@ $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-arg2,$(0),$(1),$(2)) $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-os-echo-command,$(MB_I18N_CONV_UNIX2DOS) $(2)) $(MB_ᕽᕽᕽ   )unix2dos -q -n $(1) $(2) endef -$(call mb-make-call,mb-doc-function,mb-conv-unix2dos,"Converts an unix file to dos."," ") +$(call mb-make-call,mb-doc-function,mb-conv-unix2dos,Converts an unix file to dos., ) define mb-conv-dos2unix @@ -12,5 +12,5 @@ $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-arg2,mb-conv-dos2unix, $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-os-echo-command,$(MB_I18N_CONV_DOS2UNIX) $(2)) $(MB_ᕽᕽᕽ   )dos2unix -q -n $(1) $(2) endef -$(call mb-make-call,mb-doc-function,mb-conv-dos2unix,"Converts an dos file to unix."," ") +$(call mb-make-call,mb-doc-function,mb-conv-dos2unix,Converts an dos file to unix., ) diff --git a/lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_nestor80.mk b/lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_nestor80.mk index 4e10949..474b283 100644 --- a/lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_nestor80.mk +++ b/lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_nestor80.mk @@ -1,15 +1,15 @@ MB_NESTOR80_PATH ?= -$(call mb-make-call,mb-doc-variable,MB_NESTOR80_PATH,"Optional path where Nestor80 binaries are located.") +$(call mb-make-call,mb-doc-variable,MB_NESTOR80_PATH,Optional path where Nestor80 binaries are located.) MB_NESTOR80_FLAG_VERBOSE ?=--no-show-banner --no-color-output --verbosity 0 -$(call mb-make-call,mb-doc-variable-deep,MB_NESTOR80_FLAG_VERBOSE,"The Nestor80 shared verbose flags.") +$(call mb-make-call,mb-doc-variable-deep,MB_NESTOR80_FLAG_VERBOSE,The Nestor80 shared verbose flags.) MB_NESTOR80_N80_FLAGS ?= -$(call mb-make-call,mb-doc-variable-deep,MB_NESTOR80_N80_FLAGS,"The N80 compile flags.") +$(call mb-make-call,mb-doc-variable-deep,MB_NESTOR80_N80_FLAGS,The N80 compile flags.) MB_NESTOR80_LK80_FLAGS ?= -$(call mb-make-call,mb-doc-variable-deep,MB_NESTOR80_LK80_FLAGS,"The LK80 linker flags.") +$(call mb-make-call,mb-doc-variable-deep,MB_NESTOR80_LK80_FLAGS,The LK80 linker flags.) define _mb-nestor80-path @@ -21,54 +21,54 @@ define mb-nestor80-compile $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-os-echo-command,$(MB_I18N_NESTOR80_COMPILE) $(2)) $(MB_ᕽᕽᕽ   )$(call mb-make-call,_mb-nestor80-path,N80) $(1) $(2) $(MB_NESTOR80_N80_FLAGS) $(MB_NESTOR80_FLAG_VERBOSE) endef -$(call mb-make-call,mb-doc-function,mb-nestor80-compile,"Compiles source code."," ") +$(call mb-make-call,mb-doc-function,mb-nestor80-compile,Compiles source code., ) define mb-nestor80-link $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-os-echo-command,$(MB_I18N_NESTOR80_LINK) $(2) @ $(3)) $(MB_ᕽᕽᕽ   )$(call mb-make-call,_mb-nestor80-path,LK80) $(MB_NESTOR80_LK80_FLAGS) $(MB_NESTOR80_FLAG_VERBOSE) --code $(3) --output-format bin -o $(2) $(1) endef -$(call mb-make-call,mb-doc-function,mb-nestor80-link,"Links to custom code location."," ") +$(call mb-make-call,mb-doc-function,mb-nestor80-link,Links to custom code location., ) define mb-nestor80-link-0000 $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-nestor80-link,$(1),$(2),0000h) endef -$(call mb-make-call,mb-doc-function,mb-nestor80-link-0000,"Links to 0x0000."," ") +$(call mb-make-call,mb-doc-function,mb-nestor80-link-0000,Links to 0x0000., ) define mb-nestor80-link-0100 $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-nestor80-link,$(1),$(2),0100h) endef -$(call mb-make-call,mb-doc-function,mb-nestor80-link-0100,"Links to 0x0100."," ") +$(call mb-make-call,mb-doc-function,mb-nestor80-link-0100,Links to 0x0100., ) define mb-nestor80-link-1000 $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-nestor80-link,$(1),$(2),1000h) endef -$(call mb-make-call,mb-doc-function,mb-nestor80-link-1000,"Links to 0x1000."," ") +$(call mb-make-call,mb-doc-function,mb-nestor80-link-1000,Links to 0x1000., ) define mb-nestor80-link-4000 $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-nestor80-link,$(1),$(2),4000h) endef -$(call mb-make-call,mb-doc-function,mb-nestor80-link-4000,"Links to 0x4000."," ") +$(call mb-make-call,mb-doc-function,mb-nestor80-link-4000,Links to 0x4000., ) define mb-nestor80-link-8000 $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-nestor80-link,$(1),$(2),8000h) endef -$(call mb-make-call,mb-doc-function,mb-nestor80-link-8000,"Links to 0x8000."," ") +$(call mb-make-call,mb-doc-function,mb-nestor80-link-8000,Links to 0x8000., ) define mb-nestor80-link-C000 $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-nestor80-link,$(1),$(2),C000h) endef -$(call mb-make-call,mb-doc-function,mb-nestor80-link-C000,"Links to 0xC000."," ") +$(call mb-make-call,mb-doc-function,mb-nestor80-link-C000,Links to 0xC000., ) define mb-nestor80-link-bdos $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-nestor80-link-0100,$(1),$(2)) endef -$(call mb-make-call,mb-doc-function,mb-nestor80-link-bdos,"Links to BDOS."," ") +$(call mb-make-call,mb-doc-function,mb-nestor80-link-bdos,Links to BDOS., ) diff --git a/lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_os.mk b/lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_os.mk index a6663bd..cbe3a56 100644 --- a/lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_os.mk +++ b/lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_os.mk @@ -1,30 +1,30 @@ MB_OS_RM ?= rm -f -$(call mb-make-call,mb-doc-variable-rock,MB_OS_RM,"Native OS delete command.") +$(call mb-make-call,mb-doc-variable-rock,MB_OS_RM,Native OS delete command.) MB_OS_RMDIR ?= rm -rf -$(call mb-make-call,mb-doc-variable-rock,MB_OS_RMDIR,"Native OS remove folder command.") +$(call mb-make-call,mb-doc-variable-rock,MB_OS_RMDIR,Native OS remove folder command.) MB_OS_MKDIR ?= mkdir -p -$(call mb-make-call,mb-doc-variable-rock,MB_OS_MKDIR,"Native OS create folder command.") +$(call mb-make-call,mb-doc-variable-rock,MB_OS_MKDIR,Native OS create folder command.) MB_OS_COPY ?= cp -$(call mb-make-call,mb-doc-variable-rock,MB_OS_COPY,"Native OS copy command.") +$(call mb-make-call,mb-doc-variable-rock,MB_OS_COPY,Native OS copy command.) MB_OS_STDOUT_IGNORE ?= >/dev/null -$(call mb-make-call,mb-doc-variable-rock,MB_OS_STDOUT_IGNORE,"Native OS ignore stdout.") +$(call mb-make-call,mb-doc-variable-rock,MB_OS_STDOUT_IGNORE,Native OS ignore stdout.) MB_OS_STDERR_IGNORE ?= 2>/dev/null -$(call mb-make-call,mb-doc-variable-rock,MB_OS_STDERR_IGNORE,"Native OS ignore stderr.") +$(call mb-make-call,mb-doc-variable-rock,MB_OS_STDERR_IGNORE,Native OS ignore stderr.) MB_OS_SEP ?=/ -$(call mb-make-call,mb-doc-variable-rock,MB_OS_SEP,"Native OS path seperator.") +$(call mb-make-call,mb-doc-variable-rock,MB_OS_SEP,Native OS path seperator.) MB_OS_CACHE ?= ~/.cache -$(call mb-make-call,mb-doc-variable-rock,MB_OS_CACHE,"Native OS application cache folder.") +$(call mb-make-call,mb-doc-variable-rock,MB_OS_CACHE,Native OS application cache folder.) -MB_OS_TERM_COLORS ?= $(shell tput colors 2> /dev/null;test $$? -eq 0 || echo "-1") -$(call mb-make-call,mb-doc-variable-rock,MB_OS_TERM_COLORS,"Native OS terminal color count support.") +MB_OS_TERM_COLORS ?= $(shell tput colors 2> /dev/null;test $$? -eq 0 || echo -1) +$(call mb-make-call,mb-doc-variable-rock,MB_OS_TERM_COLORS,Native OS terminal color count support.) ifdef OS @@ -45,83 +45,83 @@ endif define mb-os-file-empty $(MB_ᕽᕽᕽ   )$(file >$(1)) endef -$(call mb-make-call,mb-doc-function,mb-os-file-empty,"Makes an file empty.","") +$(call mb-make-call,mb-doc-function,mb-os-file-empty,Makes an file empty.,) define mb-os-file-append $(MB_ᕽᕽᕽ   )$(file >>$(1),$(2)) endef -$(call mb-make-call,mb-doc-function,mb-os-file-append,"Appends text to an file"," ") +$(call mb-make-call,mb-doc-function,mb-os-file-append,Appends text to an file, ) define mb-os-file-copy $(MB_ᕽᕽᕽ   )$(MB_OS_COPY) $(1) $(2) endef -$(call mb-make-call,mb-doc-function,mb-os-file-copy,"Copy an file."," ") +$(call mb-make-call,mb-doc-function,mb-os-file-copy,Copy an file., ) define mb-os-file-delete $(MB_ᕽᕽᕽ   )$(MB_OS_RM) $(1) endef -$(call mb-make-call,mb-doc-function,mb-os-file-delete,"Deletes an file.","") +$(call mb-make-call,mb-doc-function,mb-os-file-delete,Deletes an file.,) define mb-os-file-touch $(MB_ᕽᕽᕽ   )touch $(1) endef -$(call mb-make-call,mb-doc-function,mb-os-file_touch,"Changes file timestamps.","") +$(call mb-make-call,mb-doc-function,mb-os-file_touch,Changes file timestamps.,) define mb-os-dir-delete $(MB_ᕽᕽᕽ   )$(MB_OS_RMDIR) $(1) endef -$(call mb-make-call,mb-doc-function,mb-os-dir-delete,"Delete the full folder.","") +$(call mb-make-call,mb-doc-function,mb-os-dir-delete,Delete the full folder.,) define mb-os-dir-create $(MB_ᕽᕽᕽ   )$(MB_OS_MKDIR) $(1) endef -$(call mb-make-call,mb-doc-function,mb-os-dir-create,"Creates an folder.","") +$(call mb-make-call,mb-doc-function,mb-os-dir-create,Creates an folder.,) define mb-os-crayon-tagged-echo $(MB_ᕽᕽᕽ   )$(if $(filter -1,$(MB_OS_TERM_COLORS)),@echo $(MB_I18N_OS_CRAYON_TAG) $(2),@echo -e "\x1B[$(1)m$(MB_I18N_OS_CRAYON_TAG)\x1B[39m $(2)") endef -$(call mb-make-call,mb-doc-function-deep,mb-os-crayon-tagged-echo,"Echo's an prefixed message to stdout with ansi color code."," ") +$(call mb-make-call,mb-doc-function-deep,mb-os-crayon-tagged-echo,Echo's an prefixed message to stdout with ansi color code., ) define mb-os-echo-report $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-os-crayon-tagged-echo,31,$(1)) endef -$(call mb-make-call,mb-doc-function-deep,mb-os-echo-report,"Echo's an message to stdout with 'red' crayon.","") +$(call mb-make-call,mb-doc-function-deep,mb-os-echo-report,Echo's an message to stdout with 'red' crayon.,) define mb-os-echo-good $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-os-crayon-tagged-echo,32,$(1)) endef -$(call mb-make-call,mb-doc-function-deep,mb-os-echo-good,"Echo's an message to stdout with 'green' crayon.","") +$(call mb-make-call,mb-doc-function-deep,mb-os-echo-good,Echo's an message to stdout with 'green' crayon.,) define mb-os-echo-assert $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-os-crayon-tagged-echo,33,$(1)) endef -$(call mb-make-call,mb-doc-function-deep,mb-os-echo-assert,"Echo's an message to stdout with 'yellow' crayon.","") +$(call mb-make-call,mb-doc-function-deep,mb-os-echo-assert,Echo's an message to stdout with 'yellow' crayon.,) define mb-os-echo-command $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-os-crayon-tagged-echo,34,$(1)) endef -$(call mb-make-call,mb-doc-function-deep,mb-os-echo-command,"Echo's an message to stdout with 'blue' crayon.","") +$(call mb-make-call,mb-doc-function-deep,mb-os-echo-command,Echo's an message to stdout with 'blue' crayon.,) define mb-os-echo-phase $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-os-crayon-tagged-echo,35,$(1)) endef -$(call mb-make-call,mb-doc-function-deep,mb-os-echo-phase,"Echo's an message to stdout with 'magenta' crayon.","") +$(call mb-make-call,mb-doc-function-deep,mb-os-echo-phase,Echo's an message to stdout with 'magenta' crayon.,) define mb-os-echo-remark $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-os-crayon-tagged-echo,36,$(1)) endef -$(call mb-make-call,mb-doc-function-deep,mb-os-echo-alert,"Echo's an message to stdout with 'cyan' crayon.","") +$(call mb-make-call,mb-doc-function-deep,mb-os-echo-alert,Echo's an message to stdout with 'cyan' crayon.,) diff --git a/lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_package.mk b/lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_package.mk index e79dc3f..e379ee6 100644 --- a/lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_package.mk +++ b/lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_package.mk @@ -3,5 +3,5 @@ define mb-package-create-archive $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-os-echo-command,$(MB_I18N_PACKAGE_CREATE_ARCHIVE) $(2)) $(MB_ᕽᕽᕽ   )tar -czf $(2) -C $(1) `ls $(1)` endef -$(call mb-make-call,mb-doc-function,mb-package-create_archive,"Create an distribution archive."," ") +$(call mb-make-call,mb-doc-function,mb-package-create_archive,Create an distribution archive., ) diff --git a/lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_sdcc.mk b/lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_sdcc.mk index 8e8545f..3ba8007 100644 --- a/lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_sdcc.mk +++ b/lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_sdcc.mk @@ -1,21 +1,21 @@ MB_SDCC_PATH ?= -$(call mb-make-call,mb-doc-variable,MB_SDCC_PATH,"Optional path where SDCC binaries are located.") +$(call mb-make-call,mb-doc-variable,MB_SDCC_PATH,Optional path where SDCC binaries are located.) MB_SDCC_FLAG_CPU ?= -mz80 -$(call mb-make-call,mb-doc-variable-deep,MB_SDCC_FLAG_CPU,"The SDCC cpu target option.") +$(call mb-make-call,mb-doc-variable-deep,MB_SDCC_FLAG_CPU,The SDCC cpu target option.) MB_SDCC_FLAG_LD ?= --nostdinc -$(call mb-make-call,mb-doc-variable-deep,MB_SDCC_FLAG_LD,"The SDCC linker option.") +$(call mb-make-call,mb-doc-variable-deep,MB_SDCC_FLAG_LD,The SDCC linker option.) MB_SDCC_ASZ80_FLAGS ?= -g -l -c -o -$(call mb-make-call,mb-doc-variable-deep,MB_SDCC_ASZ80_FLAGS,"The SDCC z80 asm compiler flags.") +$(call mb-make-call,mb-doc-variable-deep,MB_SDCC_ASZ80_FLAGS,The SDCC z80 asm compiler flags.) MB_SDCC_CC_FLAGS ?= -$(call mb-make-call,mb-doc-variable-deep,MB_SDCC_CC_FLAGS,"The SDCC C compiler flags.") +$(call mb-make-call,mb-doc-variable-deep,MB_SDCC_CC_FLAGS,The SDCC C compiler flags.) MB_SDCC_AR_FLAGS ?= -rc -$(call mb-make-call,mb-doc-variable-deep,MB_SDCC_AR_FLAGS,"The SDCC module archive flags.") +$(call mb-make-call,mb-doc-variable-deep,MB_SDCC_AR_FLAGS,The SDCC module archive flags.) # TODO: Add C + mixed support; @@ -32,68 +32,68 @@ define mb-sdcc-compile-asm $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-os-echo-command,$(MB_I18N_SDCC_COMPILE) $(2) - ASM) $(MB_ᕽᕽᕽ   )$(call mb-make-call,_mb-sdcc-path,sdasz80) $(MB_SDCC_ASZ80_FLAGS) $(2) $(1) endef -$(call mb-make-call,mb-doc-function,mb-sdcc-compile-asm,"Compiles asm source code."," ") +$(call mb-make-call,mb-doc-function,mb-sdcc-compile-asm,Compiles asm source code., ) define mb-sdcc-arlib-asm $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-os-echo-command,$(MB_I18N_SDCC_ARLIB) $(2)) $(MB_ᕽᕽᕽ   )$(call mb-make-call,_mb-sdcc-path,sdar) $(MB_SDCC_AR_FLAGS) $(1) $(2) endef -$(call mb-make-call,mb-doc-function,mb-sdcc-arlib-asm,"Link asm lib module."," ") +$(call mb-make-call,mb-doc-function,mb-sdcc-arlib-asm,Link asm lib module., ) define mb-sdcc-link-asm $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-os-echo-command,$(MB_I18N_SDCC_LINK) $(2) - ASM @ $(3)) $(MB_ᕽᕽᕽ   )$(call mb-make-call,_mb-sdcc-path,sdcc) $(MB_SDCC_FLAG_CPU) $(MB_SDCC_CC_FLAGS) --no-std-crt0 --code-loc $(3) -o $(2) $(1) endef -$(call mb-make-call,mb-doc-function,mb-sdcc-link-asm,"Links asm to custom code location."," ") +$(call mb-make-call,mb-doc-function,mb-sdcc-link-asm,Links asm to custom code location., ) define mb-sdcc-link-asm-0000 $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-sdcc-link-asm,$(1),$(2),0x0000) endef -$(call mb-make-call,mb-doc-function,mb-sdcc-link-asm-0000,"Links asm to 0x0000."," ") +$(call mb-make-call,mb-doc-function,mb-sdcc-link-asm-0000,Links asm to 0x0000., ) define mb-sdcc-link-asm-0100 $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-sdcc-link-asm,$(1),$(2),0x0100) endef -$(call mb-make-call,mb-doc-function,mb-sdcc-link-asm-0100,"Links asm to 0x0100."," ") +$(call mb-make-call,mb-doc-function,mb-sdcc-link-asm-0100,Links asm to 0x0100., ) define mb-sdcc-link-asm-1000 $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-sdcc-link-asm,$(1),$(2),0x1000) endef -$(call mb-make-call,mb-doc-function,mb-sdcc-link-asm-1000,"Links asm to 0x1000."," ") +$(call mb-make-call,mb-doc-function,mb-sdcc-link-asm-1000,Links asm to 0x1000., ) define mb-sdcc-link-asm-4000 $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-sdcc-link-asm,$(1),$(2),0x4000) endef -$(call mb-make-call,mb-doc-function,mb-sdcc-link-asm-4000,"Links asm to 0x4000."," ") +$(call mb-make-call,mb-doc-function,mb-sdcc-link-asm-4000,Links asm to 0x4000., ) define mb-sdcc-link-asm-8000 $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-sdcc-link-asm,$(1),$(2),0x8000) endef -$(call mb-make-call,mb-doc-function,mb-sdcc-link-asm-8000,"Links asm to 0x8000."," ") +$(call mb-make-call,mb-doc-function,mb-sdcc-link-asm-8000,Links asm to 0x8000., ) define mb-sdcc-link-asm-C000 $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-sdcc-link-asm,$(1),$(2),0xC000) endef -$(call mb-make-call,mb-doc-function,mb-sdcc-link-asm-C000,"Links asm to 0xC000."," ") +$(call mb-make-call,mb-doc-function,mb-sdcc-link-asm-C000,Links asm to 0xC000., ) define mb-sdcc-link-asm-bdos $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-sdcc-link-asm-0100,$(1),$(2)) endef -$(call mb-make-call,mb-doc-function,mb-sdcc-link-asm-bdos,"Links asm to BDOS."," ") +$(call mb-make-call,mb-doc-function,mb-sdcc-link-asm-bdos,Links asm to BDOS., ) define mb-sdcc-objcopy $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-os-echo-command,$(MB_I18N_SDCC_OBJCOPY) $(2)) $(MB_ᕽᕽᕽ   )$(call mb-make-call,_mb-sdcc-path,sdobjcopy) -I ihex --output-target$(MB_MAKE_EQUALS)binary $(1) $(2) endef -$(call mb-make-call,mb-doc-function,mb-sdcc-objcopy,"Converts an hex file to binary."," ") +$(call mb-make-call,mb-doc-function,mb-sdcc-objcopy,Converts an hex file to binary., ) diff --git a/lib/make/ i18n/mb_i18n.mk b/lib/make/ i18n/mb_i18n.mk index ea55eac..61669f2 100644 --- a/lib/make/ i18n/mb_i18n.mk +++ b/lib/make/ i18n/mb_i18n.mk @@ -1,169 +1,169 @@ MB_I18N ?= -$(call mb-make-call,mb-doc-variable,MB_I18N,"The language code to select the i18n pack.") +$(call mb-make-call,mb-doc-variable,MB_I18N,The language code to select the i18n pack.) MB_I18N_ASSERT_SUCCESS ?= Assert successfull -$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_ASSERT_SUCCESS,"Message for when assertion test is successfull.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_ASSERT_SUCCESS,Message for when assertion test is successfull.) MB_I18N_ASSERT_FAILURE ?= Assert failure -$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_ASSERT_FAILURE,"Message for when assertion test is failed.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_ASSERT_FAILURE,Message for when assertion test is failed.) MB_I18N_AUTOEXEC_WRITE ?= Write autoexec for -$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_AUTOEXEC_WRITE,"Message for when writing a default autoexec.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_AUTOEXEC_WRITE,Message for when writing a default autoexec.) MB_I18N_CONV_UNIX2DOS ?= Convert to dos-EOL -$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_CONV_UNIX2DOS,"Message for when converting a file to dos.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_CONV_UNIX2DOS,Message for when converting a file to dos.) MB_I18N_CONV_DOS2UNIX ?= Convert to nix-EOL -$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_CONV_DOS2UNIX,"Message for when converting a file to unix.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_CONV_DOS2UNIX,Message for when converting a file to unix.) MB_I18N_PACKAGE_CREATE_ARCHIVE ?= Build distribution archive -$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PACKAGE_CREATE_ARCHIVE,"Message for when creating a archive.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PACKAGE_CREATE_ARCHIVE,Message for when creating a archive.) MB_I18N_MAKE_CHECK_VARIABLE ?= Variable is empty -$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_MAKE_CHECK_VARIABLE,"Error message when an variable is empty.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_MAKE_CHECK_VARIABLE,Error message when an variable is empty.) MB_I18N_MAKE_CHECK_VALUE_VALID ?= Value is not valid -$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_MAKE_CHECK_VALUE_VALID,"Error message when the value is invalid.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_MAKE_CHECK_VALUE_VALID,Error message when the value is invalid.) MB_I18N_MAKE_CHECK_ARG1 ?= Argument 1 is missing -$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_MAKE_CHECK_ARG1,"Error message when argument 1 is missing.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_MAKE_CHECK_ARG1,Error message when argument 1 is missing.) MB_I18N_MAKE_CHECK_ARG2 ?= Argument 2 is missing -$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_MAKE_CHECK_ARG2,"Error message when argument 2 is missing.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_MAKE_CHECK_ARG2,Error message when argument 2 is missing.) MB_I18N_MAKE_CHECK_ARG3 ?= Argument 3 is missing -$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_MAKE_CHECK_ARG3,"Error message when argument 3 is missing.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_MAKE_CHECK_ARG3,Error message when argument 3 is missing.) MB_I18N_MAKE_CHECK_ARG4 ?= Argument 4 is missing -$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_MAKE_CHECK_ARG4,"Error message when argument 4 is missing.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_MAKE_CHECK_ARG4,Error message when argument 4 is missing.) MB_I18N_MAKE_CHECK_ARG5 ?= Argument 5 is missing -$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_MAKE_CHECK_ARG5,"Error message when argument 5 is missing.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_MAKE_CHECK_ARG5,Error message when argument 5 is missing.) MB_I18N_MSXHUB_FILE_FETCH ?= Fetch msxhub file -$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_MSXHUB_FILE_FETCH,"Message for when fetching a msxhub file.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_MSXHUB_FILE_FETCH,Message for when fetching a msxhub file.) MB_I18N_MSXROM_FILE_FETCH ?= Fetch msxrom file -$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_MSXROM_FILE_FETCH,"Message for when fetching a msxrom file.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_MSXROM_FILE_FETCH,Message for when fetching a msxrom file.) MB_I18N_OPENMSX_STARTUP ?= Start openMSX! for -$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_OPENMSX_STARTUP,"Message used on startup of openMSX.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_OPENMSX_STARTUP,Message used on startup of openMSX.) MB_I18N_OS_CRAYON_TAG ?= === -$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_OS_CRAYON_TAG,"Crayon tag colored prefix to a message.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_OS_CRAYON_TAG,Crayon tag colored prefix to a message.) MB_I18N_PROJ_STEP_BEFORE ?= -- Before -$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_STEP_BEFORE,"Prefix for begin phase message.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_STEP_BEFORE,Prefix for begin phase message.) MB_I18N_PROJ_STEP_AFTER ?= ---- After -$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_STEP_AFTER,"Prefix for after phase message.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_STEP_AFTER,Prefix for after phase message.) MB_I18N_PROJ_STEP_DONE ?= Finished executing prime pi target -$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_STEP_DONE,"Message for requested phase completed.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_STEP_DONE,Message for requested phase completed.) MB_I18N_PROJ_DIR_CREATE ?= Creating folder -$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_DIR_CREATE,"Message for creating a folder.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_DIR_CREATE,Message for creating a folder.) MB_I18N_PROJ_DIR_DELETE ?= Removing folder -$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_DIR_DELETE,"Message for deleting a folder.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_DIR_DELETE,Message for deleting a folder.) MB_I18N_PROJ_ASSERT_COUNT ?= Total assertions done -$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_ASSERT_COUNT,"Message for reporting the assertion count of a phase.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_ASSERT_COUNT,Message for reporting the assertion count of a phase.) MB_I18N_PROJ_OPENMSX_COUNT ?= Total openMSX invokes -$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_OPENMSX_COUNT,"Message for reporting the openMSX invoke count of a session.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_OPENMSX_COUNT,Message for reporting the openMSX invoke count of a session.) MB_I18N_FLOW_CLONE_REPORT ?= Clone troopers -$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_FLOW_CLONE_REPORT,"Message for total amount of cloned files.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_FLOW_CLONE_REPORT,Message for total amount of cloned files.) MB_I18N_NESTOR80_COMPILE ?= Nestor80 compile -$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_NESTOR80_COMPILE,"Message for Nestor80 compiler run.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_NESTOR80_COMPILE,Message for Nestor80 compiler run.) MB_I18N_NESTOR80_LINK ?= Nestor80 linking -$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_NESTOR80_LINK,"Message for Nestor80 linker run.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_NESTOR80_LINK,Message for Nestor80 linker run.) MB_I18N_SDCC_COMPILE ?= SDCC Compile super -$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_SDCC_COMPILE,"Message for SDCC compiler run.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_SDCC_COMPILE,Message for SDCC compiler run.) MB_I18N_SDCC_ARLIB ?= SDCC Builder arlib -$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_SDCC_ARLIB,"Message for SDCC lib archive tool.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_SDCC_ARLIB,Message for SDCC lib archive tool.) MB_I18N_SDCC_LINK ?= SDCC Linker MSXlib -$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_SDCC_LINK,"Message for SDCC linker run.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_SDCC_LINK,Message for SDCC linker run.) MB_I18N_SDCC_OBJCOPY ?= SDCC sdobjcopy for -$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_SDCC_OBJCOPY,"Message for SDCC objcopy run.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_SDCC_OBJCOPY,Message for SDCC objcopy run.) MB_I18N_PROJ_PHASEID_CLEAN ?= -$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEID_CLEAN,"Local command of clean target.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEID_CLEAN,Local command of clean target.) MB_I18N_PROJ_PHASEDOC_CLEAN ?= -$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEDOC_CLEAN,"Local documentation of clean target.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEDOC_CLEAN,Local documentation of clean target.) MB_I18N_PROJ_PHASEID_INIT ?= -$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEID_INIT,"Local command of init target.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEID_INIT,Local command of init target.) MB_I18N_PROJ_PHASEDOC_INIT ?= -$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEDOC_INIT,"Local documentation of init target.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEDOC_INIT,Local documentation of init target.) MB_I18N_PROJ_PHASEID_PREPARE ?= -$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEID_PREPARE,"Local command of prepare target.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEID_PREPARE,Local command of prepare target.) MB_I18N_PROJ_PHASEDOC_PREPARE ?= -$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEDOC_PREPARE,"Local documentation of prepare target.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEDOC_PREPARE,Local documentation of prepare target.) MB_I18N_PROJ_PHASEID_PROCESS ?= -$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEID_PROCESS,"Local command of proces target.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEID_PROCESS,Local command of proces target.) MB_I18N_PROJ_PHASEDOC_PROCESS ?= -$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEDOC_PROCESS,"Local documentation of process target.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEDOC_PROCESS,Local documentation of process target.) MB_I18N_PROJ_PHASEID_COMPILE ?= -$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEID_COMPILE,"Local command of compile target.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEID_COMPILE,Local command of compile target.) MB_I18N_PROJ_PHASEDOC_COMPILE ?= -$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEDOC_COMPILE,"Local documentation of compile target.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEDOC_COMPILE,Local documentation of compile target.) MB_I18N_PROJ_PHASEID_LINK ?= -$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEID_LINK,"Local command of link target.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEID_LINK,Local command of link target.) MB_I18N_PROJ_PHASEDOC_LINK ?= -$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEDOC_LINK,"Local documentation of link target.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEDOC_LINK,Local documentation of link target.) MB_I18N_PROJ_PHASEID_BUILD ?= -$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEID_BUILD,"Local command of build target.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEID_BUILD,Local command of build target.) MB_I18N_PROJ_PHASEDOC_BUILD ?= -$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEDOC_BUILD,"Local documentation of build target.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEDOC_BUILD,Local documentation of build target.) MB_I18N_PROJ_PHASEID_TEST ?= -$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEID_TEST,"Local command of test target.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEID_TEST,Local command of test target.) MB_I18N_PROJ_PHASEDOC_TEST ?= -$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEDOC_TEST,"Local documentation of test target.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEDOC_TEST,Local documentation of test target.) MB_I18N_PROJ_PHASEID_PACKAGE ?= -$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEID_PACKAGE,"Local command of package target.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEID_PACKAGE,Local command of package target.) MB_I18N_PROJ_PHASEDOC_PACKAGE ?= -$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEDOC_PACKAGE,"Local documentation of package target.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEDOC_PACKAGE,Local documentation of package target.) MB_I18N_PROJ_PHASEID_PACKAGE_QA ?= -$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEID_PACKAGE_QA,"Local command of package-qa target.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEID_PACKAGE_QA,Local command of package-qa target.) MB_I18N_PROJ_PHASEDOC_PACKAGE_QA ?= -$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEDOC_PACKAGE_QA,"Local documentation of package-qa target.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEDOC_PACKAGE_QA,Local documentation of package-qa target.) MB_I18N_PROJ_PHASEID_PACKAGE_DEPLOY ?= -$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEID_PACKAGE_DEPLOY,"Local command of package-deploy target.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEID_PACKAGE_DEPLOY,Local command of package-deploy target.) MB_I18N_PROJ_PHASEDOC_PACKAGE_DEPLOY ?= -$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEDOC_PACKAGE_DEPLOY,"Local documentation of package-deploy target.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEDOC_PACKAGE_DEPLOY,Local documentation of package-deploy target.) MB_I18N_PROJ_PHASEID_ALL ?= -$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEID_ALL,"Local command of all target.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEID_ALL,Local command of all target.) MB_I18N_PROJ_PHASEDOC_ALL ?= -$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEDOC_ALL,"Local documentation of all target.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEDOC_ALL,Local documentation of all target.) diff --git a/lib/make/天房系統擴展/mb_autoexec.mk b/lib/make/天房系統擴展/mb_autoexec.mk index c083d59..6109e2e 100644 --- a/lib/make/天房系統擴展/mb_autoexec.mk +++ b/lib/make/天房系統擴展/mb_autoexec.mk @@ -1,130 +1,130 @@ MB_AUTOEXEC_SHOW_VERSION ?= off -$(call mb-make-call,mb-doc-variable,MB_AUTOEXEC_SHOW_VERSION,"Print OS version on boot.") +$(call mb-make-call,mb-doc-variable,MB_AUTOEXEC_SHOW_VERSION,Print OS version on boot.) MB_AUTOEXEC_SHOW_PATH ?= on -$(call mb-make-call,mb-doc-variable,MB_AUTOEXEC_SHOW_PATH,"Print search path on boot.") +$(call mb-make-call,mb-doc-variable,MB_AUTOEXEC_SHOW_PATH,Print search path on boot.) MB_AUTOEXEC_SHOW_INIT ?= on -$(call mb-make-call,mb-doc-variable,MB_AUTOEXEC_SHOW_INIT,"Print msxbuild init message on boot.") +$(call mb-make-call,mb-doc-variable,MB_AUTOEXEC_SHOW_INIT,Print msxbuild init message on boot.) MB_AUTOEXEC_SHOW_HOST ?= on -$(call mb-make-call,mb-doc-variable,MB_AUTOEXEC_SHOW_HOST,"Print host machine on boot.") +$(call mb-make-call,mb-doc-variable,MB_AUTOEXEC_SHOW_HOST,Print host machine on boot.) MB_AUTOEXEC_SHOW_USER ?= on -$(call mb-make-call,mb-doc-variable,MB_AUTOEXEC_SHOW_USER,"Print host user on boot.") +$(call mb-make-call,mb-doc-variable,MB_AUTOEXEC_SHOW_USER,Print host user on boot.) MB_AUTOEXEC_SHOW_FOLDER ?= on -$(call mb-make-call,mb-doc-variable,MB_AUTOEXEC_SHOW_FOLDER,"Print host folder on boot.") +$(call mb-make-call,mb-doc-variable,MB_AUTOEXEC_SHOW_FOLDER,Print host folder on boot.) MB_AUTOEXEC_SHOW_TARGET ?= on -$(call mb-make-call,mb-doc-variable,MB_AUTOEXEC_SHOW_TARGET,"Print host target on boot.") +$(call mb-make-call,mb-doc-variable,MB_AUTOEXEC_SHOW_TARGET,Print host target on boot.) MB_AUTOEXEC_STARTUP_TIMEOUT ?= 60 -$(call mb-make-call,mb-doc-variable,MB_AUTOEXEC_STARTUP_TIMEOUT,"Startup failure timeout of autoexec.") +$(call mb-make-call,mb-doc-variable,MB_AUTOEXEC_STARTUP_TIMEOUT,Startup failure timeout of autoexec.) MB_AUTOEXEC_STARTUP_EXITCODE ?= 124 -$(call mb-make-call,mb-doc-variable,MB_AUTOEXEC_STARTUP_EXITCODE,"Startup failure exit code of autoexec.") +$(call mb-make-call,mb-doc-variable,MB_AUTOEXEC_STARTUP_EXITCODE,Startup failure exit code of autoexec.) MB_AUTOEXEC_SAFE_ASSERT_TIMEOUT ?= 120 -$(call mb-make-call,mb-doc-variable,MB_AUTOEXEC_SAFE_ASSERT_TIMEOUT,"Safe assert execution failure timeout.") +$(call mb-make-call,mb-doc-variable,MB_AUTOEXEC_SAFE_ASSERT_TIMEOUT,Safe assert execution failure timeout.) MB_AUTOEXEC_SAFE_ASSERT_EXITCODE ?= 1 -$(call mb-make-call,mb-doc-variable,MB_AUTOEXEC_SAFE_ASSERT_EXITCODE,"Safe assert execution failure exit code.") +$(call mb-make-call,mb-doc-variable,MB_AUTOEXEC_SAFE_ASSERT_EXITCODE,Safe assert execution failure exit code.) MB_AUTOEXEC_SAFE_CMD_TIMEOUT ?= 300 -$(call mb-make-call,mb-doc-variable,MB_AUTOEXEC_SAFE_CMD_TIMEOUT,"Safe command execution failure timeout.") +$(call mb-make-call,mb-doc-variable,MB_AUTOEXEC_SAFE_CMD_TIMEOUT,Safe command execution failure timeout.) MB_AUTOEXEC_SAFE_CMD_EXITCODE ?= 1 -$(call mb-make-call,mb-doc-variable,MB_AUTOEXEC_SAFE_CMD_EXITCODE,"Safe command execution failure exit code.") +$(call mb-make-call,mb-doc-variable,MB_AUTOEXEC_SAFE_CMD_EXITCODE,Safe command execution failure exit code.) MB_AUTOEXEC_STARTUP_NOTE ?= -$(call mb-make-call,mb-doc-variable,MB_AUTOEXEC_STARTUP_NOTE,"When set this startup note message is shown.") +$(call mb-make-call,mb-doc-variable,MB_AUTOEXEC_STARTUP_NOTE,When set this startup note message is shown.) MB_AUTOEXEC_COLOR_FG ?= 141 -$(call mb-make-call,mb-doc-variable,MB_AUTOEXEC_COLOR_FG,"Default foreground color if none is given.") +$(call mb-make-call,mb-doc-variable,MB_AUTOEXEC_COLOR_FG,Default foreground color if none is given.) MB_AUTOEXEC_COLOR_BG ?= 000 -$(call mb-make-call,mb-doc-variable,MB_AUTOEXEC_COLOR_BG,"Default background color if none if given.") +$(call mb-make-call,mb-doc-variable,MB_AUTOEXEC_COLOR_BG,Default background color if none if given.) MB_AUTOEXEC_EMBED_VARS ?= -$(call mb-make-call,mb-doc-variable,MB_AUTOEXEC_EMBED_VARS,"List of host env variables to copy to msx as 'MSX_x' variables.") +$(call mb-make-call,mb-doc-variable,MB_AUTOEXEC_EMBED_VARS,List of host env variables to copy to msx as 'MSX_x' variables.) MB_AUTOEXEC_EMBED_USER ?= USER -$(call mb-make-call,mb-doc-variable,MB_AUTOEXEC_EMBED_USER,"Embedds this host variable to the msx as 'MB_USER' variable.") +$(call mb-make-call,mb-doc-variable,MB_AUTOEXEC_EMBED_USER,Embedds this host variable to the msx as 'MB_USER' variable.) MB_AUTOEXEC_TIME_FORMAT ?= 24 -$(call mb-make-call,mb-doc-variable,MB_AUTOEXEC_TIME_FORMAT,"The time format the msx will get set to.") +$(call mb-make-call,mb-doc-variable,MB_AUTOEXEC_TIME_FORMAT,The time format the msx will get set to.) MB_AUTOEXEC_DATE_FORMAT ?= DD/MM/YY -$(call mb-make-call,mb-doc-variable,MB_AUTOEXEC_DATE_FORMAT,"The time format the msx will get set to.") +$(call mb-make-call,mb-doc-variable,MB_AUTOEXEC_DATE_FORMAT,The time format the msx will get set to.) MB_AUTOEXEC_PROMPT_FORMAT ?= %MB_USER%@%_CWD%* -$(call mb-make-call,mb-doc-variable,MB_AUTOEXEC_PROMPT_FORMAT,"The prompt format for command 2.40 and higher.") +$(call mb-make-call,mb-doc-variable,MB_AUTOEXEC_PROMPT_FORMAT,The prompt format for command 2.40 and higher.) MB_AUTOEXEC_PROMPT_SPACE ?= on -$(call mb-make-call,mb-doc-variable,MB_AUTOEXEC_PROMPT_SPACE,"When on adds an white space after the prompt format.") +$(call mb-make-call,mb-doc-variable,MB_AUTOEXEC_PROMPT_SPACE,When on adds an white space after the prompt format.) define mb-autoexec-append-cmd $(MB_ᕽᕽᕽ   )echo -e "$(2)\r" >> $(1)/autoexec.bat endef -$(call mb-make-call,mb-doc-function,mb-autoexec-append-cmd,"Appends an command."," ") +$(call mb-make-call,mb-doc-function,mb-autoexec-append-cmd,Appends an command., ) define mb-autoexec-append-echo $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-cmd,$(1),echo $(subst >,>,$(2))) endef -$(call mb-make-call,mb-doc-function,mb-autoexec-append-echo,"Appends an echo message."," ") +$(call mb-make-call,mb-doc-function,mb-autoexec-append-echo,Appends an echo message., ) define mb-autoexec-append-rem $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-cmd,$(1),rem $(2)) endef -$(call mb-make-call,mb-doc-function,mb-autoexec-append-rem,"Appends an script remark."," ") +$(call mb-make-call,mb-doc-function,mb-autoexec-append-rem,Appends an script remark., ) define mb-autoexec-append-show-gui $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-cmd,$(1),omsxctl headless_show_gui) endef -$(call mb-make-call,mb-doc-function,mb-autoexec-append-show-gui,"Appends headless show gui command.","") +$(call mb-make-call,mb-doc-function,mb-autoexec-append-show-gui,Appends headless show gui command.,) define mb-autoexec-append-stop-fail $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-cmd,$(1),omsxctl fail_after 0) endef -$(call mb-make-call,mb-doc-function,mb-autoexec-append-stop-fail,"Appends stop automatic failure command.","") +$(call mb-make-call,mb-doc-function,mb-autoexec-append-stop-fail,Appends stop automatic failure command.,) define mb-autoexec-append-exit $(MB_ᕽᕽᕽ   )$(if $(filter on,$(MB_FLIGHT_SCREEN)),$(call mb-make-call,mb-autoexec-append-save_screenshot,$(1))) $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-cmd,$(1),omsxctl headless_exit) endef -$(call mb-make-call,mb-doc-function,mb-autoexec-append-exit,"Appends exit emulation command.","") +$(call mb-make-call,mb-doc-function,mb-autoexec-append-exit,Appends exit emulation command.,) define mb-autoexec-append-plug-porta $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-cmd,$(1),omsxctl boot_exec_plug_porta $(2)) endef -$(call mb-make-call,mb-doc-function,mb-autoexec-append-plug-porta,"Appends an plug device into joyporta action."," ") +$(call mb-make-call,mb-doc-function,mb-autoexec-append-plug-porta,Appends an plug device into joyporta action., ) define mb-autoexec-append-plug-portb $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-cmd,$(1),omsxctl boot_exec_plug_portb $(2)) endef -$(call mb-make-call,mb-doc-function,mb-autoexec-append-plug-portb,"Appends an plug device into joyportb action."," ") +$(call mb-make-call,mb-doc-function,mb-autoexec-append-plug-portb,Appends an plug device into joyportb action., ) define mb-autoexec-append-save-screenshot $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-cmd,$(1),omsxctl night_flight_save_screenshot $(2)) endef -$(call mb-make-call,mb-doc-function,mb-autoexec-append-save-screenshot,"Appends save screenshot command."," [prefix]") +$(call mb-make-call,mb-doc-function,mb-autoexec-append-save-screenshot,Appends save screenshot command., [prefix]) define mb-autoexec-append-save-video $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-cmd,$(1),omsxctl night_flight_save_video $(2)) endef -$(call mb-make-call,mb-doc-function,mb-autoexec-append-save-video,"Appends save video command."," [prefix]") +$(call mb-make-call,mb-doc-function,mb-autoexec-append-save-video,Appends save video command., [prefix]) define mb-autoexec-append-safe-assert @@ -132,7 +132,7 @@ $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-cmd,$(1),omsxctl $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-echo,$(1),mb::safe assert $(2)) $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-cmd,$(1),$(2)) endef -$(call mb-make-call,mb-doc-function,mb-autoexec-append-safe-assert,"Appends running a safe assert."," [timeout] [exit-code]") +$(call mb-make-call,mb-doc-function,mb-autoexec-append-safe-assert,Appends running a safe assert., [timeout] [exit-code]) define mb-autoexec-append-safe-cmd @@ -140,7 +140,7 @@ $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-cmd,$(1),omsxctl $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-echo,$(1),mb::safe command $(2)) $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-cmd,$(1),$(2)) endef -$(call mb-make-call,mb-doc-function,mb-autoexec-append-safe-cmd,"Appends running a safe command."," [timeout] [exit-code]") +$(call mb-make-call,mb-doc-function,mb-autoexec-append-safe-cmd,Appends running a safe command., [timeout] [exit-code]) define _mb-autoexec-write-preboot @@ -176,5 +176,5 @@ $(MB_ᕽᕽᕽ   )$(if $(filter on,$(MB_AUTOEXEC_SHOW_TARGET)),$(call mb-m $(MB_ᕽᕽᕽ   )$(if $(filter on,$(MB_AUTOEXEC_PROMPT_SPACE)),$(call mb-make-call,mb-autoexec-append-cmd,$(1),set PROMPT \"$(value MB_AUTOEXEC_PROMPT_FORMAT)$(MB_MAKE_SPACE)\")) $(MB_ᕽᕽᕽ   )$(if $(filter on,$(MB_AUTOEXEC_PROMPT_SPACE)),,$(call mb-make-call,mb-autoexec-append-cmd,$(1),,set PROMPT \"$(value MB_AUTOEXEC_PROMPT_FORMAT)\")) endef -$(call mb-make-call,mb-doc-function,mb-autoexec-write-default,"Write a default autoexec.bat file."," [fg-color] [bg-color]") +$(call mb-make-call,mb-doc-function,mb-autoexec-write-default,Write a default autoexec.bat file., [fg-color] [bg-color]) diff --git a/lib/make/天房系統擴展/mb_msxhub.mk b/lib/make/天房系統擴展/mb_msxhub.mk index 8633f57..f9d1cf7 100644 --- a/lib/make/天房系統擴展/mb_msxhub.mk +++ b/lib/make/天房系統擴展/mb_msxhub.mk @@ -1,9 +1,9 @@ MB_MSXHUB_API ?= https://msxhub.com/api -$(call mb-make-call,mb-doc-variable-deep,MB_MSXHUB_API,"Resource identifier for msxhub package rest api.") +$(call mb-make-call,mb-doc-variable-deep,MB_MSXHUB_API,Resource identifier for msxhub package rest api.) MB_MSXHUB_CACHE ?= $(MB_OS_CACHE)/msxbuild/msxhub -$(call mb-make-call,mb-doc-variable-deep,MB_MSXHUB_CACHE,"Cache storage location.") +$(call mb-make-call,mb-doc-variable-deep,MB_MSXHUB_CACHE,Cache storage location.) define _mb-msxhub-file-fetch @@ -18,7 +18,7 @@ define mb-msxhub-file $(MB_ᕽᕽᕽ   )$(if $(wildcard $(MB_MSXHUB_CACHE)/$(2)),,$(call mb-make-call,_mb-msxhub-file-fetch,$(MB_MSXHUB_API)/$(2))) $(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)/$(call mb-make-call,mb-make-lowercase,$(notdir $(2)))),,$(call mb-make-call,mb-os-file-copy,$(MB_MSXHUB_CACHE)/$(2),$(1)/$(call mb-make-call,mb-make-lowercase,$(notdir $(2))))) endef -$(call mb-make-call,mb-doc-function-deep,mb-msxhub-file,"Installs msxsub packages from slug into dir."," ") +$(call mb-make-call,mb-doc-function-deep,mb-msxhub-file,Installs msxsub packages from slug into dir., ) # @@ -28,21 +28,21 @@ define mb-msxhub-get-msxdos1-boot $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),MSXDOS1/1.03-2/get/MSXDOS1/MSXDOS.SYS) $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),MSXDOS1/1.03-2/get/MSXDOS1/COMMAND.COM) endef -$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-msxdos1-boot,"Installs msxdos1 boot files into dir.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-msxdos1-boot,Installs msxdos1 boot files into dir.,) define mb-msxhub-get-msxdos2-boot $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/MSXDOS2.SYS) $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/COMMAND2.COM) endef -$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-msxdos2-boot,"Installs msxdos2 boot files into dir.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-msxdos2-boot,Installs msxdos2 boot files into dir.,) define mb-msxhub-get-nextor-boot $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/NEXTOR.SYS) $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/COMMAND2.COM) endef -$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-nextor-boot,"Installs nextor boot files into dir.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-nextor-boot,Installs nextor boot files into dir.,) # @@ -57,7 +57,7 @@ $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),MSXDOS2/2.20-1/g $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/XDIR.COM) $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/KMODE.COM) endef -$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-msxdos2-utils,"Installs msxdos2 util files into dir.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-msxdos2-utils,Installs msxdos2 util files into dir.,) define mb-msxhub-get-nextor-utils @@ -75,7 +75,7 @@ $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),NEXTOR/2.1.0-1/g $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/NEXBOOT.COM) $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/CONCLUS.COM) endef -$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-nextor-utils,"Installs nextor util files into dir.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-nextor-utils,Installs nextor util files into dir.,) # @@ -87,7 +87,7 @@ $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),MACRO80/2.0-1/ge $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),MACRO80/2.0-1/get/MACRO80/LIB80.COM) $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),MACRO80/2.0-1/get/MACRO80/M80.COM) endef -$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-macro80,"Installs macro80 package into dir.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-macro80,Installs macro80 package into dir.,) define mb-msxhub-get-z80asmuk @@ -101,7 +101,7 @@ $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),Z80ASMUK/3.4-1/g $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/ZSMPP.COM) $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/HEXTOBIN.COM) endef -$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-z80asmuk,"Installs z80asmuk package into dir.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-z80asmuk,Installs z80asmuk package into dir.,) define mb-msxhub-get-wbass2 @@ -109,14 +109,14 @@ $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),WBASS2/2.0-1/get $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),WBASS2/2.0-1/get/WBASS2/wbass2.bin) $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),WBASS2/2.0-1/get/WBASS2/wbass2.bas) endef -$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-wbass2,"Installs wbass2 package into dir.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-wbass2,Installs wbass2 package into dir.,) define mb-msxhub-get-konpass $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),KONPASS/1.3.0-1/get/KONPASS/KONPASS.COM) $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),KONPASS/1.3.0-1/get/KONPASS/KONPASS.DAT) endef -$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-konpass,"Installs konpass package into dir.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-konpass,Installs konpass package into dir.,) # @@ -130,37 +130,37 @@ $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),PMARC/2.0-1/get/ $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),PMARC/2.0-1/get/PMARC/PMSET.COM) $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),PMARC/2.0-1/get/PMARC/PMSFX2.COM) endef -$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-pmarc,"Installs pmarc package into dir.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-pmarc,Installs pmarc package into dir.,) define mb-msxhub-get-lhpack $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),LHPACK/1.00-1/get/LHPACK/lhpack.com) endef -$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-lhpack,"Installs lhpack package into dir.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-lhpack,Installs lhpack package into dir.,) define mb-msxhub-get-lhext $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),LHEXT/1.34-2/get/LHEXT/lhext.com) endef -$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-lhext,"Installs lhext package into dir.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-lhext,Installs lhext package into dir.,) define mb-msxhub-get-gunzip $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),GUNZIP/1.1-1/get/GUNZIP/gunzip.com) endef -$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-gunzip,"Installs gunzip package into dir.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-gunzip,Installs gunzip package into dir.,) define mb-msxhub-get-tunzip $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),TUNZIP/0.91-1/get/TUNZIP/tunzip.com) endef -$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-tunzip,"Installs tunzip package into dir.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-tunzip,Installs tunzip package into dir.,) define mb-msxhub-get-popcom $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),POPCOM/1.00-2/get/POPCOM/popcom.com) endef -$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-popcom,"Installs popcom package into dir.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-popcom,Installs popcom package into dir.,) # @@ -169,43 +169,43 @@ $(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-popcom,"Installs popcom p define mb-msxhub-get-make $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),MAKE/2.00-1/get/MAKE/MAKE.COM) endef -$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-make,"Installs make package into dir.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-make,Installs make package into dir.,) define mb-msxhub-get-adir $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),ADIR/1.3-1/get/ADIR/adir.com) endef -$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-adir,"Installs adir package into dir.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-adir,Installs adir package into dir.,) define mb-msxhub-get-turbo $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),TURBO/2.1-1/get/TURBO/turbo.com) endef -$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-turbo,"Installs turbo package into dir.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-turbo,Installs turbo package into dir.,) define mb-msxhub-get-baskom $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),BASKOM/1.0-1/get/BASKOM/baskom.com) endef -$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-baskom,"Installs baskom package into dir.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-baskom,Installs baskom package into dir.,) define mb-msxhub-get-binldr $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),BINLDR/1.0.2-1/get/BINLDR/BINLDR.COM) endef -$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-binldr,"Installs binldr package into dir.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-binldr,Installs binldr package into dir.,) define mb-msxhub-get-dmphex $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),DMPHEX/1.00-1/get/DMPHEX/dmphex.com) endef -$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-dmphex,"Installs dmphex package into dir.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-dmphex,Installs dmphex package into dir.,) define mb-msxhub-get-zd $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),ZD/3.30-1/get/ZD/zd.com) endef -$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-zd,"Installs zd package into dir.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-zd,Installs zd package into dir.,) define mb-msxhub-get-msxdos2t @@ -233,7 +233,7 @@ $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),MSXDOS2T/1.0-1/g $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/VIEW.COM) $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/WC.COM) endef -$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-msxdos2t,"Installs msxdos2t package into dir.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-msxdos2t,Installs msxdos2t package into dir.,) # @@ -242,5 +242,5 @@ $(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-msxdos2t,"Installs msxdos define mb-msxhub-get-gfxage $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),GFXAGE/0.99-1/get/GFXAGE/gfxage.com) endef -$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-gfxage,"Installs gfxage package into dir.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-gfxage,Installs gfxage package into dir.,) diff --git a/lib/make/天房系統擴展/mb_msxpipe.mk b/lib/make/天房系統擴展/mb_msxpipe.mk index 01add38..ab2d440 100644 --- a/lib/make/天房系統擴展/mb_msxpipe.mk +++ b/lib/make/天房系統擴展/mb_msxpipe.mk @@ -1,21 +1,21 @@ MB_MSXPIPE_COLOR_FG_SAFE_CMD ?= 026 -$(call mb-make-call,mb-doc-variable,MB_MSXPIPE_COLOR_FG_SAFE_CMD,"Foreground color of safe command session pipe.") +$(call mb-make-call,mb-doc-variable,MB_MSXPIPE_COLOR_FG_SAFE_CMD,Foreground color of safe command session pipe.) MB_MSXPIPE_COLOR_BG_SAFE_CMD ?= 000 -$(call mb-make-call,mb-doc-variable,MB_MSXPIPE_COLOR_BG_SAFE_CMD,"Background color of safe command session pipe.") +$(call mb-make-call,mb-doc-variable,MB_MSXPIPE_COLOR_BG_SAFE_CMD,Background color of safe command session pipe.) MB_MSXPIPE_COLOR_FG_SAFE_ASSERT ?= 421 -$(call mb-make-call,mb-doc-variable,MB_MSXPIPE_COLOR_FG_SAFE_ASSERT,"Foreground color of safe assert session pipe.") +$(call mb-make-call,mb-doc-variable,MB_MSXPIPE_COLOR_FG_SAFE_ASSERT,Foreground color of safe assert session pipe.) MB_MSXPIPE_COLOR_BG_SAFE_ASSERT ?= 000 -$(call mb-make-call,mb-doc-variable,MB_MSXPIPE_COLOR_BG_SAFE_ASSERT,"Background color of safe assert session pipe.") +$(call mb-make-call,mb-doc-variable,MB_MSXPIPE_COLOR_BG_SAFE_ASSERT,Background color of safe assert session pipe.) MB_MSXPIPE_COLOR_FG_RUN_GUI ?= 141 -$(call mb-make-call,mb-doc-variable,MB_MSXPIPE_COLOR_FG_RUN_GUI,"Foreground color of run gui session pipe.") +$(call mb-make-call,mb-doc-variable,MB_MSXPIPE_COLOR_FG_RUN_GUI,Foreground color of run gui session pipe.) MB_MSXPIPE_COLOR_BG_RUN_GUI ?= 000 -$(call mb-make-call,mb-doc-variable,MB_MSXPIPE_COLOR_BG_RUN_GUI,"Background color of run gui session pipe.") +$(call mb-make-call,mb-doc-variable,MB_MSXPIPE_COLOR_BG_RUN_GUI,Background color of run gui session pipe.) define mb-msxpipe-safe-cmd @@ -25,7 +25,7 @@ $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-safe-cmd,$(1),$(2 $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-exit,$(1)) $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-openmsx-dosctl,$(1),$(3)) endef -$(call mb-make-call,mb-doc-function,mb-msxpipe-safe-cmd,"Runs openMSX and safely executes one command."," [machine] [fg-color] [bg-color]") +$(call mb-make-call,mb-doc-function,mb-msxpipe-safe-cmd,Runs openMSX and safely executes one command., [machine] [fg-color] [bg-color]) define mb-msxpipe-safe-assert @@ -35,7 +35,7 @@ $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-safe-assert,$(1), $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-exit,$(1)) $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-openmsx-dosctl,$(1),$(3)) endef -$(call mb-make-call,mb-doc-function,mb-msxpipe-safe-assert,"Runs openMSX and safely executes one assert."," [machine] [fg-color] [bg-color]") +$(call mb-make-call,mb-doc-function,mb-msxpipe-safe-assert,Runs openMSX and safely executes one assert., [machine] [fg-color] [bg-color]) define _mb-msxpipe-run-gui @@ -54,12 +54,12 @@ define mb-msxpipe-run-gui $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-arg1,$(0),$(1)) $(MB_ᕽᕽᕽ   )$(call mb-make-call,_mb-msxpipe-run-gui,$(1),$(2),$(3)) endef -$(call mb-make-call,mb-doc-function,mb-msxpipe-run-gui,"Runs openMSX forever with renderer and optionals."," [cmd] [machine]") +$(call mb-make-call,mb-doc-function,mb-msxpipe-run-gui,Runs openMSX forever with renderer and optionals., [cmd] [machine]) define mb-msxpipe-run-gui-mouse $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-arg1,$(0),$(1)) $(MB_ᕽᕽᕽ   )$(call mb-make-call,_mb-msxpipe-run-gui,$(1),$(2),$(3),mouse) endef -$(call mb-make-call,mb-doc-function,mb-msxpipe-run-gui-mouse,"Runs openMSX forever with renderer and mouse and optionals."," [cmd] [machine]") +$(call mb-make-call,mb-doc-function,mb-msxpipe-run-gui-mouse,Runs openMSX forever with renderer and mouse and optionals., [cmd] [machine]) diff --git a/lib/make/天房系統擴展/mb_msxrom.mk b/lib/make/天房系統擴展/mb_msxrom.mk index 7f42677..5a33726 100644 --- a/lib/make/天房系統擴展/mb_msxrom.mk +++ b/lib/make/天房系統擴展/mb_msxrom.mk @@ -1,13 +1,13 @@ # TODO: Convert pi MSX number data, the named pie slices to hyperdrive storage for distribution over red sea MB_MSXROM_API ?= https://msxrom.distributedrebirth.love/calc-pi/v19.1 -$(call mb-make-call,mb-doc-variable-deep,MB_MSXROM_API,"Resource identifier for msxrom pi pie named slices data.") +$(call mb-make-call,mb-doc-variable-deep,MB_MSXROM_API,Resource identifier for msxrom pi pie named slices data.) MB_MSXROM_CACHE ?= $(MB_OS_CACHE)/msxbuild/msxrom -$(call mb-make-call,mb-doc-variable-deep,MB_MSXROM_CACHE,"Cache storage location.") +$(call mb-make-call,mb-doc-variable-deep,MB_MSXROM_CACHE,Cache storage location.) MB_MSXROM_FIRE_MACHINES ?= -$(call mb-make-call,mb-doc-variable-flow,MB_MSXROM_FIRE_MACHINES,"List of supported machines.") +$(call mb-make-call,mb-doc-variable-flow,MB_MSXROM_FIRE_MACHINES,List of supported machines.) define _mb-msxrom-grow-fire-machines @@ -27,7 +27,7 @@ define mb-msxrom-file $(MB_ᕽᕽᕽ   )$(if $(wildcard $(MB_MSXROM_CACHE)/$(2)),,$(call mb-make-call,_mb-msxrom-file-fetch,$(MB_MSXROM_API)/$(2))) $(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)/$(notdir $(2))),,$(call mb-make-call,mb-os-file-copy,$(MB_MSXROM_CACHE)/$(2),$(1)/$(notdir $(2)))) endef -$(call mb-make-call,mb-doc-function-deep,mb-msxrom-file,"Installs msxroms from slug into an subdir."," ") +$(call mb-make-call,mb-doc-function-deep,mb-msxrom-file,Installs msxroms from slug into an subdir., ) define mb-msxrom-setup @@ -37,81 +37,81 @@ $(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/share/syst $(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines),,$(call mb-make-call,mb-os-dir-create,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines)) $(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/extensions),,$(call mb-make-call,mb-os-dir-create,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/extensions)) endef -$(call mb-make-call,mb-doc-function-deep,mb-msxrom-setup,"Creates needed systemrom folders.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxrom-setup,Creates needed systemrom folders.,) define mb-msxrom-extension-ide $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/extensions,extensions/ide240.dat) endef -$(call mb-make-call,mb-doc-function-deep,mb-msxrom-extension-ide,"Installs sunrise IDE classic rom.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxrom-extension-ide,Installs sunrise IDE classic rom.,) define mb-msxrom-extension-ide-nextor $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/extensions,extensions/Nextor-2.1.1.SunriseIDE.ROM) endef -$(call mb-make-call,mb-doc-function-deep,mb-msxrom-extension-ide-nextor,"Installs sunrise IDE nextor rom.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxrom-extension-ide-nextor,Installs sunrise IDE nextor rom.,) define mb-msxrom-extension-scsi-novaxis $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/extensions,extensions/novaxis.rom) endef -$(call mb-make-call,mb-doc-function-deep,mb-msxrom-extension-scsi-novaxis,"Installs Gouda SCSI novaxis rom.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxrom-extension-scsi-novaxis,Installs Gouda SCSI novaxis rom.,) define mb-msxrom-extension-msxdos22 $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/extensions,extensions/msxdos22.rom) endef -$(call mb-make-call,mb-doc-function-deep,mb-msxrom-extension-msxdos22,"Installs msxdos 2.20 rom.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxrom-extension-msxdos22,Installs msxdos 2.20 rom.,) define mb-msxrom-extension-rs232 $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/extensions,extensions/rs232.rom) endef -$(call mb-make-call,mb-doc-function-deep,mb-msxrom-extension-rs232,"Installs rs232 rom.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxrom-extension-rs232,Installs rs232 rom.,) define mb-msxrom-extension-fmpac $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/extensions,extensions/fmpac.rom) endef -$(call mb-make-call,mb-doc-function-deep,mb-msxrom-extension-fmpac,"Installs fmpac rom.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxrom-extension-fmpac,Installs fmpac rom.,) define mb-msxrom-extension-fmpac-en $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/extensions,extensions/fmpac_en.rom) endef -$(call mb-make-call,mb-doc-function-deep,mb-msxrom-extension-fmpac-en,"Installs fmpac english rom.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxrom-extension-fmpac-en,Installs fmpac english rom.,) define mb-msxrom-extension-moonsound $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/extensions,extensions/yrw801.rom) endef -$(call mb-make-call,mb-doc-function-deep,mb-msxrom-extension-moonsound,"Installs moonsound rom.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxrom-extension-moonsound,Installs moonsound rom.,) define mb-msxrom-extension-basickun $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/extensions,machines/sanyo/phc-70fd2_basickun.rom) endef -$(call mb-make-call,mb-doc-function-deep,mb-msxrom-extension-basickun,"Installs basickun compiler rom.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxrom-extension-basickun,Installs basickun compiler rom.,) define mb-msxrom-machine-Canon_V-20 $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/canon/v-20_basic-bios1.rom) endef -$(call mb-make-call,mb-doc-function-deep,mb-msxrom-machine-Canon_V-20,"Installs machine roms.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxrom-machine-Canon_V-20,Installs machine roms.,) $(call mb-make-call,_mb-msxrom-grow-fire-machines,Canon_V-20) define mb-msxrom-machine-Mitsubishi_ML-F80 $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/mitsubishi/ml-f80_basic-bios1.rom) endef -$(call mb-make-call,mb-doc-function-deep,mb-msxrom-machine-Mitsubishi_ML-F80,"Installs machine roms.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxrom-machine-Mitsubishi_ML-F80,Installs machine roms.,) $(call mb-make-call,_mb-msxrom-grow-fire-machines,Mitsubishi_ML-F80) define mb-msxrom-machine-Mitsubishi_ML-FX1 $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/mitsubishi/ml-fx1_basic-bios1.rom) endef -$(call mb-make-call,mb-doc-function-deep,mb-msxrom-machine-Mitsubishi_ML-FX1,"Installs machine roms.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxrom-machine-Mitsubishi_ML-FX1,Installs machine roms.,) $(call mb-make-call,_mb-msxrom-grow-fire-machines,Mitsubishi_ML-FX1) @@ -121,14 +121,14 @@ $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notd $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/mitsubishi/ml-g3_es_msx2sub.rom) $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/mitsubishi/ml-g3_es_rs232.rom) endef -$(call mb-make-call,mb-doc-function-deep,mb-msxrom-machine-Mitsubishi_ML-G3_ES,"Installs machine roms.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxrom-machine-Mitsubishi_ML-G3_ES,Installs machine roms.,) $(call mb-make-call,_mb-msxrom-grow-fire-machines,Mitsubishi_ML-G3_ES) define mb-msxrom-machine-Philips_VG_8000 $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/philips/vg8000_basic-bios1.rom) endef -$(call mb-make-call,mb-doc-function-deep,mb-msxrom-machine-Philips_VG_8000,"Installs machine roms.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxrom-machine-Philips_VG_8000,Installs machine roms.,) $(call mb-make-call,_mb-msxrom-grow-fire-machines,Philips_VG_8000) @@ -137,7 +137,7 @@ $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notd $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/philips/vg8230_disk.rom) $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/philips/vg8230_msx2sub.rom) endef -$(call mb-make-call,mb-doc-function-deep,mb-msxrom-machine-Philips_VG_8230,"Installs machine roms.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxrom-machine-Philips_VG_8230,Installs machine roms.,) $(call mb-make-call,_mb-msxrom-grow-fire-machines,Philips_VG_8230) @@ -146,7 +146,7 @@ $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notd $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/philips/nms8250_msx2sub.rom) $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/philips/nms8250_disk.rom) endef -$(call mb-make-call,mb-doc-function-deep,mb-msxrom-machine-Philips_NMS_8250,"Installs machine roms.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxrom-machine-Philips_NMS_8250,Installs machine roms.,) $(call mb-make-call,_mb-msxrom-grow-fire-machines,Philips_NMS_8250) @@ -154,7 +154,7 @@ define mb-msxrom-machine-Toshiba_HX-21 $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/toshiba/HX21-IC2.BIN) $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/toshiba/HX21-IC3.BIN) endef -$(call mb-make-call,mb-doc-function-deep,mb-msxrom-machine-Toshiba_HX-21,"Installs machine roms.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxrom-machine-Toshiba_HX-21,Installs machine roms.,) $(call mb-make-call,_mb-msxrom-grow-fire-machines,Toshiba_HX-21) @@ -163,7 +163,7 @@ $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notd $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/yamaha/ax200_arabic.rom) $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/yamaha/ax200_music.rom) endef -$(call mb-make-call,mb-doc-function-deep,mb-msxrom-machine-Yamaha_AX200,"Installs machine roms.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxrom-machine-Yamaha_AX200,Installs machine roms.,) $(call mb-make-call,_mb-msxrom-grow-fire-machines,Yamaha_AX200) @@ -173,7 +173,7 @@ $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notd $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/yamaha/yis503iii_cpm.rom) $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/yamaha/yis503iii_net_2.rom) endef -$(call mb-make-call,mb-doc-function-deep,mb-msxrom-machine-Yamaha_YIS-503IIIR,"Installs machine roms.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxrom-machine-Yamaha_YIS-503IIIR,Installs machine roms.,) $(call mb-make-call,_mb-msxrom-grow-fire-machines,Yamaha_YIS-503IIIR) @@ -186,7 +186,7 @@ $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notd $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/panasonic/fs-a1wsx_kanjifont.rom) $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/panasonic/fs-a1wsx_msx2psub.rom) endef -$(call mb-make-call,mb-doc-function-deep,mb-msxrom-machine-Panasonic_FS-A1WSX,"Installs machine roms.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxrom-machine-Panasonic_FS-A1WSX,Installs machine roms.,) $(call mb-make-call,_mb-msxrom-grow-fire-machines,Panasonic_FS-A1WSX) @@ -196,7 +196,7 @@ $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-extension-fmpac,$(1)) $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-extension-moonsound,$(1)) $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-extension-basickun,$(1)) endef -$(call mb-make-call,mb-doc-function-deep,mb-msxrom-machine-Boosted_MSX2_EN,"Installs machine and extensions roms.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxrom-machine-Boosted_MSX2_EN,Installs machine and extensions roms.,) $(call mb-make-call,_mb-msxrom-grow-fire-machines,Boosted_MSX2_EN) @@ -205,7 +205,7 @@ $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-machine-Panasonic_FS-A1WSX $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-extension-moonsound,$(1)) $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-extension-basickun,$(1)) endef -$(call mb-make-call,mb-doc-function-deep,mb-msxrom-machine-Boosted_MSX2+_JP,"Installs machine and extensions roms.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxrom-machine-Boosted_MSX2+_JP,Installs machine and extensions roms.,) $(call mb-make-call,_mb-msxrom-grow-fire-machines,Boosted_MSX2+_JP) diff --git a/lib/make/天房系統擴展/mb_openmsx.mk b/lib/make/天房系統擴展/mb_openmsx.mk index 56b4665..b02bf4f 100644 --- a/lib/make/天房系統擴展/mb_openmsx.mk +++ b/lib/make/天房系統擴展/mb_openmsx.mk @@ -1,48 +1,48 @@ MB_OPENMSX_PATH ?= -$(call mb-make-call,mb-doc-variable,MB_OPENMSX_PATH,"Optional path where openMSX binary is located.") +$(call mb-make-call,mb-doc-variable,MB_OPENMSX_PATH,Optional path where openMSX binary is located.) MB_OPENMSX_BOOT_TIMEOUT ?= 25 -$(call mb-make-call,mb-doc-variable,MB_OPENMSX_BOOT_TIMEOUT,"Timeout in seconds to guard for boot failures.") +$(call mb-make-call,mb-doc-variable,MB_OPENMSX_BOOT_TIMEOUT,Timeout in seconds to guard for boot failures.) MB_OPENMSX_BOOT_OS ?= nextor -$(call mb-make-call,mb-doc-variable,MB_OPENMSX_BOOT_OS,"The default OS to run$$(MB_MAKE_COMMA) valid values are; nextor$$(MB_MAKE_COMMA)msxdos1$$(MB_MAKE_COMMA)msxdos2") +$(call mb-make-call,mb-doc-variable,MB_OPENMSX_BOOT_OS,The default OS to run$$(MB_MAKE_COMMA) valid values are; nextor$$(MB_MAKE_COMMA)msxdos1$$(MB_MAKE_COMMA)msxdos2) MB_OPENMSX_MACHINE ?= Philips_NMS_8250 -$(call mb-make-call,mb-doc-variable,MB_OPENMSX_MACHINE,"The default MSX machine to use.",$(MB_OPENMSX_MACHINE)) +$(call mb-make-call,mb-doc-variable,MB_OPENMSX_MACHINE,The default MSX machine to use.) MB_OPENMSX_MACHINE_RAM ?= ram1mb -$(call mb-make-call,mb-doc-variable,MB_OPENMSX_MACHINE_RAM,"Extra ram extension for machine allowed: off$$(MB_MAKE_COMMA)ram16k$$(MB_MAKE_COMMA)ram64k$$(MB_MAKE_COMMA)ram512k$$(MB_MAKE_COMMA)ram1mb$$(MB_MAKE_COMMA)ram2mb$$(MB_MAKE_COMMA)ram4mb") +$(call mb-make-call,mb-doc-variable,MB_OPENMSX_MACHINE_RAM,Extra ram extension for machine allowed: off$$(MB_MAKE_COMMA)ram16k$$(MB_MAKE_COMMA)ram64k$$(MB_MAKE_COMMA)ram512k$$(MB_MAKE_COMMA)ram1mb$$(MB_MAKE_COMMA)ram2mb$$(MB_MAKE_COMMA)ram4mb) MB_OPENMSX_SPEED ?= $(if $(findstring turboR,$(MB_OPENMSX_MACHINE)),100,333) -$(call mb-make-call,mb-doc-variable,MB_OPENMSX_SPEED,"The throttled MSX emulation speed.") +$(call mb-make-call,mb-doc-variable,MB_OPENMSX_SPEED,The throttled MSX emulation speed.) MB_OPENMSX_ARGS ?= -$(call mb-make-call,mb-doc-variable,MB_OPENMSX_ARGS,"Extra arguments to invoke openMSX with.") +$(call mb-make-call,mb-doc-variable,MB_OPENMSX_ARGS,Extra arguments to invoke openMSX with.) MB_OPENMSX_HDD_SIZE ?= 4m -$(call mb-make-call,mb-doc-variable,MB_OPENMSX_HDD_SIZE,"The default fire-hdd disk image size.") +$(call mb-make-call,mb-doc-variable,MB_OPENMSX_HDD_SIZE,The default fire-hdd disk image size.) MB_OPENMSX_SCALE_FACTOR ?= 3 -$(call mb-make-call,mb-doc-variable,MB_OPENMSX_SCALE_FACTOR,"The gui scale factor to display the emulation.") +$(call mb-make-call,mb-doc-variable,MB_OPENMSX_SCALE_FACTOR,The gui scale factor to display the emulation.) MB_OPENMSX_THROTTLE ?= off -$(call mb-make-call,mb-doc-variable,MB_OPENMSX_THROTTLE,"An on/off flag to control throttling globally.") +$(call mb-make-call,mb-doc-variable,MB_OPENMSX_THROTTLE,An on/off flag to control throttling globally.) MB_OPENMSX_HEADLESS ?= on -$(call mb-make-call,mb-doc-variable,MB_OPENMSX_HEADLESS,"Controls if openMSX starts headless.") +$(call mb-make-call,mb-doc-variable,MB_OPENMSX_HEADLESS,Controls if openMSX starts headless.) MB_OPENMSX_RENDERER ?= SDLGL-PP -$(call mb-make-call,mb-doc-variable-deep,MB_OPENMSX_RENDERER,"The gui renderer used to display the window head with.") +$(call mb-make-call,mb-doc-variable-deep,MB_OPENMSX_RENDERER,The gui renderer used to display the window head with.) MB_OPENMSX_STDOUT_IGNORE ?= off -$(call mb-make-call,mb-doc-variable-deep,MB_OPENMSX_STDOUT_IGNORE,"Kills logging...rm after issues openMSX are solved.") +$(call mb-make-call,mb-doc-variable-deep,MB_OPENMSX_STDOUT_IGNORE,Kills logging...rm after issues openMSX are solved.) MB_OPENMSX_STDERR_IGNORE ?= off -$(call mb-make-call,mb-doc-variable-deep,MB_OPENMSX_STDERR_IGNORE,"Kills logging...rm after issues openMSX are solved.") +$(call mb-make-call,mb-doc-variable-deep,MB_OPENMSX_STDERR_IGNORE,Kills logging...rm after issues openMSX are solved.) MB_OPENMSX_FIRE_PIPE_SMOKE ?= -$(call mb-make-call,mb-doc-variable-flow,MB_OPENMSX_FIRE_PIPE_SMOKE,"Word count of total openMSX executions.") +$(call mb-make-call,mb-doc-variable-flow,MB_OPENMSX_FIRE_PIPE_SMOKE,Word count of total openMSX executions.) define mb-openmsx-setup @@ -64,7 +64,7 @@ $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-setup,$(1)) $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-extension-ide-nextor,$(1)) $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-machine-$(2),$(1)) endef -$(call mb-make-call,mb-doc-function-deep,mb-openmsx-setup,"Setup openMSX local build home folder for machine."," ") +$(call mb-make-call,mb-doc-function-deep,mb-openmsx-setup,Setup openMSX local build home folder for machine., ) define _mb-openmsx-path @@ -124,5 +124,5 @@ $(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)/utils),$(call mb-make-call,_mb-open $(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)/command.com),,$(if $(wildcard $(1)/command2.com),,$(call mb-make-call,mb-msxhub-get-$(MB_OPENMSX_BOOT_OS)-boot,$(1)))) $(MB_ᕽᕽᕽ   )$(call mb-make-call,_mb-openmsx-run,$(1),$(if $(2),$(2),$(MB_OPENMSX_MACHINE)),$(if $(3),$(3),$(MB_OPENMSX_MACHINE_RAM))) endef -$(call mb-make-call,mb-doc-function,mb-openmsx-dosctl,"Starts openMSX with dos controller."," [machine] [mem-ext]") +$(call mb-make-call,mb-doc-function,mb-openmsx-dosctl,Starts openMSX with dos controller., [machine] [mem-ext]) diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_0module.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_0module.mk index 2bee1fc..2099833 100644 --- a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_0module.mk +++ b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_0module.mk @@ -22,5 +22,5 @@ $(MB_ᕽᕽᕽ   )$(foreach _mod,$(call mb-make-call,mb-make-wildcard-tree $(MB_ᕽᕽᕽ   )$(foreach _mod,$(call mb-make-call,mb-make-wildcard-treewalker,$(1),*/0module110.mk),$(eval $(call mb-make-call,__$(0),$(_mod)))) $(MB_ᕽᕽᕽ   )$(foreach _mod,$(call mb-make-call,mb-make-wildcard-treewalker,$(1),*/0module111.mk),$(eval $(call mb-make-call,__$(0),$(_mod)))) endef -$(call mb-make-call,mb-doc-function-flow,mb-flow-0module-include,"Inject flow of recursive 0module.mk include.","") +$(call mb-make-call,mb-doc-function-flow,mb-flow-0module-include,Inject flow of recursive 0module.mk include.,) diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk index b819c3b..63ec204 100644 --- a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk +++ b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk @@ -8,7 +8,7 @@ $(1)/@assert/$(5)/$(2): $(1)/@build $(MB_@RECIPE)grep -q -U $(4) $(1)/$(3) $(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-assert,$$(MB_I18N_ASSERT_SUCCESS) $(1)/@assert/$(2)/$(5)) $(MB_@RECIPE)$$(call mb-make-call,mb-proj-grow-assert-success,$(5)) -$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-assert,$(1)/@assert/$(5)/$(2),"Asserts that $(3) output matches.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-assert,$(1)/@assert/$(5)/$(2),Asserts that $(3) output matches.) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(1)/@assert/$(5)/$(2)) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-proj-grow-deps-phase,$(5),$(1)/@assert/$(5)/$(2)) @@ -18,12 +18,12 @@ define mb-flow-assert-grep-binary-test $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-arg4,$(0),$(1),$(2),$(3),$(4)) $(MB_ᕽᕽᕽ   )$(eval $(call mb-make-call,__mb-flow-assert-grep-binary-x,$(1),$(2),$(3),$(4),@test)) endef -$(call mb-make-call,mb-doc-function-flow,mb-flow-assert-grep-binary-test,"Inject flow of single binary grep assert."," ") +$(call mb-make-call,mb-doc-function-flow,mb-flow-assert-grep-binary-test,Inject flow of single binary grep assert., ) define mb-flow-assert-grep-binary-package-qa $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-arg4,$(0),$(1),$(2),$(3),$(4)) $(MB_ᕽᕽᕽ   )$(eval $(call mb-make-call,__mb-flow-assert-grep-binary-x,$(1),$(2),$(3),$(4),@package-qa)) endef -$(call mb-make-call,mb-doc-function-flow,mb-flow-assert-grep-binary-package-qa,"Inject flow of single binary grep assert."," ") +$(call mb-make-call,mb-doc-function-flow,mb-flow-assert-grep-binary-package-qa,Inject flow of single binary grep assert., ) define __mb-flow-assert-msxpipe-grep-x @@ -38,7 +38,7 @@ $(MB_@RECIPE)$$(call mb-make-call,mb-msxpipe-safe-assert,$(7),$(3) > $(3).out,$( $(MB_@RECIPE)grep -q $(4) $(7)/$(3).out $(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-assert,$$(MB_I18N_ASSERT_SUCCESS) $(1)/@assert/$(2)/$(5)) $(MB_@RECIPE)$$(call mb-make-call,mb-proj-grow-assert-success,$(5)) -$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-assert,$(1)/@assert/$(5)/$(2),"Asserts that $(3) output matches.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-assert,$(1)/@assert/$(5)/$(2),Asserts that $(3) output matches.) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(1)/@assert/$(5)/$(2)) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-proj-grow-deps-phase,$(5),$(1)/@assert/$(5)/$(2)) @@ -49,11 +49,11 @@ $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-arg5,$(0),$(1),$(2),$( $(MB_ᕽᕽᕽ   )$(eval $(call mb-make-call,mb-flow-clone-deps,$(1)$(MB_@WORK)_assert/$(notdir $(1))/test-$(3),$(1),$(2),$(6),$(7))) $(MB_ᕽᕽᕽ   )$(eval $(call mb-make-call,__mb-flow-assert-msxpipe-grep-x,$(1),$(3),$(4),$(5),@test,$(7),$(1)$(MB_@WORK)_assert/$(notdir $(1))/test-$(3))) endef -$(call mb-make-call,mb-doc-function-flow,mb-flow-assert-msxpipe-grep-test,"Inject flow of single grep assert."," [packages] [machine]") +$(call mb-make-call,mb-doc-function-flow,mb-flow-assert-msxpipe-grep-test,Inject flow of single grep assert., [packages] [machine]) define mb_flow-assert-msxpipe-grep-package-qa $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-arg5,$(0),$(1),$(2),$(3),$(4),$(5)) $(MB_ᕽᕽᕽ   )$(eval $(call mb-make-call,mb-flow-clone-deps,$(1)$(MB_@WORK)_assert/$(notdir $(1))/package-qa-$(3),$(1),$(2),$(6),$(7))) $(MB_ᕽᕽᕽ   )$(eval $(call mb-make-call,__mb-flow-assert-msxpipe-grep-x,$(1),$(3),$(4),$(5),@package-qa,$(7),$(1)$(MB_@WORK)_assert/$(notdir $(1))/package-qa-$(3))) endef -$(call mb-make-call,mb-doc-function-flow,mb-flow-assert-msxpipe-grep-package-qa,"Inject flow of single grep assert."," [packages] [machine]") +$(call mb-make-call,mb-doc-function-flow,mb-flow-assert-msxpipe-grep-package-qa,Inject flow of single grep assert., [packages] [machine]) diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_clone.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_clone.mk index 2642bf2..d1402f9 100644 --- a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_clone.mk +++ b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_clone.mk @@ -17,5 +17,5 @@ $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-flow-proj-module-run,$(1),$(5)) $(MB_ᕽᕽᕽ   )$(if $(4),$(call mb-make-call,mb-flow-proj-prepare-packages,$(1),$(4))) $(MB_ᕽᕽᕽ   )$(eval $(call mb-make-call,__$(0),$(1),$(2),$(3),$(call mb-make-call,mb-make-module-local-deps,$(1),$(3)))) endef -$(call mb-make-call,mb-doc-function-flow,mb-flow-clone-deps,"Inject flow of file copy toolchain module."," [packages] [machine]") +$(call mb-make-call,mb-doc-function-flow,mb-flow-clone-deps,Inject flow of file copy toolchain module., [packages] [machine]) diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_help.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_help.mk index 52fba7b..d1a4eb7 100644 --- a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_help.mk +++ b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_help.mk @@ -10,122 +10,121 @@ $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@help) @help-variable: $(MB_@RECIPE)@echo -e "Documention of the make fire variables;" $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE) -$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@help-variable,"Lists build variables.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@help-variable,Lists build variables.) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@help-variable) @help-variable-deep: $(MB_@RECIPE)@echo "Documention of the deep make variables;" $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE_DEEP) -$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@help-variable-deep,"Lists deep variables.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@help-variable-deep,Lists deep variables.) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@help-variable-deep) @help-variable-rock: $(MB_@RECIPE)@echo -e "Documention of the rock make variables;" $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE_ROCK) -$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@help-variable-rock,"Lists rock variables.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@help-variable-rock,Lists rock variables.) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@help-variable-rock) @help-variable-flow: $(MB_@RECIPE)@echo -e "Documention of the flow make variables;" $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE_FLOW) -$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@help-variable-flow,"Lists flow variables.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@help-variable-flow,Lists flow variables.) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@help-variable-flow) @help-variable-i18n: $(MB_@RECIPE)@echo -e "Documention of the i18n make variables;" $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE_I18N) -$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@help-variable-i18n,"Lists i18n variables.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@help-variable-i18n,Lists i18n variables.) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@help-variable-i18n) @help-function: $(MB_@RECIPE)@echo -e "Documention of the make fire functions;" $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_FUNCTION) -$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@help-function,"Lists build functions.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@help-function,Lists build functions.) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@help-function) @help-function-deep: $(MB_@RECIPE)@echo -e "Documention of the deep make functions;" $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_FUNCTION_DEEP) -$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@help-function-deep,"Lists deep functions.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@help-function-deep,Lists deep functions.) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@help-function-deep) - @help-function-flow: $(MB_@RECIPE)@echo -e "Documention of the flow make functions;" $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_FUNCTION_FLOW) -$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@help-function-flow,"Lists flow functions.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@help-function-flow,Lists flow functions.) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@help-function-flow) @help-target: $(MB_@RECIPE)@echo -e "Build one of the following make fire targets;" $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET) -$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@help-target,"Lists build targets.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@help-target,Lists build targets.) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@help-target) @help-target-deep: $(MB_@RECIPE)@echo -e "Build one of the following deep make targets;" $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_DEEP) -$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@help-target-deep,"Lists deep targets.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@help-target-deep,Lists deep targets.) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@help-target-deep) @help-target-run: $(MB_@RECIPE)@echo -e "Build one of the following run make targets;" $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_RUN) -$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@help-target-run,"Lists runnable targets.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@help-target-run,Lists runnable targets.) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@help-target-run) @help-target-module: $(MB_@RECIPE)@echo -e "Build one of the following module make targets;" $(MB_@RECIPE)$$(file >bin/@help.txt,$$(MB_DOC_FIRE_TARGET_MODULE)) $(MB_@RECIPE)@cat bin/@help.txt | sed 's/\\\\n/\n/g' | sed 's/\\\\t/\t/g' | sed 's/\"//g' -$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@help-target-module,"Lists module targets.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@help-target-module,Lists module targets.) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@help-target-module) @help-target-assert: $(MB_@RECIPE)@echo -e "Build one of the following assert make targets;" $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_ASSERT) -$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@help-target-assert,"Lists assert targets.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@help-target-assert,Lists assert targets.) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@help-target-assert) @help-machine: $(MB_@RECIPE)@echo -e "Use one of the following machines to build targets;" $(MB_@RECIPE)@echo -e "\n "$(foreach _rom,$(MB_MSXROM_FIRE_MACHINES)," * $(_rom)\n") -$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@help-machine,"Lists supported machines.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@help-machine,Lists supported machines.) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@help-machine) @help-all: $(MB_@RECIPE)@echo -e "Documention of all fire functions/variables/targets;\\n" -$(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-good,"Variables") +$(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-good,Variables) $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE) -$(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-good,"Variables in the deep") +$(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-good,Variables in the deep) $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE_DEEP) -$(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-good,"Variables hard as rock") +$(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-good,Variables hard as rock) $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE_ROCK) -$(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-good,"Variables defining flow") +$(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-good,Variables defining flow) $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE_FLOW) -$(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-good,"Variables for i18n letters") +$(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-good,Variables for i18n letters) $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE_I18N) -$(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-good,"Functions") +$(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-good,Functions) $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_FUNCTION) -$(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-good,"Functions in the deep") +$(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-good,Functions in the deep) $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_FUNCTION_DEEP) -$(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-good,"Functions building flow") +$(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-good,Functions building flow) $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_FUNCTION_FLOW) -$(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-good,"Targets") +$(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-good,Targets) $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET) -$(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-good,"Targets in the deep") +$(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-good,Targets in the deep) $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_DEEP) -$(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-good,"Targets that run away") +$(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-good,Targets that run away) $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_RUN) -$(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-good,"Targets from modules builds") +$(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-good,Targets from modules builds) $(MB_@RECIPE)$$(file >bin/@help.txt,$$(MB_DOC_FIRE_TARGET_MODULE)) $(MB_@RECIPE)@cat bin/@help.txt | sed 's/\\\\n/\n/g' | sed 's/\\\\t/\t/g' | sed 's/\"//g' -$(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-good,"Targets that assert hopefully") +$(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-good,Targets that assert hopefully) $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_ASSERT) -$(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-good,"Targets main project help") +$(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-good,Targets main project help) $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_HELP) $(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-good,OK) -$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@help-all,"Lists all documented information.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@help-all,Lists all documented information.) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@help-all) @help-firemake: @@ -147,7 +146,7 @@ $(MB_@RECIPE)@cat bin/@help.txt | sed 's/\\\\n/\n/g' | sed 's/\\\\t/\t/g' | sed $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_ASSERT) $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_HELP) $(MB_@RECIPE)@echo -e $$(call mb-make-call,mb-make-xml-close,$$(MB_DOC_XML_ROOT)) -$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@help-firemake,"Output all documention in XML for IDE integration.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@help-firemake,Output all documention in XML for IDE integration.) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@help-firemake) .RECIPEPREFIX := $(MB_@RECIPEPREFIX) @@ -155,5 +154,5 @@ endef define mb-flow-doc-help $(MB_ᕽᕽᕽ   )$(eval $(call mb-make-call,__$(0),$(1))) endef -$(call mb-make-call,mb-doc-function-flow,mb-flow-doc-help,"Inject flow of fire help system.") +$(call mb-make-call,mb-doc-function-flow,mb-flow-doc-help,Inject flow of fire help system.) diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_macro80.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_macro80.mk index c95f615..4c4b179 100644 --- a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_macro80.mk +++ b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_macro80.mk @@ -40,5 +40,5 @@ $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-flow-proj-module-run,$(1)) $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-flow-proj-prepare-packages,$(1),macro80 z80asmuk,utils) $(MB_ᕽᕽᕽ   )$(eval $(call mb-make-call,__$(0),$(1),$(2),$(3))) endef -$(call mb-make-call,mb-doc-function-flow,mb-flow-macro80-bdos-mono,"Inject flow of m80 toolchain bdos mono file module."," ") +$(call mb-make-call,mb-doc-function-flow,mb-flow-macro80-bdos-mono,Inject flow of m80 toolchain bdos mono file module., ) diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_nestor80.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_nestor80.mk index 7d44a5b..ab963cc 100644 --- a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_nestor80.mk +++ b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_nestor80.mk @@ -28,5 +28,5 @@ $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-flow-proj-setup,$(1),@init,$(1)) $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-flow-proj-module-run,$(1)) $(MB_ᕽᕽᕽ   )$(eval $(call mb-make-call,__$(0),$(1),$(2),$(3))) endef -$(call mb-make-call,mb-doc-function-flow,mb-flow-nestor80-bdos-mono,"Inject flow of Nestor80 toolchain bdos mono file module."," ") +$(call mb-make-call,mb-doc-function-flow,mb-flow-nestor80-bdos-mono,Inject flow of Nestor80 toolchain bdos mono file module., ) diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk index b0598f7..e0183e2 100644 --- a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk +++ b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk @@ -1,33 +1,33 @@ MB_PROJ_META_GROUP_ID ?= -$(call mb-make-call,mb-doc-variable,MB_PROJ_META_GROUP_ID,"The project group id.") +$(call mb-make-call,mb-doc-variable,MB_PROJ_META_GROUP_ID,The project group id.) MB_PROJ_META_ARTIFACT_ID ?= -$(call mb-make-call,mb-doc-variable,MB_PROJ_META_ARTIFACT_ID,"The project artifact id.") +$(call mb-make-call,mb-doc-variable,MB_PROJ_META_ARTIFACT_ID,The project artifact id.) MB_PROJ_META_VERSION ?= -$(call mb-make-call,mb-doc-variable,MB_PROJ_META_VERSION,"The project version.") +$(call mb-make-call,mb-doc-variable,MB_PROJ_META_VERSION,The project version.) MB_PROJ_META_NAME ?= -$(call mb-make-call,mb-doc-variable,MB_PROJ_META_NAME,"The project name.") +$(call mb-make-call,mb-doc-variable,MB_PROJ_META_NAME,The project name.) MB_PROJ_META_DESCRIPTION ?= -$(call mb-make-call,mb-doc-variable,MB_PROJ_META_DESCRIPTION,"The project description.") +$(call mb-make-call,mb-doc-variable,MB_PROJ_META_DESCRIPTION,The project description.) MB_PROJ_META_WEBSITE ?= -$(call mb-make-call,mb-doc-variable,MB_PROJ_META_WEBSITE,"The project website location.") +$(call mb-make-call,mb-doc-variable,MB_PROJ_META_WEBSITE,The project website location.) MB_PROJ_PHASE_ALL = @clean @init @prepare @process @compile @link @build @test @package @package-qa @package-deploy @all -$(call mb-make-call,mb-doc-variable-rock,MB_PROJ_PHASE_ALL,"All the phases of an project with flow steps.") +$(call mb-make-call,mb-doc-variable-rock,MB_PROJ_PHASE_ALL,All the phases of an project with flow steps.) MB_PROJ_FIRE_MODULE_INIT ?= -$(call mb-make-call,mb-doc-variable-flow,MB_PROJ_FIRE_MODULE_INIT,"List of unique module project structure targets.") +$(call mb-make-call,mb-doc-variable-flow,MB_PROJ_FIRE_MODULE_INIT,List of unique module project structure targets.) MB_PROJ_FIRE_MODULE_RUN ?= -$(call mb-make-call,mb-doc-variable-flow,MB_PROJ_FIRE_MODULE_RUN,"List of unique module project modules run targets.") +$(call mb-make-call,mb-doc-variable-flow,MB_PROJ_FIRE_MODULE_RUN,List of unique module project modules run targets.) MB_PROJ_FIRE_ASSERT_SUCCESS ?= -$(call mb-make-call,mb-doc-variable-flow,MB_PROJ_FIRE_ASSERT_SUCCESS,"Word count of total asserts successes.") +$(call mb-make-call,mb-doc-variable-flow,MB_PROJ_FIRE_ASSERT_SUCCESS,Word count of total asserts successes.) define mb-proj-grow-assert-success @@ -35,7 +35,7 @@ $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-arg1,$(0),$(1)) $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-value-valid,$(0),$(1),@test @package-qa) $(MB_ᕽᕽᕽ   )$(eval MB_PROJ_FIRE_ASSERT_SUCCESS $(MB_MAKE_APPEND) $(1)) endef -$(call mb-make-call,mb-doc-function-deep,mb-proj-grow-assert-success,"Grow the success counter of assertions.","") +$(call mb-make-call,mb-doc-function-deep,mb-proj-grow-assert-success,Grow the success counter of assertions.,) define mb-proj-grow-deps-phase @@ -43,7 +43,7 @@ $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-arg2,$(0),$(1),$(2)) $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-value-valid,$(0),$(1),$(MB_PROJ_PHASE_ALL)) $(MB_ᕽᕽᕽ   )$(eval @$(1)-deps:: $(2)) endef -$(call mb-make-call,mb-doc-function-deep,mb-proj-grow-deps-phase,"Grow the deps of the selected phase."," ") +$(call mb-make-call,mb-doc-function-deep,mb-proj-grow-deps-phase,Grow the deps of the selected phase., ) define _mb-proj-flow-step-before @@ -68,7 +68,7 @@ $(3)@clean: $(3)@@clean $(3)@@clean-deps $(MB_@RECIPE)$$(if $$(wildcard $(1)),$$(call mb-make-call,mb-os-echo-command,$$(MB_I18N_PROJ_DIR_DELETE) $(1))) $(MB_@RECIPE)$$(if $$(wildcard $(1)),$$(call mb-make-call,mb-os-dir-delete,$(1))) $(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) -$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-$$(if $(3),module,help),$(3)@clean,"Clean's the project build folders.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-$$(if $(3),module,help),$(3)@clean,Clean's the project build folders.) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@clean) $(3)@@init: $(2) @@ -80,7 +80,7 @@ $(3)@init: $(3)@@init $(3)@@init-deps $(MB_@RECIPE)$$(if $$(wildcard $(1)),,$$(call mb-make-call,mb-os-echo-command,$$(MB_I18N_PROJ_DIR_CREATE) $(1))) $(MB_@RECIPE)$$(if $$(wildcard $(1)),,$$(call mb-make-call,mb-os-dir-create,$(1))) $(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) -$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-$$(if $(3),module,help),$(3)@init,"Create the project output folders.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-$$(if $(3),module,help),$(3)@init,Create the project output folders.) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@init) $(3)@@prepare: $(3)@init @@ -90,7 +90,7 @@ $(3)@@prepare-deps:: $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@@prepare-deps) $(3)@prepare: $(3)@@prepare $(3)@@prepare-deps $(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) -$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-$$(if $(3),module,help),$(3)@prepare,"Prepare sources before processing.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-$$(if $(3),module,help),$(3)@prepare,Prepare sources before processing.) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@prepare) $(3)@@process: $(3)@prepare @@ -100,7 +100,7 @@ $(3)@@process-deps:: $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@@process-deps) $(3)@process: $(3)@@process $(3)@@process-deps $(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) -$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-$$(if $(3),module,help),$(3)@process,"Process sources before compiling.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-$$(if $(3),module,help),$(3)@process,Process sources before compiling.) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@process) $(3)@@compile: $(3)@process @@ -110,7 +110,7 @@ $(3)@@compile-deps:: $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@@compile-deps) $(3)@compile: $(3)@@compile $(3)@@compile-deps $(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) -$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-$$(if $(3),module,help),$(3)@compile,"Compiles all project sources.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-$$(if $(3),module,help),$(3)@compile,Compiles all project sources.) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@compile) $(3)@@link: $(3)@compile @@ -120,7 +120,7 @@ $(3)@@link-deps:: $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@@link-deps) $(3)@link: $(3)@@link $(3)@@link-deps $(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) -$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-$$(if $(3),module,help),$(3)@link,"Link all project intermediate files.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-$$(if $(3),module,help),$(3)@link,Link all project intermediate files.) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@link) $(3)@@build: $(3)@link @@ -130,7 +130,7 @@ $(3)@@build-deps:: $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@@build-deps) $(3)@build: $(3)@@build $(3)@@build-deps $(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) -$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-$$(if $(3),module,help),$(3)@build,"Build all project artifacts.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-$$(if $(3),module,help),$(3)@build,Build all project artifacts.) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@build) $(3)@@test: $(3)@build @@ -141,7 +141,7 @@ $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@@test-dep $(3)@test: $(3)@@test $(3)@@test-deps $(MB_@RECIPE)$$(if $(3),,$$(call mb-make-call,mb-os-echo-report,$$(MB_I18N_PROJ_ASSERT_COUNT) @test: $$(words $$(filter @test,$$(MB_PROJ_FIRE_ASSERT_SUCCESS))))) $(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) -$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-$$(if $(3),module,help),$(3)@test,"Run all assertion tests.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-$$(if $(3),module,help),$(3)@test,Run all assertion tests.) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@test) $(3)@@package: $(3)@test @@ -151,7 +151,7 @@ $(3)@@package-deps:: $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@@package-deps) $(3)@package: $(3)@@package $(3)@@package-deps $(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) -$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-$$(if $(3),module,help),$(3)@package,"Create all packages of project.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-$$(if $(3),module,help),$(3)@package,Create all packages of project.) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@package) $(3)@@package-qa: $(3)@package @@ -162,7 +162,7 @@ $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@@package- $(3)@package-qa: $(3)@@package-qa $(3)@@package-qa-deps $(MB_@RECIPE)$$(if $(3),,$$(call mb-make-call,mb-os-echo-report,$$(MB_I18N_PROJ_ASSERT_COUNT) @package-qa: $$(words $$(filter @package-qa,$$(MB_PROJ_FIRE_ASSERT_SUCCESS))))) $(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) -$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-$$(if $(3),module,help),$(3)@package-qa,"Run all packages QA of project.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-$$(if $(3),module,help),$(3)@package-qa,Run all packages QA of project.) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@package-qa) $(3)@@package-deploy: $(3)@package-qa @@ -172,7 +172,7 @@ $(3)@@package-deploy-deps:: $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@@package-deploy-deps) $(3)@package-deploy: $(3)@@package-deploy $(3)@@package-deploy-deps $(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) -$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-$$(if $(3),module,help),$(3)@package-deploy,"Deploy all the packages.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-$$(if $(3),module,help),$(3)@package-deploy,Deploy all the packages.) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@package-deploy) $(3)@@all: $(3)@package-qa @@ -183,7 +183,7 @@ $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@@all-deps $(3)@all: $(3)@@all $(3)@@all-deps $(MB_@RECIPE)$$(if $$(value MAKECMDGOALS),,$$(call mb-make-call,mb-os-echo-report,$$(MB_I18N_PROJ_OPENMSX_COUNT) $$(words $$(MB_OPENMSX_FIRE_PIPE_SMOKE)))) $(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) -$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-$$(if $(3),module,help),$(3)@all,"Run full build and package QA tests.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-$$(if $(3),module,help),$(3)@all,Run full build and package QA tests.) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@all) .RECIPEPREFIX := $(MB_@RECIPEPREFIX) @@ -200,7 +200,7 @@ $(MB_ᕽᕽᕽ   )$(if $(filter $(if $(3),$(3)/@init,@init),$(MB_PROJ_FIRE $(MB_ᕽᕽᕽ   )$(if $(3),,$(if $(MB_I18N),$(if $(filter off,$(MB_I18N)),,$(call mb-make-call,_$(0)-i18n)))) $(MB_ᕽᕽᕽ   )$(eval MB_PROJ_FIRE_MODULE_INIT $(MB_MAKE_APPEND) $(if $(3),$(3)/@init,@init)) endef -$(call mb-make-call,mb-doc-function-flow,mb-flow-proj-setup,"Inject flow of abstract project build cycle."," [parent-glue] [bin-mod-dir]") +$(call mb-make-call,mb-doc-function-flow,mb-flow-proj-setup,Inject flow of abstract project build cycle., [parent-glue] [bin-mod-dir]) define __mb-flow-proj-setup-i18n @@ -290,7 +290,7 @@ define __mb-flow-proj-module-run $(1)/@run: $(1)/@build $(MB_@RECIPE)$$(call mb-make-call,mb-msxpipe-run-gui,$(1),,$(2)) -$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-run,$(1)/@run,"Run manually with gui.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-run,$(1)/@run,Run manually with gui.) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(1)/@run) .RECIPEPREFIX := $(MB_@RECIPEPREFIX) @@ -300,7 +300,7 @@ $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-arg1,$(0),$(1)) $(MB_ᕽᕽᕽ   )$(if $(filter $(1)/@run,$(MB_PROJ_FIRE_MODULE_RUN)),,$(eval $(call mb-make-call,__$(0),$(1),$(2)))) $(MB_ᕽᕽᕽ   )$(eval MB_PROJ_FIRE_MODULE_RUN +$(MB_MAKE_EQUALS) $(1)/@run) endef -$(call mb-make-call,mb-doc-function-flow,mb-flow-proj-module-run,"Inject flow module @run."," [machine]") +$(call mb-make-call,mb-doc-function-flow,mb-flow-proj-module-run,Inject flow module @run., [machine]) define __mb-flow-proj-prepare-packages @@ -318,5 +318,5 @@ define mb-flow-proj-prepare-packages $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-arg2,$(0),$(1),$(2)) $(MB_ᕽᕽᕽ   )$(foreach _pack,$(2),$(eval $(call mb-make-call,__$(0),$(1),$(_pack),$(3)))) endef -$(call mb-make-call,mb-doc-function-flow,mb-flow-proj-prepare-packages,"Inject package fetch flow for module."," [dest-dir]") +$(call mb-make-call,mb-doc-function-flow,mb-flow-proj-prepare-packages,Inject package fetch flow for module., [dest-dir]) diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_sdcc.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_sdcc.mk index 0a16ac4..b9ac61d 100644 --- a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_sdcc.mk +++ b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_sdcc.mk @@ -28,5 +28,5 @@ $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-flow-proj-setup,$(1),@init,$(1)) $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-flow-proj-module-run,$(1)) $(MB_ᕽᕽᕽ   )$(eval $(call mb-make-call,__$(0),$(1),$(2),$(3))) endef -$(call mb-make-call,mb-doc-function-flow,mb-flow-sdcc-bdos-mono,"Inject flow of sdcc toolchain bdos mono file module."," ") +$(call mb-make-call,mb-doc-function-flow,mb-flow-sdcc-bdos-mono,Inject flow of sdcc toolchain bdos mono file module., ) diff --git a/lib/msxbuild.mk b/lib/msxbuild.mk index dfae229..c4894ad 100644 --- a/lib/msxbuild.mk +++ b/lib/msxbuild.mk @@ -89,11 +89,11 @@ MB_@INCLUDE_CHAIN := @include:: @@include-libs @@include-root # Document our internal variables, now we have the functions loaded -$(MB_ᕽᕽᕽ )$(call mb-make-call,mb-doc-variable-rock,MB_@RECIPE,"Recipe prefix to set and indent flow eval rules.") -$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-doc-variable-rock,MB_@RECIPEPREFIX,"Recipe prefix to restore to after flow eval rules.") -$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-doc-variable-rock,MB_@BASEPATH,"Path where msxbuild lib folder is located.") -$(MB_ᕽᕽᕽ    )$(call mb-make-call,mb-doc-variable-rock,MB_@WORK,"Shorthand to move to the work folder of module.") -$(MB_ᕽᕽᕽ     )$(call mb-make-call,mb-doc-variable-rock,MB_@INCLUDE_CHAIN,"Helper to chain includes into groups.") +$(MB_ᕽᕽᕽ )$(call mb-make-call,mb-doc-variable-rock,MB_@RECIPE,Recipe prefix to set and indent flow eval rules.) +$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-doc-variable-rock,MB_@RECIPEPREFIX,Recipe prefix to restore to after flow eval rules.) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-doc-variable-rock,MB_@BASEPATH,Path where msxbuild lib folder is located.) +$(MB_ᕽᕽᕽ    )$(call mb-make-call,mb-doc-variable-rock,MB_@WORK,Shorthand to move to the work folder of module.) +$(MB_ᕽᕽᕽ     )$(call mb-make-call,mb-doc-variable-rock,MB_@INCLUDE_CHAIN,Helper to chain includes into groups.) # Provider+doc easy single function start point for user define mb-setup-default @@ -103,5 +103,5 @@ $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-flow-0module-include,$(2)) $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-flow-proj-setup,$(1),@include) $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-flight-proj-flow-video,$(1)) endef -$(call mb-make-call,mb-doc-function,mb-setup-default,"Setup default extenstions to configure dynamic project flow."," ") +$(call mb-make-call,mb-doc-function,mb-setup-default,Setup default extenstions to configure dynamic project flow., ) diff --git a/src/assert/msxhub/0module100.mk b/src/assert/msxhub/0module100.mk index 599567a..7c53d6b 100644 --- a/src/assert/msxhub/0module100.mk +++ b/src/assert/msxhub/0module100.mk @@ -3,7 +3,7 @@ ASSERT_MSXHUB_SRC := $(call mb-make-call,mb-make-module-path-src,$(PATH_SRC) ASSERT_MSXHUB_BIN := $(call mb-make-call,mb-make-module-path-bin,$(PATH_SRC),$(PATH_BIN)) ASSERT_MSXHUB_MACHINE ?= Boosted_MSX2+_JP -$(call mb-make-call,mb-doc-variable,ASSERT_MSXHUB_MACHINE,"The machine to run the msxhub module on.") +$(call mb-make-call,mb-doc-variable,ASSERT_MSXHUB_MACHINE,The machine to run the msxhub module on.) $(ASSERT_MSXHUB_BIN): | @init @@ -34,7 +34,7 @@ _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-msxhub-get-gfxage,$(ASSERT_MSXHUB_B $(ASSERT_MSXHUB_BIN)/@run: | $(ASSERT_MSXHUB_BIN) _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-msxpipe-run-gui,$(ASSERT_MSXHUB_BIN),,$(ASSERT_MSXHUB_MACHINE)) -$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-doc-target-run,$(ASSERT_MSXHUB_BIN)/@run,"Run the dist with all msxhub packages.") +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-doc-target-run,$(ASSERT_MSXHUB_BIN)/@run,Run the dist with all msxhub packages.) $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-target-phony,$(ASSERT_MSXHUB_BIN)/@run) @@ -51,7 +51,7 @@ _$(MB_ᕽᕽᕽ  )grep -q "MAKE COM" $(ASSERT_MSXHUB_BIN)/utils.out _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-echo-assert,$(MB_I18N_ASSERT_SUCCESS) $(ASSERT_MSXHUB_BIN)/@assert) _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-proj-grow-assert-success,@test) _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-proj-grow-assert-success,@test) -$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-doc-target-assert,$(ASSERT_MSXHUB_BIN)/@assert,"Asserts that all dist artifacts execute results.") +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-doc-target-assert,$(ASSERT_MSXHUB_BIN)/@assert,Asserts that all dist artifacts execute results.) $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-proj-grow-deps-phase,@test,$(ASSERT_MSXHUB_BIN)/@assert) $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-target-phony,$(ASSERT_MSXHUB_BIN)/@assert) diff --git a/src/assert/msxrom/0module100.mk b/src/assert/msxrom/0module100.mk index 987fcc9..fb41acd 100644 --- a/src/assert/msxrom/0module100.mk +++ b/src/assert/msxrom/0module100.mk @@ -4,7 +4,7 @@ ASSERT_MSXROM_BIN := $(call mb-make-call,mb-make-module-path-bin,$(PATH_SRC) ASSERT_MSXROM_DEPS := $(call mb-make-call,mb-make-module-local-deps,$(PATH_BIN),$(EXAMPLE_DIST_HELLO_DEPS)) ASSERT_MSXROM_MATRIX ?= off -$(call mb-make-call,mb-doc-variable,ASSERT_MSXROM_MATRIX,"When on enabled matrix testing of all machines.") +$(call mb-make-call,mb-doc-variable,ASSERT_MSXROM_MATRIX,When on enabled matrix testing of all machines.) # Test matrix = 13 * 2 * 4 = 104 assertion tests diff --git a/src/example/dist-hello-qa-dos1/0module010.mk b/src/example/dist-hello-qa-dos1/0module010.mk index 9e6c506..1cffafd 100644 --- a/src/example/dist-hello-qa-dos1/0module010.mk +++ b/src/example/dist-hello-qa-dos1/0module010.mk @@ -15,7 +15,7 @@ _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-append-safe-assert,$(EXAMP _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-append-exit,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN)) _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-openmsx-dosctl,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN)) _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-echo-assert,$(MB_I18N_ASSERT_SUCCESS) $(EXAMPLE_DIST_HELLO_QA_DOS1_BIN)/@assert) -$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-doc-target-assert,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN)/@assert,"Assert binaries on msxdos1.") +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-doc-target-assert,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN)/@assert,Assert binaries on msxdos1.) $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-proj-grow-deps-phase,@package-qa,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN)/@assert) $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-target-phony,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN)/@assert) diff --git a/src/example/dist-hello-qa-dos2/0module010.mk b/src/example/dist-hello-qa-dos2/0module010.mk index a97f6ff..7861070 100644 --- a/src/example/dist-hello-qa-dos2/0module010.mk +++ b/src/example/dist-hello-qa-dos2/0module010.mk @@ -25,7 +25,7 @@ _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-proj-grow-assert-success,@package-q _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-proj-grow-assert-success,@package-qa) _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-proj-grow-assert-success,@package-qa) _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-proj-grow-assert-success,@package-qa) -$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-doc-target-assert,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/@assert,"Assert binaries on msxdos2.") +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-doc-target-assert,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/@assert,Assert binaries on msxdos2.) $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-proj-grow-deps-phase,@package-qa,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/@assert) $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-target-phony,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/@assert) diff --git a/src/example/dist-hello-qa-msx1/0module010.mk b/src/example/dist-hello-qa-msx1/0module010.mk index ef4e511..e341160 100644 --- a/src/example/dist-hello-qa-msx1/0module010.mk +++ b/src/example/dist-hello-qa-msx1/0module010.mk @@ -3,7 +3,7 @@ EXAMPLE_DIST_HELLO_QA_MSX1_SRC := $(call mb-make-call,mb-make-module-path-sr EXAMPLE_DIST_HELLO_QA_MSX1_BIN := $(call mb-make-call,mb-make-module-path-bin,$(PATH_SRC),$(PATH_BIN)) EXAMPLE_DIST_HELLO_QA_MSX1_MACHINE ?= Canon_V-20 -$(call mb-make-call,mb-doc-variable,EXAMPLE_DIST_HELLO_QA_MSX1_MACHINE,"The machine to run the msx1 qa module on.") +$(call mb-make-call,mb-doc-variable,EXAMPLE_DIST_HELLO_QA_MSX1_MACHINE,The machine to run the msx1 qa module on.) $(call mb-make-call,mb-flow-clone-deps,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN),$(EXAMPLE_DIST_HELLO_BIN),$(EXAMPLE_DIST_HELLO_DEPS),,$(EXAMPLE_DIST_HELLO_QA_MSX1_MACHINE)) @@ -28,7 +28,7 @@ _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-proj-grow-assert-success,@package-q _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-proj-grow-assert-success,@package-qa) _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-proj-grow-assert-success,@package-qa) _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-proj-grow-assert-success,@package-qa) -$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-doc-target-assert,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/@assert,"Assert binaries on MSX1 machine.") +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-doc-target-assert,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/@assert,Assert binaries on MSX1 machine.) $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-proj-grow-deps-phase,@package-qa,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/@assert) $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-target-phony,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/@assert) diff --git a/src/example/dist-hello/0module001.mk b/src/example/dist-hello/0module001.mk index 42724b1..427b63e 100644 --- a/src/example/dist-hello/0module001.mk +++ b/src/example/dist-hello/0module001.mk @@ -28,11 +28,11 @@ $(EXAMPLE_DIST_HELLO_BIN)/hello4.com: bin/example/hello-nestor80/hello.com | $(E _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-file-copy,$<,$@) $(EXAMPLE_DIST_HELLO_BIN)/@prepare: | $(EXAMPLE_DIST_HELLO_DEPS) -$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-doc-target,$(EXAMPLE_DIST_HELLO_BIN)/@prepare,"Prepare folder with binaries for distribution.") +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-doc-target,$(EXAMPLE_DIST_HELLO_BIN)/@prepare,Prepare folder with binaries for distribution.) $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-target-phony,$(EXAMPLE_DIST_HELLO_BIN)/@prepare) $(EXAMPLE_DIST_HELLO_OUT): | $(EXAMPLE_DIST_HELLO_BIN)/@prepare _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-package-create-archive,$(EXAMPLE_DIST_HELLO_BIN),$@) -$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-doc-target,$(EXAMPLE_DIST_HELLO_OUT),"Build archive distribution artifact.") +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-doc-target,$(EXAMPLE_DIST_HELLO_OUT),Build archive distribution artifact.) $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-proj-grow-deps-phase,@package,$(EXAMPLE_DIST_HELLO_OUT)) diff --git a/src/example/hello-make4msx/0module000.mk b/src/example/hello-make4msx/0module000.mk index 46178e1..84b6f36 100644 --- a/src/example/hello-make4msx/0module000.mk +++ b/src/example/hello-make4msx/0module000.mk @@ -37,14 +37,14 @@ $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-doc-target-deep,$(EXAMPLE_HELLO_M $(EXAMPLE_HELLO_MAKE4MSX_BIN)/@build: $(EXAMPLE_HELLO_MAKE4MSX_BIN)/hello.com -$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-doc-target,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/@build,"Compiles binary with make on msx.") +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-doc-target,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/@build,Compiles binary with make on msx.) $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-proj-grow-deps-phase,@build,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/@build) $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-target-phony,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/@build) $(EXAMPLE_HELLO_MAKE4MSX_BIN)/@run: $(EXAMPLE_HELLO_MAKE4MSX_BIN)/@build _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-msxpipe-run-gui,$(EXAMPLE_HELLO_MAKE4MSX_BIN)) -$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-doc-target-run,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/@run,"Run the make build manually.") +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-doc-target-run,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/@run,Run the make build manually.) $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-target-phony,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/@run) diff --git a/src/tools/gluedos1/0module000.mk b/src/tools/gluedos1/0module000.mk index 9d72c36..576cc8f 100644 --- a/src/tools/gluedos1/0module000.mk +++ b/src/tools/gluedos1/0module000.mk @@ -23,7 +23,7 @@ _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-append-safe-assert,$(GLUED _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-append-exit,$(GLUEDOS1_BIN)) _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-openmsx-dosctl,$(GLUEDOS1_BIN)) _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-echo-assert,$(MB_I18N_ASSERT_SUCCESS) $(GLUEDOS1_BIN)/@assert/@test/check-simple) -$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-doc-target-assert,$(GLUEDOS1_BIN)/@assert/@test/check-simple,"Assert binaries on msxdos1.") +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-doc-target-assert,$(GLUEDOS1_BIN)/@assert/@test/check-simple,Assert binaries on msxdos1.) $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-proj-grow-deps-phase,@test,$(GLUEDOS1_BIN)/@assert/@test/check-simple) $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-target-phony,$(GLUEDOS1_BIN)/@assert/@test/check-simple) @@ -34,6 +34,6 @@ _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-file-copy,$(GLUEDOS1_BIN)/cls.co _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-file-copy,$(GLUEDOS1_BIN)/echo.com,$(GLUEDOS1_DIST)) _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-file-copy,$(GLUEDOS1_BIN)/set.com,$(GLUEDOS1_DIST)) _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-file-copy,$(GLUEDOS1_BIN)/ver.com,$(GLUEDOS1_DIST)) -$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-doc-target,$(GLUEDOS1_BIN)/@release-local,"Updates binary copy in $(GLUEDOS1_DIST) folder.") +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-doc-target,$(GLUEDOS1_BIN)/@release-local,Updates binary copy in $(GLUEDOS1_DIST) folder.) $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-target-phony,$(GLUEDOS1_BIN)/@release-local) diff --git a/src/tools/gluemsx1/0module000.mk b/src/tools/gluemsx1/0module000.mk index 241f7f2..f5af2d3 100644 --- a/src/tools/gluemsx1/0module000.mk +++ b/src/tools/gluemsx1/0module000.mk @@ -4,11 +4,11 @@ MBBOOT80_BIN := $(call mb-make-call,mb-make-module-path-bin,$(PATH_SRC),$(PATH_ MBBOOT80_DEPS := $(call mb-make-call,mb-make-module-local-deps,$(MBBOOT80_BIN),$(patsubst %.asm,%.com,$(shell ls -1 $(MBBOOT80_SRC)/*.asm))) $(call mb-make-call,mb-flow-sdcc-bdos-mono,$(MBBOOT80_BIN),$(MBBOOT80_SRC),mbboot80) -$(call mb-make-call,mb-flow-assert-msxpipe-grep-test,$(MBBOOT80_BIN),$(MBBOOT80_DEPS),check-boot80,mbboot80,"mb::boot mode 80") +$(call mb-make-call,mb-flow-assert-msxpipe-grep-test,$(MBBOOT80_BIN),$(MBBOOT80_DEPS),check-boot80,mbboot80,mb::boot mode 80) $(MBBOOT80_BIN)/@release-local: | $(MBBOOT80_BIN)/@build _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-file-copy,$(MBBOOT80_BIN)/mbboot80.com,lib/emuctl/mbboot80.com) -$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-doc-target,$(MBBOOT80_BIN)/@release-local,"Updates binary copy in lib/emuctl folder.") +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-doc-target,$(MBBOOT80_BIN)/@release-local,Updates binary copy in lib/emuctl folder.) $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-target-phony,$(MBBOOT80_BIN)/@release-local) From 05da6ba3184c824258b37a70a9c24205d75add1c Mon Sep 17 00:00:00 2001 From: Willem Date: Wed, 17 Jul 2024 01:33:54 +0200 Subject: [PATCH 231/274] Fixed missed renames. --- lib/make/mb_flight.mk | 2 +- lib/make/天房系統擴展/mb_autoexec.mk | 2 +- src/assert/msxrom/0module100.mk | 8 ++++---- 3 files changed, 6 insertions(+), 6 deletions(-) diff --git a/lib/make/mb_flight.mk b/lib/make/mb_flight.mk index d7618e8..5e5edb1 100644 --- a/lib/make/mb_flight.mk +++ b/lib/make/mb_flight.mk @@ -20,7 +20,7 @@ $(call mb-make-call,mb-doc-variable,MB_FLIGHT_VIDEO_NAME,Video file name of the define mb-flight-video-merge $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-arg1,$(0),$(1)) -$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-os-echo_command,Indexing flight videos) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-os-echo-command,Indexing flight videos) $(MB_ᕽᕽᕽ   )find $(1) -type f -name '*.avi' -printf '%T@ %Tc %p\n' | sort -n | awk -F "$(1)/" -v s="file '" -v e="'" '{print s$$2e}' > $(1)/$(MB_FLIGHT_VIDEO_NAME).lst $(MB_ᕽᕽᕽ   )ffmpeg -v quiet -y -f concat -safe 0 -i $(1)/$(MB_FLIGHT_VIDEO_NAME).lst -c copy $(1)/$(MB_FLIGHT_VIDEO_NAME).avi $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-os-echo-remark,Flight video completed) diff --git a/lib/make/天房系統擴展/mb_autoexec.mk b/lib/make/天房系統擴展/mb_autoexec.mk index 6109e2e..681bf32 100644 --- a/lib/make/天房系統擴展/mb_autoexec.mk +++ b/lib/make/天房系統擴展/mb_autoexec.mk @@ -160,7 +160,7 @@ $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-os-file-empty,$(1)/autoexec.bat) $(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)/utils),$(call mb-make-call,_mb-autoexec-write-preboot,$(1),utils\,$(2),$(3)),$(call mb-make-call,_mb-autoexec-write-preboot,$(1),,$(2),$(3))) $(MB_ᕽᕽᕽ   )$(if $(filter on,$(MB_AUTOEXEC_SHOW_HOST)),$(call mb-make-call,mb-autoexec-append-cmd,$(1),omsxctl boot_exec_show_host)) $(MB_ᕽᕽᕽ   )$(if $(MB_AUTOEXEC_STARTUP_NOTE),$(call mb-make-call,mb-autoexec-append-echo,$(1),mb::note $(MB_AUTOEXEC_STARTUP_NOTE))) -$(MB_ᕽᕽᕽ   )$(if $(filter on,$(MB_FLIGHT_VIDEO)),$(call mb-make-call,mb-autoexec-append-save_video,$(1))) +$(MB_ᕽᕽᕽ   )$(if $(filter on,$(MB_FLIGHT_VIDEO)),$(call mb-make-call,mb-autoexec-append-save-video,$(1))) $(MB_ᕽᕽᕽ   )echo -n -e "$(subst $(MB_MAKE_SPACE)set,set,$(foreach var,$(MB_AUTOEXEC_EMBED_VARS),set MSX_$(var)$(MB_MAKE_EQUALS)$($(var))\r\n))" >> $(1)/autoexec.bat $(MB_ᕽᕽᕽ   )echo -n -e "$(subst $(MB_MAKE_SPACE)set,set,$(foreach var,$(MB_AUTOEXEC_EMBED_VARS),echo MSX_$(var)$(MB_MAKE_EQUALS)%MSX_$(var)%\r\n))" >> $(1)/autoexec.bat $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-cmd,$(1),set TIME$(MB_MAKE_EQUALS)$(MB_AUTOEXEC_TIME_FORMAT)) diff --git a/src/assert/msxrom/0module100.mk b/src/assert/msxrom/0module100.mk index fb41acd..130490a 100644 --- a/src/assert/msxrom/0module100.mk +++ b/src/assert/msxrom/0module100.mk @@ -10,10 +10,10 @@ $(call mb-make-call,mb-doc-variable,ASSERT_MSXROM_MATRIX,When on enabled matrix # Test matrix = 13 * 2 * 4 = 104 assertion tests $(call mb-make-call,mb-flow-clone-deps,$(ASSERT_MSXROM_BIN),$(EXAMPLE_DIST_HELLO_BIN),$(EXAMPLE_DIST_HELLO_DEPS)) ifeq ("$(ASSERT_MSXROM_MATRIX)", "on") - $(foreach _rom,$(MB_MSXROM_FIRE_MACHINES),$(call mb-make-call,mb-flow-assert-msxpipe-grep-test,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-msxdos2-chk1,hello1,M80,msxdos2_boot,$(_rom))) - $(foreach _rom,$(MB_MSXROM_FIRE_MACHINES),$(call mb-make-call,mb-flow-assert-msxpipe-grep-test,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-msxdos2-chk2,hello2,SDCC,msxdos2_boot,$(_rom))) - $(foreach _rom,$(MB_MSXROM_FIRE_MACHINES),$(call mb-make-call,mb-flow-assert-msxpipe-grep-test,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-msxdos2-chk3,hello3,MAKE,msxdos2_boot,$(_rom))) - $(foreach _rom,$(MB_MSXROM_FIRE_MACHINES),$(call mb-make-call,mb-flow-assert-msxpipe-grep-test,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-msxdos1-chk4,hello4,N80,msxdos2_boot,$(_rom))) + $(foreach _rom,$(MB_MSXROM_FIRE_MACHINES),$(call mb-make-call,mb-flow-assert-msxpipe-grep-test,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-msxdos2-chk1,hello1,M80,msxdos2-boot,$(_rom))) + $(foreach _rom,$(MB_MSXROM_FIRE_MACHINES),$(call mb-make-call,mb-flow-assert-msxpipe-grep-test,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-msxdos2-chk2,hello2,SDCC,msxdos2-boot,$(_rom))) + $(foreach _rom,$(MB_MSXROM_FIRE_MACHINES),$(call mb-make-call,mb-flow-assert-msxpipe-grep-test,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-msxdos2-chk3,hello3,MAKE,msxdos2-boot,$(_rom))) + $(foreach _rom,$(MB_MSXROM_FIRE_MACHINES),$(call mb-make-call,mb-flow-assert-msxpipe-grep-test,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-msxdos1-chk4,hello4,N80,msxdos2-boot,$(_rom))) $(foreach _rom,$(MB_MSXROM_FIRE_MACHINES),$(call mb-make-call,mb-flow-assert-msxpipe-grep-test,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-nextor-chk1,hello1,M80,,$(_rom))) $(foreach _rom,$(MB_MSXROM_FIRE_MACHINES),$(call mb-make-call,mb-flow-assert-msxpipe-grep-test,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-nextor-chk2,hello2,SDCC,,$(_rom))) $(foreach _rom,$(MB_MSXROM_FIRE_MACHINES),$(call mb-make-call,mb-flow-assert-msxpipe-grep-test,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-nextor-chk3,hello3,MAKE,,$(_rom))) From ba2cc20af4ef9b9ba4ea8c459b550da9d41ef3cc Mon Sep 17 00:00:00 2001 From: Willem Date: Wed, 17 Jul 2024 01:36:38 +0200 Subject: [PATCH 232/274] Fixed save screen on exit. --- lib/make/天房系統擴展/mb_autoexec.mk | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/lib/make/天房系統擴展/mb_autoexec.mk b/lib/make/天房系統擴展/mb_autoexec.mk index 681bf32..aeafb67 100644 --- a/lib/make/天房系統擴展/mb_autoexec.mk +++ b/lib/make/天房系統擴展/mb_autoexec.mk @@ -97,7 +97,7 @@ $(call mb-make-call,mb-doc-function,mb-autoexec-append-stop-fail,Appends stop au define mb-autoexec-append-exit -$(MB_ᕽᕽᕽ   )$(if $(filter on,$(MB_FLIGHT_SCREEN)),$(call mb-make-call,mb-autoexec-append-save_screenshot,$(1))) +$(MB_ᕽᕽᕽ   )$(if $(filter on,$(MB_FLIGHT_SCREEN)),$(call mb-make-call,mb-autoexec-append-save-screenshot,$(1))) $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-cmd,$(1),omsxctl headless_exit) endef $(call mb-make-call,mb-doc-function,mb-autoexec-append-exit,Appends exit emulation command.,) From 4e27098c3ba687ec442f33b4466e98d1d4d6d5a0 Mon Sep 17 00:00:00 2001 From: Willem Date: Wed, 17 Jul 2024 12:46:31 +0200 Subject: [PATCH 233/274] Moved doc out of shell and made include 18 bit to remove binary. --- lib/make/सिस्टमₚᵣₐᵧToੴ/mb_doc.mk | 47 ++++--- lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_xml.mk | 10 +- lib/make/𓃬𓍄𓋹𓀭/mb_flow_help.mk | 130 +++++++----------- .../{mb_flow_0module.mk => mb_flow_nx01.mk} | 15 +- lib/msxbuild.mk | 14 +- 5 files changed, 100 insertions(+), 116 deletions(-) rename lib/make/𓃬𓍄𓋹𓀭/{mb_flow_0module.mk => mb_flow_nx01.mk} (53%) diff --git a/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_doc.mk b/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_doc.mk index 6551560..31197cb 100644 --- a/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_doc.mk +++ b/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_doc.mk @@ -1,44 +1,44 @@ -MB_DOC_FIRE_VARIABLE_FLOW ?= \\n +MB_DOC_FIRE_VARIABLE_FLOW ?= \n #*** Recursive variable: MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_VARIABLE_FLOW,Flow builder of fire flow variable data.) -MB_DOC_FIRE_VARIABLE ?= \\n +MB_DOC_FIRE_VARIABLE ?= \n MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_VARIABLE,Flow builder of firemake variable data.) -MB_DOC_FIRE_VARIABLE_DEEP ?= \\n +MB_DOC_FIRE_VARIABLE_DEEP ?= \n MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_VARIABLE_DEEP,Flow builder of makefire deep variable data.) -MB_DOC_FIRE_VARIABLE_ROCK ?= \\n +MB_DOC_FIRE_VARIABLE_ROCK ?= \n MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_VARIABLE_ROCK,Flow builder of makefire rock variable data.) -MB_DOC_FIRE_VARIABLE_I18N ?= \\n +MB_DOC_FIRE_VARIABLE_I18N ?= \n MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_VARIABLE_I18N,Flow builder of makefire i18n variable data.) -MB_DOC_FIRE_FUNCTION ?= \\n +MB_DOC_FIRE_FUNCTION ?= \n MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_FUNCTION,Flow builder of firemake function data.) -MB_DOC_FIRE_FUNCTION_DEEP ?= \\n +MB_DOC_FIRE_FUNCTION_DEEP ?= \n MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_FUNCTION_DEEP,Flow builder of firemake deep function data.) -MB_DOC_FIRE_FUNCTION_FLOW ?= \\n +MB_DOC_FIRE_FUNCTION_FLOW ?= \n MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_FUNCTION_FLOW,Flow builder of firemake flow function data.) -MB_DOC_FIRE_TARGET ?= \\n +MB_DOC_FIRE_TARGET ?= \n MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_TARGET,Flow builder of firemake target data.) -MB_DOC_FIRE_TARGET_DEEP ?= \\n +MB_DOC_FIRE_TARGET_DEEP ?= \n MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_TARGET_DEEP,Flow builder of firemake deep target data.) -MB_DOC_FIRE_TARGET_RUN ?= \\n +MB_DOC_FIRE_TARGET_RUN ?= \n MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_TARGET_RUN,Flow builder of firemake run target data.) -MB_DOC_FIRE_TARGET_MODULE ?= \\n +MB_DOC_FIRE_TARGET_MODULE ?= \n MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_TARGET_MODULE,Flow builder of firemake module target data.) -MB_DOC_FIRE_TARGET_ASSERT ?= \\n +MB_DOC_FIRE_TARGET_ASSERT ?= \n MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_TARGET_ASSERT,Flow builder of firemake assert target data.) -MB_DOC_FIRE_TARGET_HELP ?= \\n +MB_DOC_FIRE_TARGET_HELP ?= \n MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_TARGET_HELP,Flow builder of firemake help data.) MB_DOC_XML_ROOT := firemake @@ -59,21 +59,20 @@ MB_DOC_FIRE_VARIABLE_ROCK += $(call mb-make-call,_mb-doc-variable4u-rock,MB_DOC_ MB_DOC_XML_TAG_VALUE := value MB_DOC_FIRE_VARIABLE_ROCK += $(call mb-make-call,_mb-doc-variable4u-rock,MB_DOC_XML_TAG_VALUE,XML value tag for firemake output.) +#MB_DOC_XML_TAG_MACHINE := machine +#MB_DOC_FIRE_VARIABLE_ROCK += $(call mb-make-call,_mb-doc-variable4u-rock,MB_DOC_XML_TAG_MACHINE,XML machine tag for firemake output.) + # rename now internal: _MB_DOC_FORMAT MB_DOC_FORMAT ?= txt #MB_DOC_FIRE_VARIABLE_DEEP += $(call mb-make-call,_mb-doc-variable4u-deep,MB_DOC_FORMAT,Output format of documention$(MB_MAKE_COMMA) only 'txt' or 'xml' is supported.) -define _escape -$(MB_ᕽᕽᕽ   )$(subst ;,';',$(subst <,'<',$(subst >,'>',$(subst \\n,\\\n,$(subst ',"'","$(1)"))))) -endef - define _mb-doc-variable-txt -$(MB_ᕽᕽᕽ   )"* $(2)$(MB_MAKE_EQUALS)$(call mb-make-call,_escape,$(4))\\n$(if $(3),\\t$(call mb-make-call,_escape,$(3))\\n)\\n" +$(MB_ᕽᕽᕽ   )* $(2)$(MB_MAKE_EQUALS)$(4)\n$(if $(3),\t$(3)\n)\n endef define _mb-doc-variable-xml -$(MB_ᕽᕽᕽ   )\\n\\t$(call mb-make-call,mb-make-xml-open,variable,$(MB_DOC_XML_ATTR_NAME),$(2),$(MB_DOC_XML_ATTR_LEVEL),$(1))\\n\\t\\t$(call mb-make-call,mb-make-xml-tag-value,$(MB_DOC_XML_TAG_VALUE),$(4))\\n\\t\\t$(call mb-make-call,mb-make-xml-tag-value,$(MB_DOC_XML_TAG_DESC),$(3))\\n\\t$(call mb-make-call,mb-make-xml-close,variable) +$(MB_ᕽᕽᕽ   )\n\t$(call mb-make-call,mb-make-xml-open,variable,$(MB_DOC_XML_ATTR_NAME),$(2),$(MB_DOC_XML_ATTR_LEVEL),$(1))\n\t\t$(call mb-make-call,mb-make-xml-tag-value,$(MB_DOC_XML_TAG_VALUE),$(4))\n\t\t$(call mb-make-call,mb-make-xml-tag-value,$(MB_DOC_XML_TAG_DESC),$(3))\n\t$(call mb-make-call,mb-make-xml-close,variable) endef define _mb-doc-variable4u-deep $(MB_ᕽᕽᕽ   )$(call mb-make-call,_mb-doc-variable-$(MB_DOC_FORMAT),deep,$(1),$(2),$($(1))) @@ -110,10 +109,10 @@ MB_DOC_FIRE_FUNCTION_DEEP += $(call mb-make-call,_mb-doc-function4u-deep,mb-doc- define _mb-doc-function-txt -$(MB_ᕽᕽᕽ   )"* $(2) $(if $(4),$(MB_MAKE_EQUALS) $(call mb-make-call,_escape,$(4)))$(if $(3),\\n\\t$(call mb-make-call,_escape,$(3))\\n)\\n" +$(MB_ᕽᕽᕽ   )* $(2) $(if $(4),$(MB_MAKE_EQUALS) $(4))$(if $(3),\n\t$(3)\n)\n endef define _mb-doc-function-xml -$(MB_ᕽᕽᕽ   )\\n\\t$(call mb-make-call,mb-make-xml-open,function,$(MB_DOC_XML_ATTR_NAME),$(2),$(MB_DOC_XML_ATTR_LEVEL),$(1))\\n\\t\\t$(call mb-make-call,mb-make-xml-tag-value,$(MB_DOC_XML_TAG_ARGS),$(4))\\n\\t\\t$(call mb-make-call,mb-make-xml-tag-value,$(MB_DOC_XML_TAG_DESC),$(3))\\n\\t$(call mb-make-call,mb-make-xml-close,function) +$(MB_ᕽᕽᕽ   )\n\t$(call mb-make-call,mb-make-xml-open,function,$(MB_DOC_XML_ATTR_NAME),$(2),$(MB_DOC_XML_ATTR_LEVEL),$(1))\n\t\t$(call mb-make-call,mb-make-xml-tag-value,$(MB_DOC_XML_TAG_ARGS),$(4))\n\t\t$(call mb-make-call,mb-make-xml-tag-value,$(MB_DOC_XML_TAG_DESC),$(3))\n\t$(call mb-make-call,mb-make-xml-close,function) endef define _mb-doc-function4u-deep $(MB_ᕽᕽᕽ   )$(call mb-make-call,_mb-doc-function-$(MB_DOC_FORMAT),deep,$(1),$(2),$(3)) @@ -136,10 +135,10 @@ MB_DOC_FIRE_FUNCTION_DEEP += $(call mb-make-call,_mb-doc-function4u-deep,mb-doc- define _mb-doc-target-txt -$(MB_ᕽᕽᕽ   )"* $(2)$(if $(3),\\n\\t$(call mb-make-call,_escape,$(3)))\\n\\n" +$(MB_ᕽᕽᕽ   )* $(2)$(if $(3),\n\t$(3))\n\n endef define _mb-doc-target-xml -$(MB_ᕽᕽᕽ   )\\n\\t$(call mb-make-call,mb-make-xml-open,target,$(MB_DOC_XML_ATTR_NAME),$(2),$(MB_DOC_XML_ATTR_LEVEL),$(1))$(if $3,\\n\\t\\t$(call mb-make-call,mb-make-xml-tag-value,$(MB_DOC_XML_TAG_DESC),$(3))\\n\\t$(call mb-make-call,mb-make-xml-close,target),\\n\\t$(call mb-make-call,mb-make-xml-close,target)) +$(MB_ᕽᕽᕽ   )\n\t$(call mb-make-call,mb-make-xml-open,target,$(MB_DOC_XML_ATTR_NAME),$(2),$(MB_DOC_XML_ATTR_LEVEL),$(1))$(if $3,\n\t\t$(call mb-make-call,mb-make-xml-tag-value,$(MB_DOC_XML_TAG_DESC),$(3))\n\t$(call mb-make-call,mb-make-xml-close,target),\n\t$(call mb-make-call,mb-make-xml-close,target)) endef define mb-doc-target $(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_TARGET += $$(call mb-make-call,_mb-doc-target-$$(MB_DOC_FORMAT),build,$(1),$(2))) diff --git a/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_xml.mk b/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_xml.mk index 43552dc..ce44d34 100644 --- a/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_xml.mk +++ b/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_xml.mk @@ -13,25 +13,25 @@ $(call mb-make-call,mb-doc-variable-rock,MB_MAKE_XML_CDATA_END,Expanded special define _escape-xml-attr -$(MB_ᕽᕽᕽ   )$(subst <,\u0026lt\u003B,$(subst >,\u003Cgt\u003B,$(subst $(MB_MAKE_XML_CDATA_START),,$(subst $(MB_MAKE_XML_CDATA_END),,"$(1)")))) +$(MB_ᕽᕽᕽ   )$(subst <,<,$(subst >,>,$(subst $(MB_MAKE_XML_CDATA_START),,$(subst $(MB_MAKE_XML_CDATA_END),,$(1))))) endef define mb-make-xml-open -$(MB_ᕽᕽᕽ   )"$(MB_MAKE_XML_LT)"$(1)$(if $(2), $(2)$(MB_MAKE_EQUALS)\"$(call mb-make-call,_escape-xml-attr,$(3))\")$(if $(4), $(4)$(MB_MAKE_EQUALS)\"$(call mb-make-call,_escape-xml-attr,$(5))\")"$(MB_MAKE_XML_GT)" +$(MB_ᕽᕽᕽ   )$(MB_MAKE_XML_LT)$(1)$(if $(2), $(2)$(MB_MAKE_EQUALS)\"$(call mb-make-call,_escape-xml-attr,$(3))\")$(if $(4), $(4)$(MB_MAKE_EQUALS)\"$(call mb-make-call,_escape-xml-attr,$(5))\")$(MB_MAKE_XML_GT) endef $(call mb-make-call,mb-doc-function-deep,mb-make-xml-open,Print xml open tag., [attr1-name] [attr1-value] [attr2-name] [attr2-value]) define mb-make-xml-close -$(MB_ᕽᕽᕽ   )"$(MB_MAKE_XML_LT)"/$(1)"$(MB_MAKE_XML_GT)" +$(MB_ᕽᕽᕽ   )$(MB_MAKE_XML_LT)/$(1)$(MB_MAKE_XML_GT) endef $(call mb-make-call,mb-doc-function-deep,mb-make-xml-close,Print xml close tag.,) define _escape-xml-value -$(MB_ᕽᕽᕽ   )$(subst <,\u003C,$(subst >,\u003E,$(subst $(MB_MAKE_XML_CDATA_START),,$(subst $(MB_MAKE_XML_CDATA_END),,"$(1)")))) +$(MB_ᕽᕽᕽ   )$(subst $(MB_MAKE_XML_CDATA_START),,$(subst $(MB_MAKE_XML_CDATA_END),,$(1))) endef define mb-make-xml-value -$(MB_ᕽᕽᕽ   )"$(MB_MAKE_XML_CDATA_START)"$(call mb-make-call,_escape-xml-value,$(1))"$(MB_MAKE_XML_CDATA_END)" +$(MB_ᕽᕽᕽ   )$(MB_MAKE_XML_CDATA_START)$(call mb-make-call,_escape-xml-value,$(1))$(MB_MAKE_XML_CDATA_END) endef $(call mb-make-call,mb-doc-function-deep,mb-make-xml-value,Print xml value in cdata wrapper.,) diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_help.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_help.mk index d1a4eb7..7504c94 100644 --- a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_help.mk +++ b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_help.mk @@ -1,88 +1,86 @@ +MB_FLOW_HELP_TEMP := $(shell mktemp) +$(call mb-make-call,mb-doc-variable-rock,MB_FLOW_HELP_TEMP,Temp file to output help with.) + + +# Never use echo to display real text: Argument List Too Long +define _mb-flow-print-help +$(MB_ᕽᕽᕽ   )@echo -e "$(2)" +$(MB_ᕽᕽᕽ   )$(file >$(MB_FLOW_HELP_TEMP),$($(1))) +$(MB_ᕽᕽᕽ   )@cat $(MB_FLOW_HELP_TEMP) | sed 's/\\n/\n/g' | sed 's/\\t/\t/g' +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-os-file-delete,$(MB_FLOW_HELP_TEMP)) +endef + + define __mb-flow-doc-help .RECIPEPREFIX := $(MB_@RECIPE) @help: -$(MB_@RECIPE)@echo -e "Welcome to the $$(if $$(MB_PROJ_META_NAME),$$(MB_PROJ_META_NAME),MSXBUILD) help system.\\n\\nFor detailed fire help use one of the following targets;" -$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_HELP) +$(MB_@RECIPE)$$(call mb-make-call,_mb-flow-print-help,MB_DOC_FIRE_TARGET_HELP,Welcome to the $$(if $$(MB_PROJ_META_NAME),$$(MB_PROJ_META_NAME),MSXBUILD) help system.\\n\\nFor detailed fire help use one of the following targets;) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@help) @help-variable: -$(MB_@RECIPE)@echo -e "Documention of the make fire variables;" -$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE) +$(MB_@RECIPE)$$(call mb-make-call,_mb-flow-print-help,MB_DOC_FIRE_VARIABLE,Documention of the make fire variables;) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@help-variable,Lists build variables.) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@help-variable) @help-variable-deep: -$(MB_@RECIPE)@echo "Documention of the deep make variables;" -$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE_DEEP) +$(MB_@RECIPE)$$(call mb-make-call,_mb-flow-print-help,MB_DOC_FIRE_VARIABLE_DEEP,Documention of the deep make variables;) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@help-variable-deep,Lists deep variables.) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@help-variable-deep) @help-variable-rock: -$(MB_@RECIPE)@echo -e "Documention of the rock make variables;" -$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE_ROCK) +$(MB_@RECIPE)$$(call mb-make-call,_mb-flow-print-help,MB_DOC_FIRE_VARIABLE_ROCK,Documention of the rock make variables;) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@help-variable-rock,Lists rock variables.) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@help-variable-rock) @help-variable-flow: -$(MB_@RECIPE)@echo -e "Documention of the flow make variables;" -$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE_FLOW) +$(MB_@RECIPE)$$(call mb-make-call,_mb-flow-print-help,MB_DOC_FIRE_VARIABLE_FLOW,Documention of the flow make variables;) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@help-variable-flow,Lists flow variables.) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@help-variable-flow) @help-variable-i18n: -$(MB_@RECIPE)@echo -e "Documention of the i18n make variables;" -$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE_I18N) +$(MB_@RECIPE)$$(call mb-make-call,_mb-flow-print-help,MB_DOC_FIRE_VARIABLE_I18N,Documention of the i18n make variables;) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@help-variable-i18n,Lists i18n variables.) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@help-variable-i18n) @help-function: -$(MB_@RECIPE)@echo -e "Documention of the make fire functions;" -$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_FUNCTION) +$(MB_@RECIPE)$$(call mb-make-call,_mb-flow-print-help,MB_DOC_FIRE_FUNCTION,Documention of the make fire functions;) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@help-function,Lists build functions.) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@help-function) @help-function-deep: -$(MB_@RECIPE)@echo -e "Documention of the deep make functions;" -$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_FUNCTION_DEEP) +$(MB_@RECIPE)$$(call mb-make-call,_mb-flow-print-help,MB_DOC_FIRE_FUNCTION_DEEP,Documention of the deep make functions;) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@help-function-deep,Lists deep functions.) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@help-function-deep) @help-function-flow: -$(MB_@RECIPE)@echo -e "Documention of the flow make functions;" -$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_FUNCTION_FLOW) +$(MB_@RECIPE)$$(call mb-make-call,_mb-flow-print-help,MB_DOC_FIRE_FUNCTION_FLOW,Documention of the flow make functions;) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@help-function-flow,Lists flow functions.) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@help-function-flow) @help-target: -$(MB_@RECIPE)@echo -e "Build one of the following make fire targets;" -$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET) +$(MB_@RECIPE)$$(call mb-make-call,_mb-flow-print-help,MB_DOC_FIRE_TARGET,Build one of the following make fire targets;) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@help-target,Lists build targets.) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@help-target) @help-target-deep: -$(MB_@RECIPE)@echo -e "Build one of the following deep make targets;" -$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_DEEP) +$(MB_@RECIPE)$$(call mb-make-call,_mb-flow-print-help,MB_DOC_FIRE_TARGET_DEEP,Build one of the following deep make targets;) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@help-target-deep,Lists deep targets.) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@help-target-deep) @help-target-run: -$(MB_@RECIPE)@echo -e "Build one of the following run make targets;" -$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_RUN) +$(MB_@RECIPE)$$(call mb-make-call,_mb-flow-print-help,MB_DOC_FIRE_TARGET_RUN,Build one of the following run make targets;) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@help-target-run,Lists runnable targets.) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@help-target-run) @help-target-module: -$(MB_@RECIPE)@echo -e "Build one of the following module make targets;" -$(MB_@RECIPE)$$(file >bin/@help.txt,$$(MB_DOC_FIRE_TARGET_MODULE)) -$(MB_@RECIPE)@cat bin/@help.txt | sed 's/\\\\n/\n/g' | sed 's/\\\\t/\t/g' | sed 's/\"//g' +$(MB_@RECIPE)$$(call mb-make-call,_mb-flow-print-help,MB_DOC_FIRE_TARGET_MODULE,Build one of the following module make targets;) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@help-target-module,Lists module targets.) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@help-target-module) @help-target-assert: -$(MB_@RECIPE)@echo -e "Build one of the following assert make targets;" -$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_ASSERT) +$(MB_@RECIPE)$$(call mb-make-call,_mb-flow-print-help,MB_DOC_FIRE_TARGET_ASSERT,Build one of the following assert make targets;) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@help-target-assert,Lists assert targets.) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@help-target-assert) @@ -92,63 +90,41 @@ $(MB_@RECIPE)@echo -e "\n "$(foreach _rom,$(MB_MSXROM_FIRE_MACHINES)," * $(_rom) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@help-machine,Lists supported machines.) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@help-machine) -@help-all: -$(MB_@RECIPE)@echo -e "Documention of all fire functions/variables/targets;\\n" -$(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-good,Variables) -$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE) -$(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-good,Variables in the deep) -$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE_DEEP) -$(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-good,Variables hard as rock) -$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE_ROCK) -$(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-good,Variables defining flow) -$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE_FLOW) -$(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-good,Variables for i18n letters) -$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE_I18N) -$(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-good,Functions) -$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_FUNCTION) -$(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-good,Functions in the deep) -$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_FUNCTION_DEEP) -$(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-good,Functions building flow) -$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_FUNCTION_FLOW) -$(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-good,Targets) -$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET) -$(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-good,Targets in the deep) -$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_DEEP) -$(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-good,Targets that run away) -$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_RUN) -$(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-good,Targets from modules builds) -$(MB_@RECIPE)$$(file >bin/@help.txt,$$(MB_DOC_FIRE_TARGET_MODULE)) -$(MB_@RECIPE)@cat bin/@help.txt | sed 's/\\\\n/\n/g' | sed 's/\\\\t/\t/g' | sed 's/\"//g' -$(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-good,Targets that assert hopefully) -$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_ASSERT) -$(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-good,Targets main project help) -$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_HELP) +@help-all: \ +$(MB_ᕽᕽᕽ   )@help-variable @help-variable-deep @help-variable-rock @help-variable-flow @help-variable-i18n \ +$(MB_ᕽᕽᕽ   )@help-function @help-function-deep @help-function-flow \ +$(MB_ᕽᕽᕽ   )@help-target @help-target-deep @help-target-run @help-target-module @help-target-assert \ +$(MB_ᕽᕽᕽ   )@help-machine $(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-good,OK) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@help-all,Lists all documented information.) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@help-all) @help-firemake: $(MB_@RECIPE)$$(eval MB_DOC_FORMAT :$(MB_MAKE_EQUALS) xml) -$(MB_@RECIPE)@echo -e $$(call mb-make-call,mb-make-xml-open,$$(MB_DOC_XML_ROOT)) -$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE) -$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE_DEEP) -$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE_ROCK) -$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE_FLOW) -$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE_I18N) -$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_FUNCTION) -$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_FUNCTION_DEEP) -$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_FUNCTION_FLOW) -$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET) -$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_DEEP) -$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_RUN) -$(MB_@RECIPE)$$(file >bin/@help.txt,$$(MB_DOC_FIRE_TARGET_MODULE)) -$(MB_@RECIPE)@cat bin/@help.txt | sed 's/\\\\n/\n/g' | sed 's/\\\\t/\t/g' | sed 's/\\\"/__TMP/g' | sed 's/\"//g' | sed 's/__TMP/\"/g' -$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_ASSERT) -$(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_HELP) -$(MB_@RECIPE)@echo -e $$(call mb-make-call,mb-make-xml-close,$$(MB_DOC_XML_ROOT)) +$(MB_@RECIPE)$$(file >$(MB_FLOW_HELP_TEMP),$$(call mb-make-call,mb-make-xml-open,$$(MB_DOC_XML_ROOT))) +$(MB_@RECIPE)$$(file >>$(MB_FLOW_HELP_TEMP),$$(MB_DOC_FIRE_VARIABLE)) +$(MB_@RECIPE)$$(file >>$(MB_FLOW_HELP_TEMP),$$(MB_DOC_FIRE_VARIABLE_DEEP)) +$(MB_@RECIPE)$$(file >>$(MB_FLOW_HELP_TEMP),$$(MB_DOC_FIRE_VARIABLE_ROCK)) +$(MB_@RECIPE)$$(file >>$(MB_FLOW_HELP_TEMP),$$(MB_DOC_FIRE_VARIABLE_FLOW)) +$(MB_@RECIPE)$$(file >>$(MB_FLOW_HELP_TEMP),$$(MB_DOC_FIRE_VARIABLE_I18N)) +$(MB_@RECIPE)$$(file >>$(MB_FLOW_HELP_TEMP),$$(MB_DOC_FIRE_FUNCTION)) +$(MB_@RECIPE)$$(file >>$(MB_FLOW_HELP_TEMP),$$(MB_DOC_FIRE_FUNCTION_DEEP)) +$(MB_@RECIPE)$$(file >>$(MB_FLOW_HELP_TEMP),$$(MB_DOC_FIRE_FUNCTION_FLOW)) +$(MB_@RECIPE)$$(file >>$(MB_FLOW_HELP_TEMP),$$(MB_DOC_FIRE_TARGET)) +$(MB_@RECIPE)$$(file >>$(MB_FLOW_HELP_TEMP),$$(MB_DOC_FIRE_TARGET_DEEP)) +$(MB_@RECIPE)$$(file >>$(MB_FLOW_HELP_TEMP),$$(MB_DOC_FIRE_TARGET_RUN)) +$(MB_@RECIPE)$$(file >>$(MB_FLOW_HELP_TEMP),$$(MB_DOC_FIRE_TARGET_MODULE)) +$(MB_@RECIPE)$$(file >>$(MB_FLOW_HELP_TEMP),$$(MB_DOC_FIRE_TARGET_ASSERT)) +$(MB_@RECIPE)$$(file >>$(MB_FLOW_HELP_TEMP),$$(MB_DOC_FIRE_TARGET_HELP)) +$(MB_@RECIPE)$$(file >>$(MB_FLOW_HELP_TEMP),$$(call mb-make-call,mb-make-xml-close,$$(MB_DOC_XML_ROOT))) +$(MB_@RECIPE)@cat $$(MB_FLOW_HELP_TEMP) | sed 's/\\n/\n/g' | sed 's/\\t/\t/g' | sed 's/\\"/\"/g' +$(MB_@RECIPE)$$(call mb-make-call,mb-os-file-delete,$$(MB_FLOW_HELP_TEMP)) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@help-firemake,Output all documention in XML for IDE integration.) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@help-firemake) +# TODO: create doc for "warpue" xml tag + list Word vAriable Readout Private valUE (for UI) +#$(MB_@RECIPE)$$(file >>$(MB_FLOW_HELP_TEMP),$$(foreach _rom,$$(MB_MSXROM_FIRE_MACHINES),\t$$(call mb-make-call,mb-make-xml-open,$$(MB_DOC_XML_TAG_MACHINE),name,$$(_rom))$$(call mb-make-call,mb-make-xml-close,$$(MB_DOC_XML_TAG_MACHINE))\n)) + .RECIPEPREFIX := $(MB_@RECIPEPREFIX) endef define mb-flow-doc-help diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_0module.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_nx01.mk similarity index 53% rename from lib/make/𓃬𓍄𓋹𓀭/mb_flow_0module.mk rename to lib/make/𓃬𓍄𓋹𓀭/mb_flow_nx01.mk index 2099833..81d3e44 100644 --- a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_0module.mk +++ b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_nx01.mk @@ -1,5 +1,5 @@ -define __mb-flow-0module-include +define __mb-flow-nx01-skywalker $(MB_ᕽᕽᕽ   )ifdef DEBUG $(MB_ᕽᕽᕽ      )ifneq ("$$(DEBUG)", "off") $(MB_ᕽᕽᕽ         )$$(warning DEBUG $(0) ($(1))) @@ -10,7 +10,7 @@ $(MB_ᕽᕽᕽ   )include $(1) $(MB_ᕽᕽᕽ   )@@include-mods:: $(1) $(MB_ᕽᕽᕽ   )$(1): @@include-tree endef -define mb-flow-0module-include +define mb-flow-nx01-skywalker $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-arg1,$(0),$(1)) $(MB_ᕽᕽᕽ   )$(foreach _mod,$(call mb-make-call,mb-make-wildcard-treewalker,$(1),*/0module.mk),$(eval $(call mb-make-call,__$(0),$(_mod)))) $(MB_ᕽᕽᕽ   )$(foreach _mod,$(call mb-make-call,mb-make-wildcard-treewalker,$(1),*/0module000.mk),$(eval $(call mb-make-call,__$(0),$(_mod)))) @@ -21,6 +21,15 @@ $(MB_ᕽᕽᕽ   )$(foreach _mod,$(call mb-make-call,mb-make-wildcard-tree $(MB_ᕽᕽᕽ   )$(foreach _mod,$(call mb-make-call,mb-make-wildcard-treewalker,$(1),*/0module101.mk),$(eval $(call mb-make-call,__$(0),$(_mod)))) $(MB_ᕽᕽᕽ   )$(foreach _mod,$(call mb-make-call,mb-make-wildcard-treewalker,$(1),*/0module110.mk),$(eval $(call mb-make-call,__$(0),$(_mod)))) $(MB_ᕽᕽᕽ   )$(foreach _mod,$(call mb-make-call,mb-make-wildcard-treewalker,$(1),*/0module111.mk),$(eval $(call mb-make-call,__$(0),$(_mod)))) +$(MB_ᕽᕽᕽ   )$(foreach _mod,$(call mb-make-call,mb-make-wildcard-treewalker,$(1),*/1module.mk),$(eval $(call mb-make-call,__$(0),$(_mod)))) +$(MB_ᕽᕽᕽ   )$(foreach _mod,$(call mb-make-call,mb-make-wildcard-treewalker,$(1),*/1module000.mk),$(eval $(call mb-make-call,__$(0),$(_mod)))) +$(MB_ᕽᕽᕽ   )$(foreach _mod,$(call mb-make-call,mb-make-wildcard-treewalker,$(1),*/1module001.mk),$(eval $(call mb-make-call,__$(0),$(_mod)))) +$(MB_ᕽᕽᕽ   )$(foreach _mod,$(call mb-make-call,mb-make-wildcard-treewalker,$(1),*/1module010.mk),$(eval $(call mb-make-call,__$(0),$(_mod)))) +$(MB_ᕽᕽᕽ   )$(foreach _mod,$(call mb-make-call,mb-make-wildcard-treewalker,$(1),*/1module011.mk),$(eval $(call mb-make-call,__$(0),$(_mod)))) +$(MB_ᕽᕽᕽ   )$(foreach _mod,$(call mb-make-call,mb-make-wildcard-treewalker,$(1),*/1module100.mk),$(eval $(call mb-make-call,__$(0),$(_mod)))) +$(MB_ᕽᕽᕽ   )$(foreach _mod,$(call mb-make-call,mb-make-wildcard-treewalker,$(1),*/1module101.mk),$(eval $(call mb-make-call,__$(0),$(_mod)))) +$(MB_ᕽᕽᕽ   )$(foreach _mod,$(call mb-make-call,mb-make-wildcard-treewalker,$(1),*/1module110.mk),$(eval $(call mb-make-call,__$(0),$(_mod)))) +$(MB_ᕽᕽᕽ   )$(foreach _mod,$(call mb-make-call,mb-make-wildcard-treewalker,$(1),*/1module111.mk),$(eval $(call mb-make-call,__$(0),$(_mod)))) endef -$(call mb-make-call,mb-doc-function-flow,mb-flow-0module-include,Inject flow of recursive 0module.mk include.,) +$(call mb-make-call,mb-doc-function-flow,mb-flow-nx01-skywalker,Inject flow of 18 bit recursive binary loading schema 0/1module[000-111].mk for include levels.,) diff --git a/lib/msxbuild.mk b/lib/msxbuild.mk index c4894ad..0619266 100644 --- a/lib/msxbuild.mk +++ b/lib/msxbuild.mk @@ -63,7 +63,7 @@ $(eval $(call __mb-include,make/天房系統擴展/mb_openmsx.mk)) $(eval $(call __mb-include,make/天房系統擴展/mb_autoexec.mk)) @@include-libs:: $(MB_@INCLUDE_CHAIN) MB_@INCLUDE_CHAIN := @@include-parent -$(eval $(call __mb-include,make/𓃬𓍄𓋹𓀭/mb_flow_0module.mk)) +$(eval $(call __mb-include,make/𓃬𓍄𓋹𓀭/mb_flow_nx01.mk)) $(eval $(call __mb-include,make/𓃬𓍄𓋹𓀭/mb_flow_help.mk)) $(eval $(call __mb-include,make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk)) $(eval $(call __mb-include,make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk)) @@ -93,15 +93,15 @@ $(MB_ᕽᕽᕽ )$(call mb-make-call,mb-doc-variable-rock,MB_@RECIPE,Recipe pre $(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-doc-variable-rock,MB_@RECIPEPREFIX,Recipe prefix to restore to after flow eval rules.) $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-doc-variable-rock,MB_@BASEPATH,Path where msxbuild lib folder is located.) $(MB_ᕽᕽᕽ    )$(call mb-make-call,mb-doc-variable-rock,MB_@WORK,Shorthand to move to the work folder of module.) -$(MB_ᕽᕽᕽ     )$(call mb-make-call,mb-doc-variable-rock,MB_@INCLUDE_CHAIN,Helper to chain includes into groups.) +$(MB_ᕽᕽᕽ     )$(call mb-make-call,mb-doc-variable-rock,MB_@INCLUDE_CHAIN,Helper to chain internal library sections includes into groups.) # Provider+doc easy single function start point for user define mb-setup-default -$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-arg2,$(0),$(1),$(2)) -$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-flow-doc-help) -$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-flow-0module-include,$(2)) -$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-flow-proj-setup,$(1),@include) -$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-flight-proj-flow-video,$(1)) +$(MB_ᕽᕽᕽ )$(call mb-make-call,mb-make-check-arg2,$(0),$(1),$(2)) +$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-flow-doc-help) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-flow-nx01-skywalker,$(2)) +$(MB_ᕽᕽᕽ    )$(call mb-make-call,mb-flow-proj-setup,$(1),@include) +$(MB_ᕽᕽᕽ     )$(call mb-make-call,mb-flight-proj-flow-video,$(1)) endef $(call mb-make-call,mb-doc-function,mb-setup-default,Setup default extenstions to configure dynamic project flow., ) From 3041dcfff2c3d3d4e3d8395f02d61874a6351777 Mon Sep 17 00:00:00 2001 From: Willem Date: Wed, 17 Jul 2024 13:24:47 +0200 Subject: [PATCH 234/274] Added full attribute escaping. --- lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_xml.mk | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_xml.mk b/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_xml.mk index ce44d34..00c4012 100644 --- a/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_xml.mk +++ b/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_xml.mk @@ -13,7 +13,7 @@ $(call mb-make-call,mb-doc-variable-rock,MB_MAKE_XML_CDATA_END,Expanded special define _escape-xml-attr -$(MB_ᕽᕽᕽ   )$(subst <,<,$(subst >,>,$(subst $(MB_MAKE_XML_CDATA_START),,$(subst $(MB_MAKE_XML_CDATA_END),,$(1))))) +$(MB_ᕽᕽᕽ   )$(subst ',',$(subst ","e;,$(subst &,&,$(subst <,<,$(subst >,>,$(subst $(MB_MAKE_XML_CDATA_START),,$(subst $(MB_MAKE_XML_CDATA_END),,$(1)))))))) endef define mb-make-xml-open $(MB_ᕽᕽᕽ   )$(MB_MAKE_XML_LT)$(1)$(if $(2), $(2)$(MB_MAKE_EQUALS)\"$(call mb-make-call,_escape-xml-attr,$(3))\")$(if $(4), $(4)$(MB_MAKE_EQUALS)\"$(call mb-make-call,_escape-xml-attr,$(5))\")$(MB_MAKE_XML_GT) From c8416c42e9657d6024ab1f8227fd67819eb6ab8d Mon Sep 17 00:00:00 2001 From: Willem Date: Wed, 17 Jul 2024 13:30:34 +0200 Subject: [PATCH 235/274] Removed last shell escaped quotes from XML firemake output. --- lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_xml.mk | 2 +- lib/make/𓃬𓍄𓋹𓀭/mb_flow_help.mk | 2 +- 2 files changed, 2 insertions(+), 2 deletions(-) diff --git a/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_xml.mk b/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_xml.mk index 00c4012..b1e0ce4 100644 --- a/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_xml.mk +++ b/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_xml.mk @@ -16,7 +16,7 @@ define _escape-xml-attr $(MB_ᕽᕽᕽ   )$(subst ',',$(subst ","e;,$(subst &,&,$(subst <,<,$(subst >,>,$(subst $(MB_MAKE_XML_CDATA_START),,$(subst $(MB_MAKE_XML_CDATA_END),,$(1)))))))) endef define mb-make-xml-open -$(MB_ᕽᕽᕽ   )$(MB_MAKE_XML_LT)$(1)$(if $(2), $(2)$(MB_MAKE_EQUALS)\"$(call mb-make-call,_escape-xml-attr,$(3))\")$(if $(4), $(4)$(MB_MAKE_EQUALS)\"$(call mb-make-call,_escape-xml-attr,$(5))\")$(MB_MAKE_XML_GT) +$(MB_ᕽᕽᕽ   )$(MB_MAKE_XML_LT)$(1)$(if $(2), $(2)$(MB_MAKE_EQUALS)"$(call mb-make-call,_escape-xml-attr,$(3))")$(if $(4), $(4)$(MB_MAKE_EQUALS)"$(call mb-make-call,_escape-xml-attr,$(5))")$(MB_MAKE_XML_GT) endef $(call mb-make-call,mb-doc-function-deep,mb-make-xml-open,Print xml open tag., [attr1-name] [attr1-value] [attr2-name] [attr2-value]) diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_help.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_help.mk index 7504c94..b74af78 100644 --- a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_help.mk +++ b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_help.mk @@ -117,7 +117,7 @@ $(MB_@RECIPE)$$(file >>$(MB_FLOW_HELP_TEMP),$$(MB_DOC_FIRE_TARGET_MODULE)) $(MB_@RECIPE)$$(file >>$(MB_FLOW_HELP_TEMP),$$(MB_DOC_FIRE_TARGET_ASSERT)) $(MB_@RECIPE)$$(file >>$(MB_FLOW_HELP_TEMP),$$(MB_DOC_FIRE_TARGET_HELP)) $(MB_@RECIPE)$$(file >>$(MB_FLOW_HELP_TEMP),$$(call mb-make-call,mb-make-xml-close,$$(MB_DOC_XML_ROOT))) -$(MB_@RECIPE)@cat $$(MB_FLOW_HELP_TEMP) | sed 's/\\n/\n/g' | sed 's/\\t/\t/g' | sed 's/\\"/\"/g' +$(MB_@RECIPE)@cat $$(MB_FLOW_HELP_TEMP) | sed 's/\\n/\n/g' | sed 's/\\t/\t/g' $(MB_@RECIPE)$$(call mb-make-call,mb-os-file-delete,$$(MB_FLOW_HELP_TEMP)) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@help-firemake,Output all documention in XML for IDE integration.) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@help-firemake) From a0141d962c5c28efad028c7bf63d6e7ca8256f59 Mon Sep 17 00:00:00 2001 From: Willem Date: Wed, 17 Jul 2024 15:08:25 +0200 Subject: [PATCH 236/274] Removed all escaping from help system. --- lib/make/सिस्टमₚᵣₐᵧToੴ/mb_doc.mk | 55 ++++++++++++++++---------- lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make.mk | 15 ------- lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_space.mk | 25 ++++++++++++ lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_xml.mk | 9 ++++- lib/make/सिस्टमₚᵣₐᵧToੴ/mb_xxx.mk | 7 ++++ lib/make/天房系統擴展/mb_autoexec.mk | 6 +-- lib/make/𓃬𓍄𓋹𓀭/mb_flow_help.mk | 4 +- lib/msxbuild.mk | 1 + 8 files changed, 80 insertions(+), 42 deletions(-) create mode 100644 lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_space.mk diff --git a/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_doc.mk b/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_doc.mk index 31197cb..54a6d5f 100644 --- a/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_doc.mk +++ b/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_doc.mk @@ -1,44 +1,44 @@ -MB_DOC_FIRE_VARIABLE_FLOW ?= \n +MB_DOC_FIRE_VARIABLE_FLOW ?= $(call mb-make-call,mb-make-space-newline) #*** Recursive variable: MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_VARIABLE_FLOW,Flow builder of fire flow variable data.) -MB_DOC_FIRE_VARIABLE ?= \n +MB_DOC_FIRE_VARIABLE ?= $(call mb-make-call,mb-make-space-newline) MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_VARIABLE,Flow builder of firemake variable data.) -MB_DOC_FIRE_VARIABLE_DEEP ?= \n +MB_DOC_FIRE_VARIABLE_DEEP ?= $(call mb-make-call,mb-make-space-newline) MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_VARIABLE_DEEP,Flow builder of makefire deep variable data.) -MB_DOC_FIRE_VARIABLE_ROCK ?= \n +MB_DOC_FIRE_VARIABLE_ROCK ?= $(call mb-make-call,mb-make-space-newline) MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_VARIABLE_ROCK,Flow builder of makefire rock variable data.) -MB_DOC_FIRE_VARIABLE_I18N ?= \n +MB_DOC_FIRE_VARIABLE_I18N ?= $(call mb-make-call,mb-make-space-newline) MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_VARIABLE_I18N,Flow builder of makefire i18n variable data.) MB_DOC_FIRE_FUNCTION ?= \n MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_FUNCTION,Flow builder of firemake function data.) -MB_DOC_FIRE_FUNCTION_DEEP ?= \n +MB_DOC_FIRE_FUNCTION_DEEP ?= $(call mb-make-call,mb-make-space-newline) MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_FUNCTION_DEEP,Flow builder of firemake deep function data.) -MB_DOC_FIRE_FUNCTION_FLOW ?= \n +MB_DOC_FIRE_FUNCTION_FLOW ?= $(call mb-make-call,mb-make-space-newline) MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_FUNCTION_FLOW,Flow builder of firemake flow function data.) -MB_DOC_FIRE_TARGET ?= \n +MB_DOC_FIRE_TARGET ?= $(call mb-make-call,mb-make-space-newline) MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_TARGET,Flow builder of firemake target data.) -MB_DOC_FIRE_TARGET_DEEP ?= \n +MB_DOC_FIRE_TARGET_DEEP ?= $(call mb-make-call,mb-make-space-newline) MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_TARGET_DEEP,Flow builder of firemake deep target data.) -MB_DOC_FIRE_TARGET_RUN ?= \n +MB_DOC_FIRE_TARGET_RUN ?= $(call mb-make-call,mb-make-space-newline) MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_TARGET_RUN,Flow builder of firemake run target data.) -MB_DOC_FIRE_TARGET_MODULE ?= \n +MB_DOC_FIRE_TARGET_MODULE ?= $(call mb-make-call,mb-make-space-newline) MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_TARGET_MODULE,Flow builder of firemake module target data.) -MB_DOC_FIRE_TARGET_ASSERT ?= \n +MB_DOC_FIRE_TARGET_ASSERT ?= $(call mb-make-call,mb-make-space-newline) MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_TARGET_ASSERT,Flow builder of firemake assert target data.) -MB_DOC_FIRE_TARGET_HELP ?= \n +MB_DOC_FIRE_TARGET_HELP ?= $(call mb-make-call,mb-make-space-newline) MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_TARGET_HELP,Flow builder of firemake help data.) MB_DOC_XML_ROOT := firemake @@ -67,12 +67,17 @@ MB_DOC_FORMAT ?= txt #MB_DOC_FIRE_VARIABLE_DEEP += $(call mb-make-call,_mb-doc-variable4u-deep,MB_DOC_FORMAT,Output format of documention$(MB_MAKE_COMMA) only 'txt' or 'xml' is supported.) - define _mb-doc-variable-txt -$(MB_ᕽᕽᕽ   )* $(2)$(MB_MAKE_EQUALS)$(4)\n$(if $(3),\t$(3)\n)\n +$(MB_ᕽᕽᕽ   )* $(2)$(MB_MAKE_EQUALS)$(4)$(call mb-make-call,mb-make-space-newline)$\ +$(MB_ᕽᕽᕽ      )$(if $(3),$(call mb-make-call,mb-make-space-tab)$(3)$(call mb-make-call,mb-make-space-newline))$\ +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-space-newline) endef define _mb-doc-variable-xml -$(MB_ᕽᕽᕽ   )\n\t$(call mb-make-call,mb-make-xml-open,variable,$(MB_DOC_XML_ATTR_NAME),$(2),$(MB_DOC_XML_ATTR_LEVEL),$(1))\n\t\t$(call mb-make-call,mb-make-xml-tag-value,$(MB_DOC_XML_TAG_VALUE),$(4))\n\t\t$(call mb-make-call,mb-make-xml-tag-value,$(MB_DOC_XML_TAG_DESC),$(3))\n\t$(call mb-make-call,mb-make-xml-close,variable) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-space-newline)$\ +$(MB_ᕽᕽᕽ      )$(call mb-make-call,mb-make-space-tab)$(call mb-make-call,mb-make-xml-open,variable,$(MB_DOC_XML_ATTR_NAME),$(2),$(MB_DOC_XML_ATTR_LEVEL),$(1))$(call mb-make-call,mb-make-space-newline)$\ +$(MB_ᕽᕽᕽ         )$(if $(4),$(call mb-make-call,mb-make-space-tab)$(call mb-make-call,mb-make-space-tab)$(call mb-make-call,mb-make-xml-tag-value,$(MB_DOC_XML_TAG_VALUE),$(4))$(call mb-make-call,mb-make-space-newline))$\ +$(MB_ᕽᕽᕽ         )$(if $(3),$(call mb-make-call,mb-make-space-tab)$(call mb-make-call,mb-make-space-tab)$(call mb-make-call,mb-make-xml-tag-value,$(MB_DOC_XML_TAG_DESC),$(3))$(call mb-make-call,mb-make-space-newline))$\ +$(MB_ᕽᕽᕽ      )$(call mb-make-call,mb-make-space-tab)$(call mb-make-call,mb-make-xml-close,variable) endef define _mb-doc-variable4u-deep $(MB_ᕽᕽᕽ   )$(call mb-make-call,_mb-doc-variable-$(MB_DOC_FORMAT),deep,$(1),$(2),$($(1))) @@ -109,10 +114,15 @@ MB_DOC_FIRE_FUNCTION_DEEP += $(call mb-make-call,_mb-doc-function4u-deep,mb-doc- define _mb-doc-function-txt -$(MB_ᕽᕽᕽ   )* $(2) $(if $(4),$(MB_MAKE_EQUALS) $(4))$(if $(3),\n\t$(3)\n)\n +$(MB_ᕽᕽᕽ   )* $(2) $(if $(4),$(MB_MAKE_EQUALS) $(4))$(if $(3),$(call mb-make-call,mb-make-space-newline)$(call mb-make-call,mb-make-space-tab)$(3)$(call mb-make-call,mb-make-space-newline))$\ +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-space-newline) endef define _mb-doc-function-xml -$(MB_ᕽᕽᕽ   )\n\t$(call mb-make-call,mb-make-xml-open,function,$(MB_DOC_XML_ATTR_NAME),$(2),$(MB_DOC_XML_ATTR_LEVEL),$(1))\n\t\t$(call mb-make-call,mb-make-xml-tag-value,$(MB_DOC_XML_TAG_ARGS),$(4))\n\t\t$(call mb-make-call,mb-make-xml-tag-value,$(MB_DOC_XML_TAG_DESC),$(3))\n\t$(call mb-make-call,mb-make-xml-close,function) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-space-newline)$\ +$(MB_ᕽᕽᕽ      )$(call mb-make-call,mb-make-space-tab)$(call mb-make-call,mb-make-xml-open,function,$(MB_DOC_XML_ATTR_NAME),$(2),$(MB_DOC_XML_ATTR_LEVEL),$(1))$(call mb-make-call,mb-make-space-newline)$\ +$(MB_ᕽᕽᕽ         )$(if $(4),$(call mb-make-call,mb-make-space-tab)$(call mb-make-call,mb-make-space-tab)$(call mb-make-call,mb-make-xml-tag-value,$(MB_DOC_XML_TAG_ARGS),$(4))$(call mb-make-call,mb-make-space-newline))$\ +$(MB_ᕽᕽᕽ         )$(if $(3),$(call mb-make-call,mb-make-space-tab)$(call mb-make-call,mb-make-space-tab)$(call mb-make-call,mb-make-xml-tag-value,$(MB_DOC_XML_TAG_DESC),$(3))$(call mb-make-call,mb-make-space-newline))$\ +$(MB_ᕽᕽᕽ      )$(call mb-make-call,mb-make-space-tab)$(call mb-make-call,mb-make-xml-close,function) endef define _mb-doc-function4u-deep $(MB_ᕽᕽᕽ   )$(call mb-make-call,_mb-doc-function-$(MB_DOC_FORMAT),deep,$(1),$(2),$(3)) @@ -135,11 +145,16 @@ MB_DOC_FIRE_FUNCTION_DEEP += $(call mb-make-call,_mb-doc-function4u-deep,mb-doc- define _mb-doc-target-txt -$(MB_ᕽᕽᕽ   )* $(2)$(if $(3),\n\t$(3))\n\n +$(MB_ᕽᕽᕽ   )* $(2)$(if $(3),$(call mb-make-call,mb-make-space-newline)$(call mb-make-call,mb-make-space-tab)$(3))$(call mb-make-call,mb-make-space-newline)$\ +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-space-newline) endef define _mb-doc-target-xml -$(MB_ᕽᕽᕽ   )\n\t$(call mb-make-call,mb-make-xml-open,target,$(MB_DOC_XML_ATTR_NAME),$(2),$(MB_DOC_XML_ATTR_LEVEL),$(1))$(if $3,\n\t\t$(call mb-make-call,mb-make-xml-tag-value,$(MB_DOC_XML_TAG_DESC),$(3))\n\t$(call mb-make-call,mb-make-xml-close,target),\n\t$(call mb-make-call,mb-make-xml-close,target)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-space-newline)$\ +$(MB_ᕽᕽᕽ      )$(call mb-make-call,mb-make-space-tab)$(call mb-make-call,mb-make-xml-open,target,$(MB_DOC_XML_ATTR_NAME),$(2),$(MB_DOC_XML_ATTR_LEVEL),$(1))$(call mb-make-call,mb-make-space-newline)$\ +$(MB_ᕽᕽᕽ         )$(if $(3),$(call mb-make-call,mb-make-space-tab)$(call mb-make-call,mb-make-space-tab)$(call mb-make-call,mb-make-xml-tag-value,$(MB_DOC_XML_TAG_DESC),$(3))$(call mb-make-call,mb-make-space-newline))$\ +$(MB_ᕽᕽᕽ      )$(call mb-make-call,mb-make-space-tab)$(call mb-make-call,mb-make-xml-close,target) endef +# $(MB_ᕽᕽᕽ         )$(call mb-make-call,mb-make-space-tab)$(call mb-make-call,mb-make-xml-close,target),$(call mb-make-call,mb-make-space-newline) define mb-doc-target $(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_TARGET += $$(call mb-make-call,_mb-doc-target-$$(MB_DOC_FORMAT),build,$(1),$(2))) endef diff --git a/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make.mk b/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make.mk index 66072e1..affa547 100644 --- a/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make.mk +++ b/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make.mk @@ -2,9 +2,6 @@ MB_MAKE_COMMA := , $(call mb-make-call,mb-doc-variable-rock,MB_MAKE_COMMA,Expanded special char; comma.) -MB_MAKE_SPACE := $(subst ,, ) -$(call mb-make-call,mb-doc-variable-rock,MB_MAKE_SPACE,Expanded special char; space.) - MB_MAKE_EQUALS := = $(call mb-make-call,mb-doc-variable-rock,MB_MAKE_EQUALS,Expanded special char; equals.) @@ -27,18 +24,6 @@ endef $(call mb-make-call,mb-doc-function-deep,mb-make-flag-phony,Marks an target as phony.,) -define mb-make-newline - -endef -$(call mb-make-call,mb-doc-function-deep,mb-make-newline,Prints a newline character.) - - -define mb-make-space2comma -$(MB_ᕽᕽᕽ   )$(subst $(MB_MAKE_SPACE),$(MB_MAKE_COMMA),$1) -endef -$(call mb-make-call,mb-doc-function-deep,mb-make-space2comma,Replaces all spaces witth comma's.) - - define mb-make-wildcard-treewalker $(MB_ᕽᕽᕽ   )$(foreach _dir,$(wildcard $(1)*),$(call mb-make-wildcard-treewalker,$(_dir)/,$(2)) $(filter $(subst *,%,$(2)),$(_dir))) endef diff --git a/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_space.mk b/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_space.mk new file mode 100644 index 0000000..cd7f496 --- /dev/null +++ b/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_space.mk @@ -0,0 +1,25 @@ + +define mb-make-space-newline +$(MB_ᕽᕽᕽ   ) +$(MB_ᕽᕽᕽ   ) +endef +$(call mb-make-call,mb-doc-function-deep,mb-make-space-newline,Prints a newline character.) + + +define mb-make-space-tab +$(MB_ᕽᕽᕽ   ) $(MB_ᕽᕽᕽ ) +endef +$(call mb-make-call,mb-doc-function-deep,mb-make-space-tab,Prints a tab character.) + + +define mb-make-space-white +$(MB_ᕽᕽᕽ   )$(subst ,, ) +endef +$(call mb-make-call,mb-doc-function-deep,mb-make-space-white,Prints a white space character.) + + +define mb-make-space-white-to-comma +$(MB_ᕽᕽᕽ   )$(subst $(call mb-make-call,mb-make-space-white),$(MB_MAKE_COMMA),$1) +endef +$(call mb-make-call,mb-doc-function-deep,mb-make-space-white-to-comma,Replaces all spaces with comma's.,) + diff --git a/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_xml.mk b/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_xml.mk index b1e0ce4..3fe1ed5 100644 --- a/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_xml.mk +++ b/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_xml.mk @@ -16,7 +16,10 @@ define _escape-xml-attr $(MB_ᕽᕽᕽ   )$(subst ',',$(subst ","e;,$(subst &,&,$(subst <,<,$(subst >,>,$(subst $(MB_MAKE_XML_CDATA_START),,$(subst $(MB_MAKE_XML_CDATA_END),,$(1)))))))) endef define mb-make-xml-open -$(MB_ᕽᕽᕽ   )$(MB_MAKE_XML_LT)$(1)$(if $(2), $(2)$(MB_MAKE_EQUALS)"$(call mb-make-call,_escape-xml-attr,$(3))")$(if $(4), $(4)$(MB_MAKE_EQUALS)"$(call mb-make-call,_escape-xml-attr,$(5))")$(MB_MAKE_XML_GT) +$(MB_ᕽᕽᕽ   )$(MB_MAKE_XML_LT)$(1)$\ +$(MB_ᕽᕽᕽ    )$(if $(2), $(2)$(MB_MAKE_EQUALS)"$(call mb-make-call,_escape-xml-attr,$(3))")$\ +$(MB_ᕽᕽᕽ    )$(if $(4), $(4)$(MB_MAKE_EQUALS)"$(call mb-make-call,_escape-xml-attr,$(5))")$\ +$(MB_ᕽᕽᕽ   )$(MB_MAKE_XML_GT) endef $(call mb-make-call,mb-doc-function-deep,mb-make-xml-open,Print xml open tag., [attr1-name] [attr1-value] [attr2-name] [attr2-value]) @@ -31,7 +34,9 @@ define _escape-xml-value $(MB_ᕽᕽᕽ   )$(subst $(MB_MAKE_XML_CDATA_START),,$(subst $(MB_MAKE_XML_CDATA_END),,$(1))) endef define mb-make-xml-value -$(MB_ᕽᕽᕽ   )$(MB_MAKE_XML_CDATA_START)$(call mb-make-call,_escape-xml-value,$(1))$(MB_MAKE_XML_CDATA_END) +$(MB_ᕽᕽᕽ   )$(MB_MAKE_XML_CDATA_START)$\ +$(MB_ᕽᕽᕽ    )$(call mb-make-call,_escape-xml-value,$(1))$\ +$(MB_ᕽᕽᕽ   )$(MB_MAKE_XML_CDATA_END) endef $(call mb-make-call,mb-doc-function-deep,mb-make-xml-value,Print xml value in cdata wrapper.,) diff --git a/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_xxx.mk b/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_xxx.mk index 238e16f..3194c5c 100644 --- a/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_xxx.mk +++ b/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_xxx.mk @@ -16,4 +16,11 @@ MB_ᕽᕽᕽ           := MB_ᕽᕽᕽ            := MB_ᕽᕽᕽ             := MB_ᕽᕽᕽ              := +MB_ᕽᕽᕽ               := +MB_ᕽᕽᕽ                := +MB_ᕽᕽᕽ                 := +MB_ᕽᕽᕽ                  := + +# Defines the real white space " " variable for line wrapping without white space +$(eval $$(subst ,, ) :=) diff --git a/lib/make/天房系統擴展/mb_autoexec.mk b/lib/make/天房系統擴展/mb_autoexec.mk index aeafb67..23cdf6f 100644 --- a/lib/make/天房系統擴展/mb_autoexec.mk +++ b/lib/make/天房系統擴展/mb_autoexec.mk @@ -161,8 +161,8 @@ $(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)/utils),$(call mb-make-call,_mb-auto $(MB_ᕽᕽᕽ   )$(if $(filter on,$(MB_AUTOEXEC_SHOW_HOST)),$(call mb-make-call,mb-autoexec-append-cmd,$(1),omsxctl boot_exec_show_host)) $(MB_ᕽᕽᕽ   )$(if $(MB_AUTOEXEC_STARTUP_NOTE),$(call mb-make-call,mb-autoexec-append-echo,$(1),mb::note $(MB_AUTOEXEC_STARTUP_NOTE))) $(MB_ᕽᕽᕽ   )$(if $(filter on,$(MB_FLIGHT_VIDEO)),$(call mb-make-call,mb-autoexec-append-save-video,$(1))) -$(MB_ᕽᕽᕽ   )echo -n -e "$(subst $(MB_MAKE_SPACE)set,set,$(foreach var,$(MB_AUTOEXEC_EMBED_VARS),set MSX_$(var)$(MB_MAKE_EQUALS)$($(var))\r\n))" >> $(1)/autoexec.bat -$(MB_ᕽᕽᕽ   )echo -n -e "$(subst $(MB_MAKE_SPACE)set,set,$(foreach var,$(MB_AUTOEXEC_EMBED_VARS),echo MSX_$(var)$(MB_MAKE_EQUALS)%MSX_$(var)%\r\n))" >> $(1)/autoexec.bat +$(MB_ᕽᕽᕽ   )echo -n -e "$(subst $(call mb-make-call,mb-make-space-white)set,set,$(foreach var,$(MB_AUTOEXEC_EMBED_VARS),set MSX_$(var)$(MB_MAKE_EQUALS)$($(var))\r\n))" >> $(1)/autoexec.bat +$(MB_ᕽᕽᕽ   )echo -n -e "$(subst $(call mb-make-call,mb-make-space-white)set,set,$(foreach var,$(MB_AUTOEXEC_EMBED_VARS),echo MSX_$(var)$(MB_MAKE_EQUALS)%MSX_$(var)%\r\n))" >> $(1)/autoexec.bat $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-cmd,$(1),set TIME$(MB_MAKE_EQUALS)$(MB_AUTOEXEC_TIME_FORMAT)) $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-cmd,$(1),set DATE$(MB_MAKE_EQUALS)$(MB_AUTOEXEC_DATE_FORMAT)) $(MB_ᕽᕽᕽ   )$(if $(filter on,$(MB_AUTOEXEC_SHOW_PATH)),$(call mb-make-call,mb-autoexec-append-cmd,$(1),echo mb::path %PATH%)) @@ -173,7 +173,7 @@ $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-cmd,$(1),set MB_S $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-cmd,$(1),set MB_TARGET$(MB_MAKE_EQUALS)$@) $(MB_ᕽᕽᕽ   )$(if $(filter on,$(MB_AUTOEXEC_SHOW_FOLDER)),$(call mb-make-call,mb-autoexec-append-echo,$(1),mb::bath %MB_SLIME%)) $(MB_ᕽᕽᕽ   )$(if $(filter on,$(MB_AUTOEXEC_SHOW_TARGET)),$(call mb-make-call,mb-autoexec-append-echo,$(1),mb::pipe %MB_TARGET%)) -$(MB_ᕽᕽᕽ   )$(if $(filter on,$(MB_AUTOEXEC_PROMPT_SPACE)),$(call mb-make-call,mb-autoexec-append-cmd,$(1),set PROMPT \"$(value MB_AUTOEXEC_PROMPT_FORMAT)$(MB_MAKE_SPACE)\")) +$(MB_ᕽᕽᕽ   )$(if $(filter on,$(MB_AUTOEXEC_PROMPT_SPACE)),$(call mb-make-call,mb-autoexec-append-cmd,$(1),set PROMPT \"$(value MB_AUTOEXEC_PROMPT_FORMAT)$(call mb-make-call,mb-make-space-white)\")) $(MB_ᕽᕽᕽ   )$(if $(filter on,$(MB_AUTOEXEC_PROMPT_SPACE)),,$(call mb-make-call,mb-autoexec-append-cmd,$(1),,set PROMPT \"$(value MB_AUTOEXEC_PROMPT_FORMAT)\")) endef $(call mb-make-call,mb-doc-function,mb-autoexec-write-default,Write a default autoexec.bat file., [fg-color] [bg-color]) diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_help.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_help.mk index b74af78..3f22081 100644 --- a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_help.mk +++ b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_help.mk @@ -7,7 +7,7 @@ $(call mb-make-call,mb-doc-variable-rock,MB_FLOW_HELP_TEMP,Temp file to output h define _mb-flow-print-help $(MB_ᕽᕽᕽ   )@echo -e "$(2)" $(MB_ᕽᕽᕽ   )$(file >$(MB_FLOW_HELP_TEMP),$($(1))) -$(MB_ᕽᕽᕽ   )@cat $(MB_FLOW_HELP_TEMP) | sed 's/\\n/\n/g' | sed 's/\\t/\t/g' +$(MB_ᕽᕽᕽ   )@cat $(MB_FLOW_HELP_TEMP) $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-os-file-delete,$(MB_FLOW_HELP_TEMP)) endef @@ -117,7 +117,7 @@ $(MB_@RECIPE)$$(file >>$(MB_FLOW_HELP_TEMP),$$(MB_DOC_FIRE_TARGET_MODULE)) $(MB_@RECIPE)$$(file >>$(MB_FLOW_HELP_TEMP),$$(MB_DOC_FIRE_TARGET_ASSERT)) $(MB_@RECIPE)$$(file >>$(MB_FLOW_HELP_TEMP),$$(MB_DOC_FIRE_TARGET_HELP)) $(MB_@RECIPE)$$(file >>$(MB_FLOW_HELP_TEMP),$$(call mb-make-call,mb-make-xml-close,$$(MB_DOC_XML_ROOT))) -$(MB_@RECIPE)@cat $$(MB_FLOW_HELP_TEMP) | sed 's/\\n/\n/g' | sed 's/\\t/\t/g' +$(MB_@RECIPE)@cat $$(MB_FLOW_HELP_TEMP) $(MB_@RECIPE)$$(call mb-make-call,mb-os-file-delete,$$(MB_FLOW_HELP_TEMP)) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@help-firemake,Output all documention in XML for IDE integration.) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@help-firemake) diff --git a/lib/msxbuild.mk b/lib/msxbuild.mk index 0619266..7ae04c9 100644 --- a/lib/msxbuild.mk +++ b/lib/msxbuild.mk @@ -45,6 +45,7 @@ $(eval $(call __mb-include,make/सिस्टमₚᵣₐᵧToੴ/mb_doc.mk)) $(eval $(call __mb-include,make/सिस्टमₚᵣₐᵧToੴ/mb_make_call.mk)) $(eval $(call __mb-include,make/सिस्टमₚᵣₐᵧToੴ/mb_make_check.mk)) $(eval $(call __mb-include,make/सिस्टमₚᵣₐᵧToੴ/mb_make_module.mk)) +$(eval $(call __mb-include,make/सिस्टमₚᵣₐᵧToੴ/mb_make_space.mk)) $(eval $(call __mb-include,make/सिस्टमₚᵣₐᵧToੴ/mb_make_xml.mk)) $(eval $(call __mb-include,make/सिस्टमₚᵣₐᵧToੴ/mb_make.mk)) @@include-libs:: $(MB_@INCLUDE_CHAIN) From 646c92f46b690f25f1f99c25cbff716f9e83c225 Mon Sep 17 00:00:00 2001 From: Willem Date: Wed, 17 Jul 2024 23:17:34 +0200 Subject: [PATCH 237/274] Fixed module @test deps. --- lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk index 63ec204..d40d334 100644 --- a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk +++ b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk @@ -2,7 +2,7 @@ define __mb-flow-assert-grep-binary-x .RECIPEPREFIX := $(MB_@RECIPE) -$(1)/@@$(5)-deps:: $(1)/@assert/$(5)/$(2) +$(1)/@$(5)-deps:: $(1)/@assert/$(5)/$(2) $(1)/@assert/$(5)/$(2): $(1)/@build $(MB_@RECIPE)grep -q -U $(4) $(1)/$(3) From 661097a30b237c5e77fcb9bdf5eac36a497e831f Mon Sep 17 00:00:00 2001 From: Willem Date: Wed, 17 Jul 2024 23:19:23 +0200 Subject: [PATCH 238/274] Converted make4msx to flow project. --- lib/make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk | 4 +-- lib/msxbuild.mk | 3 +- src/example/hello-make4msx/0module000.mk | 42 +++++++++++++----------- 3 files changed, 26 insertions(+), 23 deletions(-) diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk index e0183e2..a28ca33 100644 --- a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk +++ b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk @@ -290,7 +290,7 @@ define __mb-flow-proj-module-run $(1)/@run: $(1)/@build $(MB_@RECIPE)$$(call mb-make-call,mb-msxpipe-run-gui,$(1),,$(2)) -$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-run,$(1)/@run,Run manually with gui.) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-run,$(1)/@run,Run manually $(notdir $(1)) with gui$(if $(2), on $(2).,.)) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(1)/@run) .RECIPEPREFIX := $(MB_@RECIPEPREFIX) @@ -307,7 +307,7 @@ define __mb-flow-proj-prepare-packages .RECIPEPREFIX := $(MB_@RECIPE) $(1)/@@prepare-deps:: $(1)/@msxhub/$(2) -$(1)/@msxhub/$(2): +$(1)/@msxhub/$(2): @@module-msxhub $(MB_@RECIPE)$$(if $$(wildcard $(1)$$(if $(3),/$(3))),,$$(call mb-make-call,mb-os-dir-create,$(1)$$(if $(3),/$(3)))) $(MB_@RECIPE)$$(call mb-make-call,mb-msxhub-get-$(2),$(1)$$(if $(3),/$(3))) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(1)/@msxhub/$(2)) diff --git a/lib/msxbuild.mk b/lib/msxbuild.mk index 7ae04c9..e85d07f 100644 --- a/lib/msxbuild.mk +++ b/lib/msxbuild.mk @@ -85,9 +85,10 @@ endif MB_@INCLUDE_CHAIN := # Glue phony targets to get internal @include tree (semi) correct (TODO: fix chain in: mb_flow_0module_setup) -.PHONY: @include @@include-root @@include-libs @@include-mods @@include-parent @@include-tree +.PHONY: @include @@include-root @@include-libs @@include-mods @@include-parent @@include-tree @@module-msxhub @@include-parent:: @@include-mods @include:: @@include-libs @@include-root +@@module-msxhub: @@include-libs # Document our internal variables, now we have the functions loaded $(MB_ᕽᕽᕽ )$(call mb-make-call,mb-doc-variable-rock,MB_@RECIPE,Recipe prefix to set and indent flow eval rules.) diff --git a/src/example/hello-make4msx/0module000.mk b/src/example/hello-make4msx/0module000.mk index 84b6f36..fcb8035 100644 --- a/src/example/hello-make4msx/0module000.mk +++ b/src/example/hello-make4msx/0module000.mk @@ -2,18 +2,22 @@ EXAMPLE_HELLO_MAKE4MSX_SRC := $(call mb-make-call,mb-make-module-path-src,$(PATH_SRC)) EXAMPLE_HELLO_MAKE4MSX_BIN := $(call mb-make-call,mb-make-module-path-bin,$(PATH_SRC),$(PATH_BIN)) +$(call mb-make-call,mb-flow-proj-setup,$(EXAMPLE_HELLO_MAKE4MSX_BIN),@init,$(EXAMPLE_HELLO_MAKE4MSX_BIN)) +$(call mb-make-call,mb-flow-proj-module-run,$(EXAMPLE_HELLO_MAKE4MSX_BIN)) +$(call mb-make-call,mb-flow-proj-prepare-packages,$(EXAMPLE_HELLO_MAKE4MSX_BIN),make macro80 z80asmuk,utils) +$(call mb-make-call,mb-flow-assert-grep-binary-test,$(EXAMPLE_HELLO_MAKE4MSX_BIN),check-bin,hello.com,MAKE) -$(EXAMPLE_HELLO_MAKE4MSX_BIN): | @init -_$(MB_ᕽᕽᕽ  )$(if $(wildcard $(EXAMPLE_HELLO_MAKE4MSX_BIN)),,$(call mb-make-call,mb-os-dir-create,$(EXAMPLE_HELLO_MAKE4MSX_BIN))) -_$(MB_ᕽᕽᕽ  )$(if $(wildcard $(EXAMPLE_HELLO_MAKE4MSX_BIN)/utils),,$(call mb-make-call,mb-os-dir-create,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/utils)) -_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-msxhub-get-make,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/utils) -_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-msxhub-get-macro80,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/utils) -_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-msxhub-get-z80asmuk,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/utils) -$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-doc-target-deep,$(EXAMPLE_HELLO_MAKE4MSX_BIN)) -$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-target-phony,$(EXAMPLE_HELLO_MAKE4MSX_BIN)) +#$(EXAMPLE_HELLO_MAKE4MSX_BIN): | @init +#_$(MB_ᕽᕽᕽ  )$(if $(wildcard $(EXAMPLE_HELLO_MAKE4MSX_BIN)),,$(call mb-make-call,mb-os-dir-create,$(EXAMPLE_HELLO_MAKE4MSX_BIN))) +#_$(MB_ᕽᕽᕽ  )$(if $(wildcard $(EXAMPLE_HELLO_MAKE4MSX_BIN)/utils),,$(call mb-make-call,mb-os-dir-create,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/utils)) +#_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-msxhub-get-make,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/utils) +#_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-msxhub-get-macro80,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/utils) +#_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-msxhub-get-z80asmuk,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/utils) +#$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-doc-target-deep,$(EXAMPLE_HELLO_MAKE4MSX_BIN)) +#$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-target-phony,$(EXAMPLE_HELLO_MAKE4MSX_BIN)) -$(EXAMPLE_HELLO_MAKE4MSX_BIN)/hello.mac: $(EXAMPLE_HELLO_MAKE4MSX_SRC)/hello.mac | $(EXAMPLE_HELLO_MAKE4MSX_BIN) +$(EXAMPLE_HELLO_MAKE4MSX_BIN)/hello.mac: $(EXAMPLE_HELLO_MAKE4MSX_SRC)/hello.mac | $(EXAMPLE_HELLO_MAKE4MSX_BIN)/@prepare _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-conv-unix2dos,$<,$@) $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-doc-target-deep,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/hello.mac) $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-proj-grow-deps-phase,@process,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/hello.mac) @@ -36,17 +40,15 @@ _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-msxpipe-safe-cmd,$(EXAMPLE_HELLO_MA $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-doc-target-deep,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/hello.com) -$(EXAMPLE_HELLO_MAKE4MSX_BIN)/@build: $(EXAMPLE_HELLO_MAKE4MSX_BIN)/hello.com -$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-doc-target,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/@build,Compiles binary with make on msx.) -$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-proj-grow-deps-phase,@build,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/@build) -$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-target-phony,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/@build) +$(EXAMPLE_HELLO_MAKE4MSX_BIN)/@@build-deps:: $(EXAMPLE_HELLO_MAKE4MSX_BIN)/hello.com +#$(EXAMPLE_HELLO_MAKE4MSX_BIN)/@build: $(EXAMPLE_HELLO_MAKE4MSX_BIN)/hello.com +#$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-doc-target,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/@build,Compiles binary with make on msx.) +#$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-proj-grow-deps-phase,@build,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/@build) +#$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-target-phony,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/@build) -$(EXAMPLE_HELLO_MAKE4MSX_BIN)/@run: $(EXAMPLE_HELLO_MAKE4MSX_BIN)/@build -_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-msxpipe-run-gui,$(EXAMPLE_HELLO_MAKE4MSX_BIN)) -$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-doc-target-run,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/@run,Run the make build manually.) -$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-target-phony,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/@run) - - -$(call mb-make-call,mb-flow-assert-grep-binary-test,$(EXAMPLE_HELLO_MAKE4MSX_BIN),check-bin,hello.com,MAKE) +#$(EXAMPLE_HELLO_MAKE4MSX_BIN)/@run: $(EXAMPLE_HELLO_MAKE4MSX_BIN)/@build +#_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-msxpipe-run-gui,$(EXAMPLE_HELLO_MAKE4MSX_BIN)) +#$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-doc-target-run,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/@run,Run the make build manually.) +#$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-target-phony,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/@run) From 360e12c9e931284debeb813bb23c6b484e3ebc22 Mon Sep 17 00:00:00 2001 From: Willem Date: Wed, 17 Jul 2024 23:19:36 +0200 Subject: [PATCH 239/274] Deleted left over. --- src/assert/msxhub/0module100.mk | 2 -- 1 file changed, 2 deletions(-) diff --git a/src/assert/msxhub/0module100.mk b/src/assert/msxhub/0module100.mk index 7c53d6b..0d3b88e 100644 --- a/src/assert/msxhub/0module100.mk +++ b/src/assert/msxhub/0module100.mk @@ -39,8 +39,6 @@ $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-target-phony,$(ASSERT_MSXHUB $(ASSERT_MSXHUB_BIN)/@assert: | $(ASSERT_MSXHUB_BIN) -_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-file-delete,$(ASSERT_MSXHUB_BIN)/ahello1.out) -_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-file-delete,$(ASSERT_MSXHUB_BIN)/ahello2.out) _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-file-delete,$(ASSERT_MSXHUB_BIN)/utils.out) _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-write-default,$(ASSERT_MSXHUB_BIN),336) _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-append-safe-assert,$(ASSERT_MSXHUB_BIN),dir utils > utils.out) From 30c32fe41d9b96ca25a5b3c7368d3521e32e5dd9 Mon Sep 17 00:00:00 2001 From: Willem Date: Wed, 17 Jul 2024 23:30:22 +0200 Subject: [PATCH 240/274] Fixed grep not failing on error. --- lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk | 4 ++-- src/example/dist-hello-qa-dos2/0module010.mk | 8 ++++---- src/example/dist-hello-qa-msx1/0module010.mk | 8 ++++---- src/example/hello-macro80/0module000.mk | 2 +- src/example/hello-nestor80/0module000.mk | 2 +- 5 files changed, 12 insertions(+), 12 deletions(-) diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk index d40d334..cfe7e95 100644 --- a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk +++ b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk @@ -5,7 +5,7 @@ define __mb-flow-assert-grep-binary-x $(1)/@$(5)-deps:: $(1)/@assert/$(5)/$(2) $(1)/@assert/$(5)/$(2): $(1)/@build -$(MB_@RECIPE)grep -q -U $(4) $(1)/$(3) +$(MB_@RECIPE)grep --colour$(MB_MAKE_EQUALS)auto -U "$(4)" $(1)/$(3) $(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-assert,$$(MB_I18N_ASSERT_SUCCESS) $(1)/@assert/$(2)/$(5)) $(MB_@RECIPE)$$(call mb-make-call,mb-proj-grow-assert-success,$(5)) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-assert,$(1)/@assert/$(5)/$(2),Asserts that $(3) output matches.) @@ -35,7 +35,7 @@ $(7)/@@init-deps:: $(1)/@build $(1)/@assert/$(5)/$(2): $(7)/@build $(MB_@RECIPE)$$(if $$(wildcard $(7)/$(3).out),,$$(call mb-make-call,mb-os-file-delete,$(7)/$(3).out)) $(MB_@RECIPE)$$(call mb-make-call,mb-msxpipe-safe-assert,$(7),$(3) > $(3).out,$(6)) -$(MB_@RECIPE)grep -q $(4) $(7)/$(3).out +$(MB_@RECIPE)grep --colour$(MB_MAKE_EQUALS)auto "$(4)" $(7)/$(3).out $(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-assert,$$(MB_I18N_ASSERT_SUCCESS) $(1)/@assert/$(2)/$(5)) $(MB_@RECIPE)$$(call mb-make-call,mb-proj-grow-assert-success,$(5)) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-assert,$(1)/@assert/$(5)/$(2),Asserts that $(3) output matches.) diff --git a/src/example/dist-hello-qa-dos2/0module010.mk b/src/example/dist-hello-qa-dos2/0module010.mk index 7861070..6d9f0f7 100644 --- a/src/example/dist-hello-qa-dos2/0module010.mk +++ b/src/example/dist-hello-qa-dos2/0module010.mk @@ -16,10 +16,10 @@ _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-append-safe-assert,$(EXAMP _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-append-safe-assert,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN),hello4 > hello4.out) _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-append-exit,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)) _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-openmsx-dosctl,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)) -_$(MB_ᕽᕽᕽ  )grep -q "M80: Hello MSX" $(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/hello1.out -_$(MB_ᕽᕽᕽ  )grep -q "SDCC: Hello MSX" $(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/hello2.out -_$(MB_ᕽᕽᕽ  )grep -q "MAKE: Hello MSX" $(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/hello3.out -_$(MB_ᕽᕽᕽ  )grep -q "N80: Hello MSX" $(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/hello4.out +_$(MB_ᕽᕽᕽ  )grep --colour$(MB_MAKE_EQUALS)auto "M80: Hello MSX" $(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/hello1.out +_$(MB_ᕽᕽᕽ  )grep --colour$(MB_MAKE_EQUALS)auto "SDCC: Hello MSX" $(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/hello2.out +_$(MB_ᕽᕽᕽ  )grep --colour$(MB_MAKE_EQUALS)auto "MAKE: Hello MSX" $(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/hello3.out +_$(MB_ᕽᕽᕽ  )grep --colour$(MB_MAKE_EQUALS)auto "N80: Hello MSX" $(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/hello4.out _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-echo-assert,$(MB_I18N_ASSERT_SUCCESS) $(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/@assert) _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-proj-grow-assert-success,@package-qa) _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-proj-grow-assert-success,@package-qa) diff --git a/src/example/dist-hello-qa-msx1/0module010.mk b/src/example/dist-hello-qa-msx1/0module010.mk index e341160..1a14413 100644 --- a/src/example/dist-hello-qa-msx1/0module010.mk +++ b/src/example/dist-hello-qa-msx1/0module010.mk @@ -19,10 +19,10 @@ _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-append-safe-assert,$(EXAMP _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-append-safe-assert,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN),hello4 > hello4.out) _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-append-exit,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)) _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-openmsx-dosctl,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN),$(EXAMPLE_DIST_HELLO_QA_MSX1_MACHINE)) -_$(MB_ᕽᕽᕽ  )grep -q "M80: Hello MSX" $(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/hello1.out -_$(MB_ᕽᕽᕽ  )grep -q "SDCC: Hello MSX" $(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/hello2.out -_$(MB_ᕽᕽᕽ  )grep -q "MAKE: Hello MSX" $(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/hello3.out -_$(MB_ᕽᕽᕽ  )grep -q "N80: Hello MSX" $(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/hello4.out +_$(MB_ᕽᕽᕽ  )grep --colour$(MB_MAKE_EQUALS)auto "M80: Hello MSX" $(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/hello1.out +_$(MB_ᕽᕽᕽ  )grep --colour$(MB_MAKE_EQUALS)auto "SDCC: Hello MSX" $(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/hello2.out +_$(MB_ᕽᕽᕽ  )grep --colour$(MB_MAKE_EQUALS)auto "MAKE: Hello MSX" $(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/hello3.out +_$(MB_ᕽᕽᕽ  )grep --colour$(MB_MAKE_EQUALS)auto "N80: Hello MSX" $(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/hello4.out _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-echo-assert,$(MB_I18N_ASSERT_SUCCESS) $(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/@assert) _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-proj-grow-assert-success,@package-qa) _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-proj-grow-assert-success,@package-qa) diff --git a/src/example/hello-macro80/0module000.mk b/src/example/hello-macro80/0module000.mk index 772500f..3a5372d 100644 --- a/src/example/hello-macro80/0module000.mk +++ b/src/example/hello-macro80/0module000.mk @@ -5,5 +5,5 @@ EXAMPLE_HELLO_MACRO80_DEPS := $(EXAMPLE_HELLO_MACRO80_BIN)/hello.com $(call mb-make-call,mb-flow-macro80-bdos-mono,$(EXAMPLE_HELLO_MACRO80_BIN),$(EXAMPLE_HELLO_MACRO80_SRC),hello) $(call mb-make-call,mb-flow-assert-msxpipe-grep-test,$(EXAMPLE_HELLO_MACRO80_BIN),$(EXAMPLE_HELLO_MACRO80_DEPS),check-m80,hello,M80) -$(call mb-make-call,mb-flow-assert-msxpipe-grep-test,$(EXAMPLE_HELLO_MACRO80_BIN),$(EXAMPLE_HELLO_MACRO80_DEPS),check-txt,hello,"o MSX world...") +$(call mb-make-call,mb-flow-assert-msxpipe-grep-test,$(EXAMPLE_HELLO_MACRO80_BIN),$(EXAMPLE_HELLO_MACRO80_DEPS),check-txt,hello,o MSX world...) diff --git a/src/example/hello-nestor80/0module000.mk b/src/example/hello-nestor80/0module000.mk index 4a37a75..3f6792a 100644 --- a/src/example/hello-nestor80/0module000.mk +++ b/src/example/hello-nestor80/0module000.mk @@ -5,5 +5,5 @@ EXAMPLE_HELLO_NESTOR80_DEPS := $(EXAMPLE_HELLO_NESTOR80_BIN)/hello.com $(call mb-make-call,mb-flow-nestor80-bdos-mono,$(EXAMPLE_HELLO_NESTOR80_BIN),$(EXAMPLE_HELLO_NESTOR80_SRC),hello) $(call mb-make-call,mb-flow-assert-msxpipe-grep-test,$(EXAMPLE_HELLO_NESTOR80_BIN),$(EXAMPLE_HELLO_NESTOR80_DEPS),check-m80,hello,N80) -$(call mb-make-call,mb-flow-assert-msxpipe-grep-test,$(EXAMPLE_HELLO_NESTOR80_BIN),$(EXAMPLE_HELLO_NESTOR80_DEPS),check-txt,hello,"o MSX world...") +$(call mb-make-call,mb-flow-assert-msxpipe-grep-test,$(EXAMPLE_HELLO_NESTOR80_BIN),$(EXAMPLE_HELLO_NESTOR80_DEPS),check-txt,hello,o MSX world...) From 28764507cd19c5f01627d2692cd83a38461d909e Mon Sep 17 00:00:00 2001 From: Willem Date: Wed, 17 Jul 2024 23:39:09 +0200 Subject: [PATCH 241/274] Prefixed grep asserting build output messages. --- lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk | 2 ++ src/example/dist-hello-qa-dos2/0module010.mk | 8 ++++---- src/example/dist-hello-qa-msx1/0module010.mk | 8 ++++---- 3 files changed, 10 insertions(+), 8 deletions(-) diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk index cfe7e95..4ce8ed7 100644 --- a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk +++ b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk @@ -5,6 +5,7 @@ define __mb-flow-assert-grep-binary-x $(1)/@$(5)-deps:: $(1)/@assert/$(5)/$(2) $(1)/@assert/$(5)/$(2): $(1)/@build +$(MB_@RECIPE)@echo -n "$(MB_I18N_OS_CRAYON_TAG) " $(MB_@RECIPE)grep --colour$(MB_MAKE_EQUALS)auto -U "$(4)" $(1)/$(3) $(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-assert,$$(MB_I18N_ASSERT_SUCCESS) $(1)/@assert/$(2)/$(5)) $(MB_@RECIPE)$$(call mb-make-call,mb-proj-grow-assert-success,$(5)) @@ -35,6 +36,7 @@ $(7)/@@init-deps:: $(1)/@build $(1)/@assert/$(5)/$(2): $(7)/@build $(MB_@RECIPE)$$(if $$(wildcard $(7)/$(3).out),,$$(call mb-make-call,mb-os-file-delete,$(7)/$(3).out)) $(MB_@RECIPE)$$(call mb-make-call,mb-msxpipe-safe-assert,$(7),$(3) > $(3).out,$(6)) +$(MB_@RECIPE)@echo -n "$(MB_I18N_OS_CRAYON_TAG) " $(MB_@RECIPE)grep --colour$(MB_MAKE_EQUALS)auto "$(4)" $(7)/$(3).out $(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-assert,$$(MB_I18N_ASSERT_SUCCESS) $(1)/@assert/$(2)/$(5)) $(MB_@RECIPE)$$(call mb-make-call,mb-proj-grow-assert-success,$(5)) diff --git a/src/example/dist-hello-qa-dos2/0module010.mk b/src/example/dist-hello-qa-dos2/0module010.mk index 6d9f0f7..36869c5 100644 --- a/src/example/dist-hello-qa-dos2/0module010.mk +++ b/src/example/dist-hello-qa-dos2/0module010.mk @@ -16,10 +16,10 @@ _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-append-safe-assert,$(EXAMP _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-append-safe-assert,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN),hello4 > hello4.out) _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-append-exit,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)) _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-openmsx-dosctl,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)) -_$(MB_ᕽᕽᕽ  )grep --colour$(MB_MAKE_EQUALS)auto "M80: Hello MSX" $(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/hello1.out -_$(MB_ᕽᕽᕽ  )grep --colour$(MB_MAKE_EQUALS)auto "SDCC: Hello MSX" $(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/hello2.out -_$(MB_ᕽᕽᕽ  )grep --colour$(MB_MAKE_EQUALS)auto "MAKE: Hello MSX" $(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/hello3.out -_$(MB_ᕽᕽᕽ  )grep --colour$(MB_MAKE_EQUALS)auto "N80: Hello MSX" $(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/hello4.out +_$(MB_ᕽᕽᕽ  )@echo -n "$(MB_I18N_OS_CRAYON_TAG) ";grep --colour$(MB_MAKE_EQUALS)auto "M80: Hello MSX" $(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/hello1.out +_$(MB_ᕽᕽᕽ  )@echo -n "$(MB_I18N_OS_CRAYON_TAG) ";grep --colour$(MB_MAKE_EQUALS)auto "SDCC: Hello MSX" $(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/hello2.out +_$(MB_ᕽᕽᕽ  )@echo -n "$(MB_I18N_OS_CRAYON_TAG) ";grep --colour$(MB_MAKE_EQUALS)auto "MAKE: Hello MSX" $(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/hello3.out +_$(MB_ᕽᕽᕽ  )@echo -n "$(MB_I18N_OS_CRAYON_TAG) ";grep --colour$(MB_MAKE_EQUALS)auto "N80: Hello MSX" $(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/hello4.out _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-echo-assert,$(MB_I18N_ASSERT_SUCCESS) $(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/@assert) _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-proj-grow-assert-success,@package-qa) _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-proj-grow-assert-success,@package-qa) diff --git a/src/example/dist-hello-qa-msx1/0module010.mk b/src/example/dist-hello-qa-msx1/0module010.mk index 1a14413..778beff 100644 --- a/src/example/dist-hello-qa-msx1/0module010.mk +++ b/src/example/dist-hello-qa-msx1/0module010.mk @@ -19,10 +19,10 @@ _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-append-safe-assert,$(EXAMP _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-append-safe-assert,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN),hello4 > hello4.out) _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-append-exit,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)) _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-openmsx-dosctl,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN),$(EXAMPLE_DIST_HELLO_QA_MSX1_MACHINE)) -_$(MB_ᕽᕽᕽ  )grep --colour$(MB_MAKE_EQUALS)auto "M80: Hello MSX" $(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/hello1.out -_$(MB_ᕽᕽᕽ  )grep --colour$(MB_MAKE_EQUALS)auto "SDCC: Hello MSX" $(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/hello2.out -_$(MB_ᕽᕽᕽ  )grep --colour$(MB_MAKE_EQUALS)auto "MAKE: Hello MSX" $(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/hello3.out -_$(MB_ᕽᕽᕽ  )grep --colour$(MB_MAKE_EQUALS)auto "N80: Hello MSX" $(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/hello4.out +_$(MB_ᕽᕽᕽ  )@echo -n "$(MB_I18N_OS_CRAYON_TAG) ";grep --colour$(MB_MAKE_EQUALS)auto "M80: Hello MSX" $(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/hello1.out +_$(MB_ᕽᕽᕽ  )@echo -n "$(MB_I18N_OS_CRAYON_TAG) ";grep --colour$(MB_MAKE_EQUALS)auto "SDCC: Hello MSX" $(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/hello2.out +_$(MB_ᕽᕽᕽ  )@echo -n "$(MB_I18N_OS_CRAYON_TAG) ";grep --colour$(MB_MAKE_EQUALS)auto "MAKE: Hello MSX" $(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/hello3.out +_$(MB_ᕽᕽᕽ  )@echo -n "$(MB_I18N_OS_CRAYON_TAG) ";grep --colour$(MB_MAKE_EQUALS)auto "N80: Hello MSX" $(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/hello4.out _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-echo-assert,$(MB_I18N_ASSERT_SUCCESS) $(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/@assert) _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-proj-grow-assert-success,@package-qa) _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-proj-grow-assert-success,@package-qa) From 87e211cdf6d867b36a0c7f87032b220507dcb5cd Mon Sep 17 00:00:00 2001 From: Willem Date: Wed, 17 Jul 2024 23:45:56 +0200 Subject: [PATCH 242/274] Improved BASIC alignment formatting output. --- lib/make/ i18n/mb_i18n_BASIC.mk | 10 +++++----- 1 file changed, 5 insertions(+), 5 deletions(-) diff --git a/lib/make/ i18n/mb_i18n_BASIC.mk b/lib/make/ i18n/mb_i18n_BASIC.mk index 9a4cabd..2b102d1 100644 --- a/lib/make/ i18n/mb_i18n_BASIC.mk +++ b/lib/make/ i18n/mb_i18n_BASIC.mk @@ -16,13 +16,13 @@ MB_I18N_MSXHUB_FILE_FETCH = FETCH MSXHUB: MB_I18N_MSXROM_FILE_FETCH = FETCH MSXROM: MB_I18N_OPENMSX_STARTUP = BOOT! OPENMSX: MB_I18N_OS_CRAYON_TAG = MSX⁴ -MB_I18N_PROJ_STEP_BEFORE = $(MB_MAKE_HASH)$(MB_MAKE_HASH) BEFORE -MB_I18N_PROJ_STEP_AFTER = $(MB_MAKE_HASH)$(MB_MAKE_HASH)$(MB_MAKE_HASH)$(MB_MAKE_HASH) AFTER -MB_I18N_PROJ_STEP_DONE = BASIC RUN COMPLETED: +MB_I18N_PROJ_STEP_BEFORE = $(MB_MAKE_HASH)$(MB_MAKE_HASH)$(MB_MAKE_HASH)$(MB_MAKE_HASH)$(MB_MAKE_HASH)$(MB_MAKE_HASH)$(MB_MAKE_HASH) BEFORE +MB_I18N_PROJ_STEP_AFTER = $(MB_MAKE_HASH)$(MB_MAKE_HASH)$(MB_MAKE_HASH)$(MB_MAKE_HASH)$(MB_MAKE_HASH)$(MB_MAKE_HASH)$(MB_MAKE_HASH)$(MB_MAKE_HASH) AFTER +MB_I18N_PROJ_STEP_DONE = RUN COMPLETED: MB_I18N_PROJ_DIR_CREATE = FOLDER CREATE: MB_I18N_PROJ_DIR_DELETE = FOLDER DELETE: -MB_I18N_PROJ_ASSERT_COUNT = TOTAL ASSERT OK: -MB_I18N_PROJ_OPENMSX_COUNT = TOTAL EMUCTL OK: +MB_I18N_PROJ_ASSERT_COUNT = TOTAL ASSERT$(MB_MAKE_HASH): +MB_I18N_PROJ_OPENMSX_COUNT = TOTAL EMUCTL$(MB_MAKE_HASH): MB_I18N_FLOW_CLONE_REPORT = GEM⁴ TROOPERS: MB_I18N_NESTOR80_COMPILE = N80 CHURCH: MB_I18N_NESTOR80_LINK = LK80 PRAYER: From 628da07e75c92825ed16463289ad5b083dc5c1fb Mon Sep 17 00:00:00 2001 From: Willem Date: Wed, 17 Jul 2024 23:55:41 +0200 Subject: [PATCH 243/274] Added TODO for LK80 which prints white space noise. --- lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_nestor80.mk | 3 ++- lib/make/ i18n/mb_i18n_BASIC.mk | 4 ++-- 2 files changed, 4 insertions(+), 3 deletions(-) diff --git a/lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_nestor80.mk b/lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_nestor80.mk index 474b283..c53f506 100644 --- a/lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_nestor80.mk +++ b/lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_nestor80.mk @@ -24,9 +24,10 @@ endef $(call mb-make-call,mb-doc-function,mb-nestor80-compile,Compiles source code., ) +# TODO: Create BUG for LK80 it prints one new line, which is illigal white space on normal execution style define mb-nestor80-link $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-os-echo-command,$(MB_I18N_NESTOR80_LINK) $(2) @ $(3)) -$(MB_ᕽᕽᕽ   )$(call mb-make-call,_mb-nestor80-path,LK80) $(MB_NESTOR80_LK80_FLAGS) $(MB_NESTOR80_FLAG_VERBOSE) --code $(3) --output-format bin -o $(2) $(1) +$(MB_ᕽᕽᕽ   )@echo -n "$(MB_I18N_OS_CRAYON_TAG) ";$(call mb-make-call,_mb-nestor80-path,LK80) $(MB_NESTOR80_LK80_FLAGS) $(MB_NESTOR80_FLAG_VERBOSE) --code $(3) --output-format bin -o $(2) $(1) endef $(call mb-make-call,mb-doc-function,mb-nestor80-link,Links to custom code location., ) diff --git a/lib/make/ i18n/mb_i18n_BASIC.mk b/lib/make/ i18n/mb_i18n_BASIC.mk index 2b102d1..063d66e 100644 --- a/lib/make/ i18n/mb_i18n_BASIC.mk +++ b/lib/make/ i18n/mb_i18n_BASIC.mk @@ -24,8 +24,8 @@ MB_I18N_PROJ_DIR_DELETE = FOLDER DELETE: MB_I18N_PROJ_ASSERT_COUNT = TOTAL ASSERT$(MB_MAKE_HASH): MB_I18N_PROJ_OPENMSX_COUNT = TOTAL EMUCTL$(MB_MAKE_HASH): MB_I18N_FLOW_CLONE_REPORT = GEM⁴ TROOPERS: -MB_I18N_NESTOR80_COMPILE = N80 CHURCH: -MB_I18N_NESTOR80_LINK = LK80 PRAYER: +MB_I18N_NESTOR80_COMPILE = N80 _CHURCH[]: +MB_I18N_NESTOR80_LINK = LK80 PRAYER[]: MB_I18N_SDCC_COMPILE = ATARI COMPILE: MB_I18N_SDCC_ARLIB = ATARI ARLIB: MB_I18N_SDCC_LINK = ATARI LINK []: From e9f91f1e9683ba35e566e171cedf61e208681587 Mon Sep 17 00:00:00 2001 From: Willem Date: Thu, 18 Jul 2024 00:03:05 +0200 Subject: [PATCH 244/274] Wrapped Nestor80 output in echo of parallel build synced lines. --- lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_nestor80.mk | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_nestor80.mk b/lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_nestor80.mk index c53f506..c2b8b6c 100644 --- a/lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_nestor80.mk +++ b/lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_nestor80.mk @@ -27,7 +27,7 @@ $(call mb-make-call,mb-doc-function,mb-nestor80-compile,Compiles source code., ) From bc70369c373eb33f9198634ca66075d8ac8ef536 Mon Sep 17 00:00:00 2001 From: Willem Date: Thu, 18 Jul 2024 11:18:45 +0200 Subject: [PATCH 245/274] Gave module proj auto parent cycle and removed that for assert clones. --- lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk | 15 +++---- lib/make/𓃬𓍄𓋹𓀭/mb_flow_clone.mk | 38 +++++++++++++---- lib/make/𓃬𓍄𓋹𓀭/mb_flow_macro80.mk | 4 -- lib/make/𓃬𓍄𓋹𓀭/mb_flow_nestor80.mk | 3 -- lib/make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk | 43 +++++++++++++------- lib/make/𓃬𓍄𓋹𓀭/mb_flow_sdcc.mk | 3 -- lib/msxbuild.mk | 5 +-- src/assert/msxrom/0module100.mk | 2 +- src/example/dist-hello-qa-dos1/0module010.mk | 2 +- src/example/dist-hello-qa-dos2/0module010.mk | 2 +- src/example/dist-hello-qa-msx1/0module010.mk | 2 +- src/example/dist-hello/0module001.mk | 16 +++++--- 12 files changed, 82 insertions(+), 53 deletions(-) diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk index 4ce8ed7..c700751 100644 --- a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk +++ b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk @@ -2,9 +2,7 @@ define __mb-flow-assert-grep-binary-x .RECIPEPREFIX := $(MB_@RECIPE) -$(1)/@$(5)-deps:: $(1)/@assert/$(5)/$(2) - -$(1)/@assert/$(5)/$(2): $(1)/@build +$(1)/@assert/$(5)/$(2): $(1)/@$(5) $(MB_@RECIPE)@echo -n "$(MB_I18N_OS_CRAYON_TAG) " $(MB_@RECIPE)grep --colour$(MB_MAKE_EQUALS)auto -U "$(4)" $(1)/$(3) $(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-assert,$$(MB_I18N_ASSERT_SUCCESS) $(1)/@assert/$(2)/$(5)) @@ -13,6 +11,7 @@ $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-assert,$(1)/@assert/$ $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(1)/@assert/$(5)/$(2)) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-proj-grow-deps-phase,$(5),$(1)/@assert/$(5)/$(2)) +$(1)/@$(5)-deps:: $(1)/@assert/$(5)/$(2) .RECIPEPREFIX := $(MB_@RECIPEPREFIX) endef define mb-flow-assert-grep-binary-test @@ -30,10 +29,7 @@ $(call mb-make-call,mb-doc-function-flow,mb-flow-assert-grep-binary-package-qa,I define __mb-flow-assert-msxpipe-grep-x .RECIPEPREFIX := $(MB_@RECIPE) -$(1)/@$(5)-deps:: $(1)/@assert/$(5)/$(2) -$(7)/@@init-deps:: $(1)/@build - -$(1)/@assert/$(5)/$(2): $(7)/@build +$(1)/@assert/$(5)/$(2): $(7)/@$(5) $(MB_@RECIPE)$$(if $$(wildcard $(7)/$(3).out),,$$(call mb-make-call,mb-os-file-delete,$(7)/$(3).out)) $(MB_@RECIPE)$$(call mb-make-call,mb-msxpipe-safe-assert,$(7),$(3) > $(3).out,$(6)) $(MB_@RECIPE)@echo -n "$(MB_I18N_OS_CRAYON_TAG) " @@ -44,17 +40,18 @@ $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-assert,$(1)/@assert/$ $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(1)/@assert/$(5)/$(2)) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-proj-grow-deps-phase,$(5),$(1)/@assert/$(5)/$(2)) +$(1)/@$(5)-deps:: $(1)/@assert/$(5)/$(2) .RECIPEPREFIX := $(MB_@RECIPEPREFIX) endef define mb-flow-assert-msxpipe-grep-test $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-arg5,$(0),$(1),$(2),$(3),$(4),$(5)) -$(MB_ᕽᕽᕽ   )$(eval $(call mb-make-call,mb-flow-clone-deps,$(1)$(MB_@WORK)_assert/$(notdir $(1))/test-$(3),$(1),$(2),$(6),$(7))) +$(MB_ᕽᕽᕽ   )$(eval $(call mb-make-call,mb-flow-clone-deps-proj-setup-orphan,$(1)$(MB_@WORK)_assert/$(notdir $(1))/test-$(3),$(1),$(2),$(6),$(7))) $(MB_ᕽᕽᕽ   )$(eval $(call mb-make-call,__mb-flow-assert-msxpipe-grep-x,$(1),$(3),$(4),$(5),@test,$(7),$(1)$(MB_@WORK)_assert/$(notdir $(1))/test-$(3))) endef $(call mb-make-call,mb-doc-function-flow,mb-flow-assert-msxpipe-grep-test,Inject flow of single grep assert., [packages] [machine]) define mb_flow-assert-msxpipe-grep-package-qa $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-arg5,$(0),$(1),$(2),$(3),$(4),$(5)) -$(MB_ᕽᕽᕽ   )$(eval $(call mb-make-call,mb-flow-clone-deps,$(1)$(MB_@WORK)_assert/$(notdir $(1))/package-qa-$(3),$(1),$(2),$(6),$(7))) +$(MB_ᕽᕽᕽ   )$(eval $(call mb-make-call,mb-flow-clone-deps-proj-setup-orphan,$(1)$(MB_@WORK)_assert/$(notdir $(1))/package-qa-$(3),$(1),$(2),$(6),$(7))) $(MB_ᕽᕽᕽ   )$(eval $(call mb-make-call,__mb-flow-assert-msxpipe-grep-x,$(1),$(3),$(4),$(5),@package-qa,$(7),$(1)$(MB_@WORK)_assert/$(notdir $(1))/package-qa-$(3))) endef $(call mb-make-call,mb-doc-function-flow,mb-flow-assert-msxpipe-grep-package-qa,Inject flow of single grep assert., [packages] [machine]) diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_clone.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_clone.mk index d1402f9..7001755 100644 --- a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_clone.mk +++ b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_clone.mk @@ -2,20 +2,44 @@ define __mb-flow-clone-deps .RECIPEPREFIX := $(MB_@RECIPE) -$(1)/%: $(2)/% $(1)/@prepare +$(1)/%: $(2)/% $(MB_@RECIPE)$$(call mb-make-call,mb-os-file-copy,$$<,$$@) -$(1)/@@process-deps:: $(4) -$(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-remark,$(MB_I18N_FLOW_CLONE_REPORT) $$(words $$^)) +#$(1)/@@process-deps:: $(4) +#$(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-remark,$(MB_I18N_FLOW_CLONE_REPORT) $$(words $$^)) .RECIPEPREFIX := $(MB_@RECIPEPREFIX) endef -define mb-flow-clone-deps +define __mb-flow-clone-deps-chain +.RECIPEPREFIX := $(MB_@RECIPE) +$(1): $(MB_@INCLUDE_CHAIN) +MB_@INCLUDE_CHAIN :$(MB_MAKE_EQUALS) $(1) +.RECIPEPREFIX := $(MB_@RECIPEPREFIX) +endef + + +define mb-flow-clone-deps-proj-setup $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-arg3,$(0),$(1),$(2),$(3)) -$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-flow-proj-setup,$(1),@init,$(1)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-flow-proj-setup,$(1),$(2)/@build,$(1)) $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-flow-proj-module-run,$(1),$(5)) $(MB_ᕽᕽᕽ   )$(if $(4),$(call mb-make-call,mb-flow-proj-prepare-packages,$(1),$(4))) -$(MB_ᕽᕽᕽ   )$(eval $(call mb-make-call,__$(0),$(1),$(2),$(3),$(call mb-make-call,mb-make-module-local-deps,$(1),$(3)))) +$(MB_ᕽᕽᕽ   )$(eval $(call mb-make-call,__mb-flow-clone-deps,$(1),$(2),$(3),$(call mb-make-call,mb-make-module-local-deps,$(1),$(3)))) +$(MB_ᕽᕽᕽ   )$(eval MB_@INCLUDE_CHAIN :$(MB_MAKE_EQUALS) $(1)/@@prepare) +$(MB_ᕽᕽᕽ   )$(foreach _dep,$(call mb-make-call,mb-make-module-local-deps,$(1),$(3)),$(eval $(call mb-make-call,__mb-flow-clone-deps-chain,$(_dep)))) +$(MB_ᕽᕽᕽ   )$(eval $(1)/@@prepare-deps:: $(MB_@INCLUDE_CHAIN)) endef -$(call mb-make-call,mb-doc-function-flow,mb-flow-clone-deps,Inject flow of file copy toolchain module., [packages] [machine]) +$(call mb-make-call,mb-doc-function-flow,mb-flow-clone-deps-proj-setup,Inject flow of file copy toolchain module., [packages] [machine]) + + +define mb-flow-clone-deps-proj-setup-orphan +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-arg3,$(0),$(1),$(2),$(3)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-flow-proj-setup-orphan,$(1),$(2)/@build,$(1)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-flow-proj-module-run,$(1),$(5)) +$(MB_ᕽᕽᕽ   )$(if $(4),$(call mb-make-call,mb-flow-proj-prepare-packages,$(1),$(4))) +$(MB_ᕽᕽᕽ   )$(eval $(call mb-make-call,__mb-flow-clone-deps,$(1),$(2),$(3),$(call mb-make-call,mb-make-module-local-deps,$(1),$(3)))) +$(MB_ᕽᕽᕽ   )$(eval MB_@INCLUDE_CHAIN :$(MB_MAKE_EQUALS) $(1)/@@prepare) +$(MB_ᕽᕽᕽ   )$(foreach _dep,$(call mb-make-call,mb-make-module-local-deps,$(1),$(3)),$(eval $(call mb-make-call,__mb-flow-clone-deps-chain,$(_dep)))) +$(MB_ᕽᕽᕽ   )$(eval $(1)/@@prepare-deps:: $(MB_@INCLUDE_CHAIN)) +endef +$(call mb-make-call,mb-doc-function-flow,mb-flow-clone-deps-proj-setup-orphan,Inject flow of file copy toolchain module., [packages] [machine]) diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_macro80.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_macro80.mk index 4c4b179..0e5cb8b 100644 --- a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_macro80.mk +++ b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_macro80.mk @@ -11,25 +11,21 @@ $(1)/@@process-deps:: $(1)/$(3).mac $(1)/$(3).mac: $(2)/$(3).mac | $(1)/@prepare $(MB_@RECIPE)$$(call mb-make-call,mb-conv-unix2dos,$(2)/$(3).mac,$(1)/$(3).mac) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-deep,$(1)/$(3).mac) -$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-proj-grow-deps-phase,@process,$(1)/$(3).mac) $(1)/@@compile-deps:: $(1)/$(3).rel $(1)/$(3).rel: $(1)/$(3).mac $(MB_@RECIPE)$$(call mb-make-call,mb-msxpipe-safe-cmd,$(1),m80 $$(MB_MAKE_EQUALS)$(3)/Z) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-deep,$(1)/$(3).rel) -$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-proj-grow-deps-phase,@compile,$(1)/$(3).rel) $(1)/@@link-deps:: $(1)/$(3).hex $(1)/$(3).hex: $(1)/$(3).rel $(MB_@RECIPE)$$(call mb-make-call,mb-msxpipe-safe-cmd,$(1),l80 $(3)$$(MB_MAKE_COMMA)$(3)/N/X/Y/E) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-deep,$(1)/$(3).hex) -$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-proj-grow-deps-phase,@link,$(1)/$(3).hex) $(1)/@@build-deps:: $(1)/$(3).com $(1)/$(3).com: $(1)/$(3).hex $(MB_@RECIPE)$$(call mb-make-call,mb-msxpipe-safe-cmd,$(1),hextocom $(3)) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-deep,$(1)/$(3).com) -$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-proj-grow-deps-phase,@build,$(1)/$(3).com) .RECIPEPREFIX := $(MB_@RECIPEPREFIX) endef diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_nestor80.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_nestor80.mk index ab963cc..baada05 100644 --- a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_nestor80.mk +++ b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_nestor80.mk @@ -6,19 +6,16 @@ $(1)/@@prepare-deps:: $(1)/$(3).mac $(1)/$(3).mac: $(2)/$(3).mac | $(1)/@init $(MB_@RECIPE)$$(call mb-make-call,mb-os-file-copy,$$<,$$@) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-deep,$(1)/$(3).mac) -$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-proj-grow-deps-phase,@prepare,$(1)/$(3).mac) $(1)/@@compile-deps:: $(1)/$(3).rel $(1)/$(3).rel: $(1)/$(3).mac $(MB_@RECIPE)$$(call mb-make-call,mb-nestor80-compile,$$<,$$@) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-deep,$(1)/$(3).rel) -$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-proj-grow-deps-phase,@compile,$(1)/$(3).rel) $(1)/@@link-deps:: $(1)/$(3).com $(1)/$(3).com: $(1)/$(3).rel $(MB_@RECIPE)$$(call mb-make-call,mb-nestor80-link-bdos,$$<,$$@) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-deep,$(1)/$(3).com) -$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-proj-grow-deps-phase,@link,$(1)/$(3).com) .RECIPEPREFIX := $(MB_@RECIPEPREFIX) endef diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk index a28ca33..a50ae04 100644 --- a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk +++ b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk @@ -17,8 +17,8 @@ $(call mb-make-call,mb-doc-variable,MB_PROJ_META_DESCRIPTION,The project descrip MB_PROJ_META_WEBSITE ?= $(call mb-make-call,mb-doc-variable,MB_PROJ_META_WEBSITE,The project website location.) -MB_PROJ_PHASE_ALL = @clean @init @prepare @process @compile @link @build @test @package @package-qa @package-deploy @all -$(call mb-make-call,mb-doc-variable-rock,MB_PROJ_PHASE_ALL,All the phases of an project with flow steps.) +MB_PROJ_PHASE_GROW_DEPS = @prepare @process @compile @link @build @test @package @package-qa @package-deploy @all +$(call mb-make-call,mb-doc-variable-rock,MB_PROJ_PHASE_GROW_DEPS,All the phases of an project with grow deps steps.) MB_PROJ_FIRE_MODULE_INIT ?= $(call mb-make-call,mb-doc-variable-flow,MB_PROJ_FIRE_MODULE_INIT,List of unique module project structure targets.) @@ -40,17 +40,17 @@ $(call mb-make-call,mb-doc-function-deep,mb-proj-grow-assert-success,Grow the su define mb-proj-grow-deps-phase $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-arg2,$(0),$(1),$(2)) -$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-value-valid,$(0),$(1),$(MB_PROJ_PHASE_ALL)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-value-valid,$(0),$(1),$(MB_PROJ_PHASE_GROW_DEPS)) $(MB_ᕽᕽᕽ   )$(eval @$(1)-deps:: $(2)) endef $(call mb-make-call,mb-doc-function-deep,mb-proj-grow-deps-phase,Grow the deps of the selected phase., ) define _mb-proj-flow-step-before -$(MB_ᕽᕽᕽ   )$(if $(filter $(subst @@,@,$@),$(MB_PROJ_PHASE_ALL)),$(call mb-make-call,mb-os-echo-phase,$(MB_I18N_PROJ_STEP_BEFORE) $@)) +$(MB_ᕽᕽᕽ   )$(if $(filter $(subst @@,@,$@),$(MB_PROJ_PHASE_GROW_DEPS) @clean @init),$(call mb-make-call,mb-os-echo-phase,$(MB_I18N_PROJ_STEP_BEFORE) $@)) endef define _mb-proj-flow-step-done -$(MB_ᕽᕽᕽ   )$(if $(filter $@,$(MB_PROJ_PHASE_ALL)),$(call mb-make-call,mb-os-echo-phase,$(MB_I18N_PROJ_STEP_AFTER) $@)) +$(MB_ᕽᕽᕽ   )$(if $(filter $@,$(MB_PROJ_PHASE_GROW_DEPS) @clean @init),$(call mb-make-call,mb-os-echo-phase,$(MB_I18N_PROJ_STEP_AFTER) $@)) $(MB_ᕽᕽᕽ   )$(if $(value MAKECMDGOALS),$(if $(filter $@,$(MAKECMDGOALS)),$(call mb-make-call,mb-os-echo-report,$(MB_I18N_PROJ_OPENMSX_COUNT) $(words $(MB_OPENMSX_FIRE_PIPE_SMOKE))))) $(MB_ᕽᕽᕽ   )$(if $(value MAKECMDGOALS),$(if $(filter $@,$(MAKECMDGOALS)),$(call mb-make-call,mb-os-echo-good,$(MB_I18N_PROJ_STEP_DONE) $@))) endef @@ -62,9 +62,7 @@ define __mb-flow-proj-setup $(3)@@clean: $(2) $(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-before) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@@clean) -$(3)@@clean-deps:: $(2) -$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@@clean-deps) -$(3)@clean: $(3)@@clean $(3)@@clean-deps +$(3)@clean: $(3)@@clean $(MB_@RECIPE)$$(if $$(wildcard $(1)),$$(call mb-make-call,mb-os-echo-command,$$(MB_I18N_PROJ_DIR_DELETE) $(1))) $(MB_@RECIPE)$$(if $$(wildcard $(1)),$$(call mb-make-call,mb-os-dir-delete,$(1))) $(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) @@ -74,9 +72,7 @@ $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@clean) $(3)@@init: $(2) $(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-before) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@@init) -$(3)@@init-deps:: $(2) -$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@@init-deps) -$(3)@init: $(3)@@init $(3)@@init-deps +$(3)@init: $(3)@@init $(MB_@RECIPE)$$(if $$(wildcard $(1)),,$$(call mb-make-call,mb-os-echo-command,$$(MB_I18N_PROJ_DIR_CREATE) $(1))) $(MB_@RECIPE)$$(if $$(wildcard $(1)),,$$(call mb-make-call,mb-os-dir-create,$(1))) $(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) @@ -92,6 +88,7 @@ $(3)@prepare: $(3)@@prepare $(3)@@prepare-deps $(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-$$(if $(3),module,help),$(3)@prepare,Prepare sources before processing.) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@prepare) +$(MB_ᕽᕽᕽ   )$$(if $(4),$$(call mb-make-call,mb-proj-grow-deps-phase,@prepare,$(3)@prepare)) $(3)@@process: $(3)@prepare $(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-before) @@ -102,6 +99,7 @@ $(3)@process: $(3)@@process $(3)@@process-deps $(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-$$(if $(3),module,help),$(3)@process,Process sources before compiling.) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@process) +$(MB_ᕽᕽᕽ   )$$(if $(4),$$(call mb-make-call,mb-proj-grow-deps-phase,@process,$(3)@process)) $(3)@@compile: $(3)@process $(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-before) @@ -112,6 +110,7 @@ $(3)@compile: $(3)@@compile $(3)@@compile-deps $(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-$$(if $(3),module,help),$(3)@compile,Compiles all project sources.) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@compile) +$(MB_ᕽᕽᕽ   )$$(if $(4),$$(call mb-make-call,mb-proj-grow-deps-phase,@compile,$(3)@compile)) $(3)@@link: $(3)@compile $(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-before) @@ -122,6 +121,7 @@ $(3)@link: $(3)@@link $(3)@@link-deps $(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-$$(if $(3),module,help),$(3)@link,Link all project intermediate files.) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@link) +$(MB_ᕽᕽᕽ   )$$(if $(4),$$(call mb-make-call,mb-proj-grow-deps-phase,@link,$(3)@link)) $(3)@@build: $(3)@link $(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-before) @@ -132,6 +132,7 @@ $(3)@build: $(3)@@build $(3)@@build-deps $(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-$$(if $(3),module,help),$(3)@build,Build all project artifacts.) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@build) +$(MB_ᕽᕽᕽ   )$$(if $(4),$$(call mb-make-call,mb-proj-grow-deps-phase,@build,$(3)@build)) $(3)@@test: $(3)@build $(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-before) @@ -143,6 +144,7 @@ $(MB_@RECIPE)$$(if $(3),,$$(call mb-make-call,mb-os-echo-report,$$(MB_I18N_PROJ_ $(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-$$(if $(3),module,help),$(3)@test,Run all assertion tests.) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@test) +$(MB_ᕽᕽᕽ   )$$(if $(4),$$(call mb-make-call,mb-proj-grow-deps-phase,@test,$(3)@test)) $(3)@@package: $(3)@test $(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-before) @@ -153,6 +155,7 @@ $(3)@package: $(3)@@package $(3)@@package-deps $(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-$$(if $(3),module,help),$(3)@package,Create all packages of project.) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@package) +$(MB_ᕽᕽᕽ   )$$(if $(4),$$(call mb-make-call,mb-proj-grow-deps-phase,@package,$(3)@package)) $(3)@@package-qa: $(3)@package $(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-before) @@ -164,6 +167,7 @@ $(MB_@RECIPE)$$(if $(3),,$$(call mb-make-call,mb-os-echo-report,$$(MB_I18N_PROJ_ $(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-$$(if $(3),module,help),$(3)@package-qa,Run all packages QA of project.) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@package-qa) +$(MB_ᕽᕽᕽ   )$$(if $(4),$$(call mb-make-call,mb-proj-grow-deps-phase,@package-qa,$(3)@package-qa)) $(3)@@package-deploy: $(3)@package-qa $(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-before) @@ -174,6 +178,7 @@ $(3)@package-deploy: $(3)@@package-deploy $(3)@@package-deploy-deps $(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-$$(if $(3),module,help),$(3)@package-deploy,Deploy all the packages.) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@package-deploy) +$(MB_ᕽᕽᕽ   )$$(if $(4),$$(call mb-make-call,mb-proj-grow-deps-phase,@package-deploy,$(3)@package-deploy)) $(3)@@all: $(3)@package-qa $(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-before) @@ -185,6 +190,7 @@ $(MB_@RECIPE)$$(if $$(value MAKECMDGOALS),,$$(call mb-make-call,mb-os-echo-repor $(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-$$(if $(3),module,help),$(3)@all,Run full build and package QA tests.) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@all) +$(MB_ᕽᕽᕽ   )$$(if $(4),$$(call mb-make-call,mb-proj-grow-deps-phase,@all,$(3)@all)) .RECIPEPREFIX := $(MB_@RECIPEPREFIX) endef @@ -196,11 +202,18 @@ $(MB_ᕽᕽᕽ   )$(if $(3),,$(call mb-make-call,mb-make-check-variable,MB $(MB_ᕽᕽᕽ   )$(if $(3),,$(call mb-make-call,mb-make-check-variable,MB_PROJ_META_NAME)) $(MB_ᕽᕽᕽ   )$(if $(3),,$(call mb-make-call,mb-make-check-variable,MB_PROJ_META_DESCRIPTION)) $(MB_ᕽᕽᕽ   )$(if $(3),,$(call mb-make-call,mb-make-check-variable,MB_PROJ_META_WEBSITE)) -$(MB_ᕽᕽᕽ   )$(if $(filter $(if $(3),$(3)/@init,@init),$(MB_PROJ_FIRE_MODULE_INIT)),,$(eval $(call mb-make-call,__$(0),$(1),$(2),$(if $(3),$(3)/)))) +$(MB_ᕽᕽᕽ   )$(if $(filter $(if $(3),$(3)/@init,@init),$(MB_PROJ_FIRE_MODULE_INIT)),,$(eval $(call mb-make-call,__$(0),$(1),$(2),$(if $(3),$(3)/),$(if $(3),$(3)/)))) $(MB_ᕽᕽᕽ   )$(if $(3),,$(if $(MB_I18N),$(if $(filter off,$(MB_I18N)),,$(call mb-make-call,_$(0)-i18n)))) $(MB_ᕽᕽᕽ   )$(eval MB_PROJ_FIRE_MODULE_INIT $(MB_MAKE_APPEND) $(if $(3),$(3)/@init,@init)) endef $(call mb-make-call,mb-doc-function-flow,mb-flow-proj-setup,Inject flow of abstract project build cycle., [parent-glue] [bin-mod-dir]) +define mb-flow-proj-setup-orphan +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-arg1,$(0),$(1)) +$(MB_ᕽᕽᕽ   )$(if $(filter $(if $(3),$(3)/@init,@init),$(MB_PROJ_FIRE_MODULE_INIT)),,$(eval $(call mb-make-call,__mb-flow-proj-setup,$(1),$(2),$(if $(3),$(3)/)))) +$(MB_ᕽᕽᕽ   )$(eval MB_PROJ_FIRE_MODULE_INIT $(MB_MAKE_APPEND) $(if $(3),$(3)/@init,@init)) +endef +$(call mb-make-call,mb-doc-function-flow,mb-flow-proj-setup-orphan,Inject flow of abstract orphan project module., [parent-glue] [bin-mod-dir]) + define __mb-flow-proj-setup-i18n @@ -306,17 +319,19 @@ $(call mb-make-call,mb-doc-function-flow,mb-flow-proj-module-run,Inject flow mod define __mb-flow-proj-prepare-packages .RECIPEPREFIX := $(MB_@RECIPE) -$(1)/@@prepare-deps:: $(1)/@msxhub/$(2) -$(1)/@msxhub/$(2): @@module-msxhub +$(1)/@msxhub/$(2): $(MB_@INCLUDE_CHAIN) $(MB_@RECIPE)$$(if $$(wildcard $(1)$$(if $(3),/$(3))),,$$(call mb-make-call,mb-os-dir-create,$(1)$$(if $(3),/$(3)))) $(MB_@RECIPE)$$(call mb-make-call,mb-msxhub-get-$(2),$(1)$$(if $(3),/$(3))) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(1)/@msxhub/$(2)) +$(MB_ᕽᕽᕽ   )MB_@INCLUDE_CHAIN :$(MB_MAKE_EQUALS) $(1)/@msxhub/$(2) .RECIPEPREFIX := $(MB_@RECIPEPREFIX) endef define mb-flow-proj-prepare-packages $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-arg2,$(0),$(1),$(2)) +$(MB_ᕽᕽᕽ   )$(eval MB_@INCLUDE_CHAIN :$(MB_MAKE_EQUALS) $(1)/@@prepare) $(MB_ᕽᕽᕽ   )$(foreach _pack,$(2),$(eval $(call mb-make-call,__$(0),$(1),$(_pack),$(3)))) +$(MB_ᕽᕽᕽ   )$(eval $(1)/@@prepare-deps:: $(MB_@INCLUDE_CHAIN)) endef $(call mb-make-call,mb-doc-function-flow,mb-flow-proj-prepare-packages,Inject package fetch flow for module., [dest-dir]) diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_sdcc.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_sdcc.mk index b9ac61d..703eee9 100644 --- a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_sdcc.mk +++ b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_sdcc.mk @@ -6,19 +6,16 @@ $(1)/@@compile-deps:: $(1)/$(3).rel $(1)/$(3).rel: $(2)/$(3).asm | $(1)/@prepare $(MB_@RECIPE)$$(call mb-make-call,mb-sdcc-compile-asm,$$<,$$@) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-deep,$(1)/$(3).rel) -$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-proj-grow-deps-phase,@compile,$(1)/$(3).rel) $(1)/@@link-deps:: $(1)/$(3).hex $(1)/$(3).hex: $(1)/$(3).rel $(MB_@RECIPE)$$(call mb-make-call,mb-sdcc-link-asm-bdos,$$<,$$@) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-deep,$(1)/$(3).hex) -$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-proj-grow-deps-phase,@link,$(1)/$(3).hex) $(1)/@@build-deps:: $(1)/$(3).com $(1)/$(3).com: $(1)/$(3).hex $(MB_@RECIPE)$$(call mb-make-call,mb-sdcc-objcopy,$$<,$$@) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-deep,$(1)/$(3).com) -$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-proj-grow-deps-phase,@build,$(1)/$(3).com) .RECIPEPREFIX := $(MB_@RECIPEPREFIX) endef diff --git a/lib/msxbuild.mk b/lib/msxbuild.mk index e85d07f..ae9a4f4 100644 --- a/lib/msxbuild.mk +++ b/lib/msxbuild.mk @@ -34,7 +34,7 @@ endif endif .PHONY: $(MB_@BASEPATH)$(1) include $(MB_@BASEPATH)$(1) -$(if $(MB_@INCLUDE_CHAIN),$(eval $(MB_@BASEPATH)$(1): $(MB_@INCLUDE_CHAIN))) +$(MB_@BASEPATH)$(1): $(MB_@INCLUDE_CHAIN) MB_@INCLUDE_CHAIN := $(MB_@BASEPATH)$(1) endef @@ -85,10 +85,9 @@ endif MB_@INCLUDE_CHAIN := # Glue phony targets to get internal @include tree (semi) correct (TODO: fix chain in: mb_flow_0module_setup) -.PHONY: @include @@include-root @@include-libs @@include-mods @@include-parent @@include-tree @@module-msxhub +.PHONY: @include @@include-root @@include-libs @@include-mods @@include-parent @@include-tree @@include-parent:: @@include-mods @include:: @@include-libs @@include-root -@@module-msxhub: @@include-libs # Document our internal variables, now we have the functions loaded $(MB_ᕽᕽᕽ )$(call mb-make-call,mb-doc-variable-rock,MB_@RECIPE,Recipe prefix to set and indent flow eval rules.) diff --git a/src/assert/msxrom/0module100.mk b/src/assert/msxrom/0module100.mk index 130490a..1bbacf6 100644 --- a/src/assert/msxrom/0module100.mk +++ b/src/assert/msxrom/0module100.mk @@ -8,7 +8,7 @@ $(call mb-make-call,mb-doc-variable,ASSERT_MSXROM_MATRIX,When on enabled matrix # Test matrix = 13 * 2 * 4 = 104 assertion tests -$(call mb-make-call,mb-flow-clone-deps,$(ASSERT_MSXROM_BIN),$(EXAMPLE_DIST_HELLO_BIN),$(EXAMPLE_DIST_HELLO_DEPS)) +$(call mb-make-call,mb-flow-clone-deps-proj-setup-orphan,$(ASSERT_MSXROM_BIN),$(EXAMPLE_DIST_HELLO_BIN),$(EXAMPLE_DIST_HELLO_DEPS)) ifeq ("$(ASSERT_MSXROM_MATRIX)", "on") $(foreach _rom,$(MB_MSXROM_FIRE_MACHINES),$(call mb-make-call,mb-flow-assert-msxpipe-grep-test,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-msxdos2-chk1,hello1,M80,msxdos2-boot,$(_rom))) $(foreach _rom,$(MB_MSXROM_FIRE_MACHINES),$(call mb-make-call,mb-flow-assert-msxpipe-grep-test,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-msxdos2-chk2,hello2,SDCC,msxdos2-boot,$(_rom))) diff --git a/src/example/dist-hello-qa-dos1/0module010.mk b/src/example/dist-hello-qa-dos1/0module010.mk index 1cffafd..d916038 100644 --- a/src/example/dist-hello-qa-dos1/0module010.mk +++ b/src/example/dist-hello-qa-dos1/0module010.mk @@ -3,7 +3,7 @@ EXAMPLE_DIST_HELLO_QA_DOS1_SRC := $(call mb-make-call,mb-make-module-path-sr EXAMPLE_DIST_HELLO_QA_DOS1_BIN := $(call mb-make-call,mb-make-module-path-bin,$(PATH_SRC),$(PATH_BIN)) -$(call mb-make-call,mb-flow-clone-deps,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN),$(EXAMPLE_DIST_HELLO_BIN),$(EXAMPLE_DIST_HELLO_DEPS),msxdos1-boot) +$(call mb-make-call,mb-flow-clone-deps-proj-setup-orphan,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN),$(EXAMPLE_DIST_HELLO_BIN),$(EXAMPLE_DIST_HELLO_DEPS),msxdos1-boot) $(EXAMPLE_DIST_HELLO_QA_DOS1_BIN)/@assert: $(EXAMPLE_DIST_HELLO_QA_DOS1_BIN)/@build diff --git a/src/example/dist-hello-qa-dos2/0module010.mk b/src/example/dist-hello-qa-dos2/0module010.mk index 36869c5..7b2ab49 100644 --- a/src/example/dist-hello-qa-dos2/0module010.mk +++ b/src/example/dist-hello-qa-dos2/0module010.mk @@ -3,7 +3,7 @@ EXAMPLE_DIST_HELLO_QA_DOS2_SRC := $(call mb-make-call,mb-make-module-path-sr EXAMPLE_DIST_HELLO_QA_DOS2_BIN := $(call mb-make-call,mb-make-module-path-bin,$(PATH_SRC),$(PATH_BIN)) -$(call mb-make-call,mb-flow-clone-deps,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN),$(EXAMPLE_DIST_HELLO_BIN),$(EXAMPLE_DIST_HELLO_DEPS),msxdos2-boot) +$(call mb-make-call,mb-flow-clone-deps-proj-setup-orphan,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN),$(EXAMPLE_DIST_HELLO_BIN),$(EXAMPLE_DIST_HELLO_DEPS),msxdos2-boot) $(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/@assert: $(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/@build diff --git a/src/example/dist-hello-qa-msx1/0module010.mk b/src/example/dist-hello-qa-msx1/0module010.mk index 778beff..96c6fcf 100644 --- a/src/example/dist-hello-qa-msx1/0module010.mk +++ b/src/example/dist-hello-qa-msx1/0module010.mk @@ -6,7 +6,7 @@ EXAMPLE_DIST_HELLO_QA_MSX1_MACHINE ?= Canon_V-20 $(call mb-make-call,mb-doc-variable,EXAMPLE_DIST_HELLO_QA_MSX1_MACHINE,The machine to run the msx1 qa module on.) -$(call mb-make-call,mb-flow-clone-deps,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN),$(EXAMPLE_DIST_HELLO_BIN),$(EXAMPLE_DIST_HELLO_DEPS),,$(EXAMPLE_DIST_HELLO_QA_MSX1_MACHINE)) +$(call mb-make-call,mb-flow-clone-deps-proj-setup-orphan,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN),$(EXAMPLE_DIST_HELLO_BIN),$(EXAMPLE_DIST_HELLO_DEPS),,$(EXAMPLE_DIST_HELLO_QA_MSX1_MACHINE)) $(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/@assert: $(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/@build diff --git a/src/example/dist-hello/0module001.mk b/src/example/dist-hello/0module001.mk index 427b63e..1b0830f 100644 --- a/src/example/dist-hello/0module001.mk +++ b/src/example/dist-hello/0module001.mk @@ -13,26 +13,30 @@ _$(MB_ᕽᕽᕽ  )$(if $(wildcard $(EXAMPLE_DIST_HELLO_BIN)),,$(call mb-make $(EXAMPLE_DIST_HELLO_BIN)/readme.txt: $(EXAMPLE_DIST_HELLO_SRC)/readme.txt | $(EXAMPLE_DIST_HELLO_BIN) _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-conv-unix2dos,$<,$@) -$(call mb-make-call,mb-proj-grow-deps-phase,@process,$(EXAMPLE_DIST_HELLO_BIN)/readme.txt) -$(EXAMPLE_DIST_HELLO_BIN)/hello1.com: bin/example/hello-macro80/hello.com | $(EXAMPLE_DIST_HELLO_BIN) +$(EXAMPLE_DIST_HELLO_BIN)/hello1.com: bin/example/hello-macro80/hello.com | $(EXAMPLE_DIST_HELLO_BIN)/readme.txt _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-file-copy,$<,$@) -$(EXAMPLE_DIST_HELLO_BIN)/hello2.com: bin/example/hello-sdcc-asm/hello.com | $(EXAMPLE_DIST_HELLO_BIN) +$(EXAMPLE_DIST_HELLO_BIN)/hello2.com: bin/example/hello-sdcc-asm/hello.com | $(EXAMPLE_DIST_HELLO_BIN)/hello1.com _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-file-copy,$<,$@) -$(EXAMPLE_DIST_HELLO_BIN)/hello3.com: bin/example/hello-make4msx/hello.com | $(EXAMPLE_DIST_HELLO_BIN) +$(EXAMPLE_DIST_HELLO_BIN)/hello3.com: bin/example/hello-make4msx/hello.com | $(EXAMPLE_DIST_HELLO_BIN)/hello2.com _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-file-copy,$<,$@) -$(EXAMPLE_DIST_HELLO_BIN)/hello4.com: bin/example/hello-nestor80/hello.com | $(EXAMPLE_DIST_HELLO_BIN) +$(EXAMPLE_DIST_HELLO_BIN)/hello4.com: bin/example/hello-nestor80/hello.com | $(EXAMPLE_DIST_HELLO_BIN)/hello3.com _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-file-copy,$<,$@) $(EXAMPLE_DIST_HELLO_BIN)/@prepare: | $(EXAMPLE_DIST_HELLO_DEPS) $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-doc-target,$(EXAMPLE_DIST_HELLO_BIN)/@prepare,Prepare folder with binaries for distribution.) $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-target-phony,$(EXAMPLE_DIST_HELLO_BIN)/@prepare) -$(EXAMPLE_DIST_HELLO_OUT): | $(EXAMPLE_DIST_HELLO_BIN)/@prepare +$(EXAMPLE_DIST_HELLO_OUT): $(EXAMPLE_DIST_HELLO_BIN)/@prepare _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-package-create-archive,$(EXAMPLE_DIST_HELLO_BIN),$@) $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-doc-target,$(EXAMPLE_DIST_HELLO_OUT),Build archive distribution artifact.) $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-proj-grow-deps-phase,@package,$(EXAMPLE_DIST_HELLO_OUT)) +# todo: convert to module +$(EXAMPLE_DIST_HELLO_BIN)/@build: $(EXAMPLE_DIST_HELLO_BIN)/@prepare +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-target-phony,$(EXAMPLE_DIST_HELLO_BIN)/@build) + + From c965e2dbfaa815886d7bdc8212b7f8cb169c20ad Mon Sep 17 00:00:00 2001 From: Willem Date: Thu, 18 Jul 2024 11:28:57 +0200 Subject: [PATCH 246/274] Renamed graph ordering chain variable. --- lib/make/𓃬𓍄𓋹𓀭/mb_flow_clone.mk | 12 ++++++------ lib/make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk | 8 ++++---- lib/msxbuild.mk | 30 +++++++++++++++--------------- 3 files changed, 25 insertions(+), 25 deletions(-) diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_clone.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_clone.mk index 7001755..1d8f237 100644 --- a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_clone.mk +++ b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_clone.mk @@ -12,8 +12,8 @@ $(MB_@RECIPE)$$(call mb-make-call,mb-os-file-copy,$$<,$$@) endef define __mb-flow-clone-deps-chain .RECIPEPREFIX := $(MB_@RECIPE) -$(1): $(MB_@INCLUDE_CHAIN) -MB_@INCLUDE_CHAIN :$(MB_MAKE_EQUALS) $(1) +$(1): $(MB_@GRAPH_CHAIN) +MB_@GRAPH_CHAIN :$(MB_MAKE_EQUALS) $(1) .RECIPEPREFIX := $(MB_@RECIPEPREFIX) endef @@ -24,9 +24,9 @@ $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-flow-proj-setup,$(1),$(2)/@build, $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-flow-proj-module-run,$(1),$(5)) $(MB_ᕽᕽᕽ   )$(if $(4),$(call mb-make-call,mb-flow-proj-prepare-packages,$(1),$(4))) $(MB_ᕽᕽᕽ   )$(eval $(call mb-make-call,__mb-flow-clone-deps,$(1),$(2),$(3),$(call mb-make-call,mb-make-module-local-deps,$(1),$(3)))) -$(MB_ᕽᕽᕽ   )$(eval MB_@INCLUDE_CHAIN :$(MB_MAKE_EQUALS) $(1)/@@prepare) +$(MB_ᕽᕽᕽ   )$(eval MB_@GRAPH_CHAIN :$(MB_MAKE_EQUALS) $(1)/@@prepare) $(MB_ᕽᕽᕽ   )$(foreach _dep,$(call mb-make-call,mb-make-module-local-deps,$(1),$(3)),$(eval $(call mb-make-call,__mb-flow-clone-deps-chain,$(_dep)))) -$(MB_ᕽᕽᕽ   )$(eval $(1)/@@prepare-deps:: $(MB_@INCLUDE_CHAIN)) +$(MB_ᕽᕽᕽ   )$(eval $(1)/@@prepare-deps:: $(MB_@GRAPH_CHAIN)) endef $(call mb-make-call,mb-doc-function-flow,mb-flow-clone-deps-proj-setup,Inject flow of file copy toolchain module., [packages] [machine]) @@ -37,9 +37,9 @@ $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-flow-proj-setup-orphan,$(1),$(2)/ $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-flow-proj-module-run,$(1),$(5)) $(MB_ᕽᕽᕽ   )$(if $(4),$(call mb-make-call,mb-flow-proj-prepare-packages,$(1),$(4))) $(MB_ᕽᕽᕽ   )$(eval $(call mb-make-call,__mb-flow-clone-deps,$(1),$(2),$(3),$(call mb-make-call,mb-make-module-local-deps,$(1),$(3)))) -$(MB_ᕽᕽᕽ   )$(eval MB_@INCLUDE_CHAIN :$(MB_MAKE_EQUALS) $(1)/@@prepare) +$(MB_ᕽᕽᕽ   )$(eval MB_@GRAPH_CHAIN :$(MB_MAKE_EQUALS) $(1)/@@prepare) $(MB_ᕽᕽᕽ   )$(foreach _dep,$(call mb-make-call,mb-make-module-local-deps,$(1),$(3)),$(eval $(call mb-make-call,__mb-flow-clone-deps-chain,$(_dep)))) -$(MB_ᕽᕽᕽ   )$(eval $(1)/@@prepare-deps:: $(MB_@INCLUDE_CHAIN)) +$(MB_ᕽᕽᕽ   )$(eval $(1)/@@prepare-deps:: $(MB_@GRAPH_CHAIN)) endef $(call mb-make-call,mb-doc-function-flow,mb-flow-clone-deps-proj-setup-orphan,Inject flow of file copy toolchain module., [packages] [machine]) diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk index a50ae04..8a4aaf6 100644 --- a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk +++ b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk @@ -319,19 +319,19 @@ $(call mb-make-call,mb-doc-function-flow,mb-flow-proj-module-run,Inject flow mod define __mb-flow-proj-prepare-packages .RECIPEPREFIX := $(MB_@RECIPE) -$(1)/@msxhub/$(2): $(MB_@INCLUDE_CHAIN) +$(1)/@msxhub/$(2): $(MB_@GRAPH_CHAIN) $(MB_@RECIPE)$$(if $$(wildcard $(1)$$(if $(3),/$(3))),,$$(call mb-make-call,mb-os-dir-create,$(1)$$(if $(3),/$(3)))) $(MB_@RECIPE)$$(call mb-make-call,mb-msxhub-get-$(2),$(1)$$(if $(3),/$(3))) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(1)/@msxhub/$(2)) -$(MB_ᕽᕽᕽ   )MB_@INCLUDE_CHAIN :$(MB_MAKE_EQUALS) $(1)/@msxhub/$(2) +$(MB_ᕽᕽᕽ   )MB_@GRAPH_CHAIN :$(MB_MAKE_EQUALS) $(1)/@msxhub/$(2) .RECIPEPREFIX := $(MB_@RECIPEPREFIX) endef define mb-flow-proj-prepare-packages $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-arg2,$(0),$(1),$(2)) -$(MB_ᕽᕽᕽ   )$(eval MB_@INCLUDE_CHAIN :$(MB_MAKE_EQUALS) $(1)/@@prepare) +$(MB_ᕽᕽᕽ   )$(eval MB_@GRAPH_CHAIN :$(MB_MAKE_EQUALS) $(1)/@@prepare) $(MB_ᕽᕽᕽ   )$(foreach _pack,$(2),$(eval $(call mb-make-call,__$(0),$(1),$(_pack),$(3)))) -$(MB_ᕽᕽᕽ   )$(eval $(1)/@@prepare-deps:: $(MB_@INCLUDE_CHAIN)) +$(MB_ᕽᕽᕽ   )$(eval $(1)/@@prepare-deps:: $(MB_@GRAPH_CHAIN)) endef $(call mb-make-call,mb-doc-function-flow,mb-flow-proj-prepare-packages,Inject package fetch flow for module., [dest-dir]) diff --git a/lib/msxbuild.mk b/lib/msxbuild.mk index ae9a4f4..7a756f4 100644 --- a/lib/msxbuild.mk +++ b/lib/msxbuild.mk @@ -23,7 +23,7 @@ MB_@RECIPE := ] MB_@RECIPEPREFIX := $(.RECIPEPREFIX) MB_@BASEPATH := $(dir $(lastword $(MAKEFILE_LIST))) MB_@WORK := /../_work/ -MB_@INCLUDE_CHAIN := +MB_@GRAPH_CHAIN := # Build multiple groups of chains of includes define __mb-include @@ -34,12 +34,12 @@ endif endif .PHONY: $(MB_@BASEPATH)$(1) include $(MB_@BASEPATH)$(1) -$(MB_@BASEPATH)$(1): $(MB_@INCLUDE_CHAIN) -MB_@INCLUDE_CHAIN := $(MB_@BASEPATH)$(1) +$(MB_@BASEPATH)$(1): $(MB_@GRAPH_CHAIN) +MB_@GRAPH_CHAIN := $(MB_@BASEPATH)$(1) endef # Include full library grouped in functional blocks -MB_@INCLUDE_CHAIN := @@include-parent +MB_@GRAPH_CHAIN := @@include-parent $(eval $(call __mb-include,make/सिस्टमₚᵣₐᵧToੴ/mb_xxx.mk)) $(eval $(call __mb-include,make/सिस्टमₚᵣₐᵧToੴ/mb_doc.mk)) $(eval $(call __mb-include,make/सिस्टमₚᵣₐᵧToੴ/mb_make_call.mk)) @@ -48,22 +48,22 @@ $(eval $(call __mb-include,make/सिस्टमₚᵣₐᵧToੴ/mb_make_modu $(eval $(call __mb-include,make/सिस्टमₚᵣₐᵧToੴ/mb_make_space.mk)) $(eval $(call __mb-include,make/सिस्टमₚᵣₐᵧToੴ/mb_make_xml.mk)) $(eval $(call __mb-include,make/सिस्टमₚᵣₐᵧToੴ/mb_make.mk)) -@@include-libs:: $(MB_@INCLUDE_CHAIN) -MB_@INCLUDE_CHAIN := @@include-parent +@@include-libs:: $(MB_@GRAPH_CHAIN) +MB_@GRAPH_CHAIN := @@include-parent $(eval $(call __mb-include,make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_os.mk)) $(eval $(call __mb-include,make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_conv.mk)) $(eval $(call __mb-include,make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_sdcc.mk)) $(eval $(call __mb-include,make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_package.mk)) $(eval $(call __mb-include,make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_nestor80.mk)) -@@include-libs:: $(MB_@INCLUDE_CHAIN) -MB_@INCLUDE_CHAIN := @@include-parent +@@include-libs:: $(MB_@GRAPH_CHAIN) +MB_@GRAPH_CHAIN := @@include-parent $(eval $(call __mb-include,make/天房系統擴展/mb_msxrom.mk)) $(eval $(call __mb-include,make/天房系統擴展/mb_msxhub.mk)) $(eval $(call __mb-include,make/天房系統擴展/mb_msxpipe.mk)) $(eval $(call __mb-include,make/天房系統擴展/mb_openmsx.mk)) $(eval $(call __mb-include,make/天房系統擴展/mb_autoexec.mk)) -@@include-libs:: $(MB_@INCLUDE_CHAIN) -MB_@INCLUDE_CHAIN := @@include-parent +@@include-libs:: $(MB_@GRAPH_CHAIN) +MB_@GRAPH_CHAIN := @@include-parent $(eval $(call __mb-include,make/𓃬𓍄𓋹𓀭/mb_flow_nx01.mk)) $(eval $(call __mb-include,make/𓃬𓍄𓋹𓀭/mb_flow_help.mk)) $(eval $(call __mb-include,make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk)) @@ -72,8 +72,8 @@ $(eval $(call __mb-include,make/𓃬𓍄𓋹𓀭/mb_flow_macro80.mk)) $(eval $(call __mb-include,make/𓃬𓍄𓋹𓀭/mb_flow_nestor80.mk)) $(eval $(call __mb-include,make/𓃬𓍄𓋹𓀭/mb_flow_sdcc.mk)) $(eval $(call __mb-include,make/𓃬𓍄𓋹𓀭/mb_flow_clone.mk)) -@@include-libs:: $(MB_@INCLUDE_CHAIN) -MB_@INCLUDE_CHAIN := +@@include-libs:: $(MB_@GRAPH_CHAIN) +MB_@GRAPH_CHAIN := $(eval $(call __mb-include,make/mb_env.mk)) $(eval $(call __mb-include,make/mb_flight.mk)) $(eval $(call __mb-include,make/ i18n/mb_i18n.mk)) @@ -81,8 +81,8 @@ ifneq ("$(MB_I18N)", "off") $(if $(MB_I18N),$(if $(wildcard $(MB_@BASEPATH)make/ i18n/mb_i18n_$(MB_I18N).mk),,$(error Unsupported i18n language code: $(MB_I18N)))) $(eval $(call __mb-include,make/ i18n/mb_i18n_$(MB_I18N).mk)) endif -@include:: $(MB_@INCLUDE_CHAIN) -MB_@INCLUDE_CHAIN := +@include:: $(MB_@GRAPH_CHAIN) +MB_@GRAPH_CHAIN := # Glue phony targets to get internal @include tree (semi) correct (TODO: fix chain in: mb_flow_0module_setup) .PHONY: @include @@include-root @@include-libs @@include-mods @@include-parent @@include-tree @@ -94,7 +94,7 @@ $(MB_ᕽᕽᕽ )$(call mb-make-call,mb-doc-variable-rock,MB_@RECIPE,Recipe pre $(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-doc-variable-rock,MB_@RECIPEPREFIX,Recipe prefix to restore to after flow eval rules.) $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-doc-variable-rock,MB_@BASEPATH,Path where msxbuild lib folder is located.) $(MB_ᕽᕽᕽ    )$(call mb-make-call,mb-doc-variable-rock,MB_@WORK,Shorthand to move to the work folder of module.) -$(MB_ᕽᕽᕽ     )$(call mb-make-call,mb-doc-variable-rock,MB_@INCLUDE_CHAIN,Helper to chain internal library sections includes into groups.) +$(MB_ᕽᕽᕽ     )$(call mb-make-call,mb-doc-variable-rock,MB_@GRAPH_CHAIN,Helper to chain internal graph sections includes into groups.) # Provider+doc easy single function start point for user define mb-setup-default From 669c687b8b71747d95dfc2e2e2ab3fde25393374 Mon Sep 17 00:00:00 2001 From: Willem Date: Thu, 18 Jul 2024 12:29:17 +0200 Subject: [PATCH 247/274] Added xml prolog print function. --- lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_xml.mk | 7 +++++++ 1 file changed, 7 insertions(+) diff --git a/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_xml.mk b/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_xml.mk index 3fe1ed5..604b0a8 100644 --- a/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_xml.mk +++ b/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_xml.mk @@ -12,6 +12,13 @@ MB_MAKE_XML_CDATA_END := ]]> $(call mb-make-call,mb-doc-variable-rock,MB_MAKE_XML_CDATA_END,Expanded special char; XML cdata end.) +define mb-make-xml-prolog +$(MB_ᕽᕽᕽ   )$(MB_MAKE_XML_LT)$\ +$(MB_ᕽᕽᕽ    )?xml version$(MB_MAKE_EQUALS)"1.0" ?$\ +$(MB_ᕽᕽᕽ   )$(MB_MAKE_XML_GT) +endef + + define _escape-xml-attr $(MB_ᕽᕽᕽ   )$(subst ',',$(subst ","e;,$(subst &,&,$(subst <,<,$(subst >,>,$(subst $(MB_MAKE_XML_CDATA_START),,$(subst $(MB_MAKE_XML_CDATA_END),,$(1)))))))) endef From 35577362c22793bb70b0e4b4bb01e8ff46c68650 Mon Sep 17 00:00:00 2001 From: Willem Date: Thu, 18 Jul 2024 13:25:50 +0200 Subject: [PATCH 248/274] Moved self doc to other file to use normal functions. --- lib/make/सिस्टमₚᵣₐᵧToੴ/mb_doc.mk | 114 +++++-------------------- lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_call.mk | 4 - lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_doc4u.mk | 51 +++++++++++ lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_space.mk | 4 - lib/msxbuild.mk | 3 +- 5 files changed, 75 insertions(+), 101 deletions(-) create mode 100644 lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_doc4u.mk diff --git a/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_doc.mk b/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_doc.mk index 54a6d5f..cedf269 100644 --- a/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_doc.mk +++ b/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_doc.mk @@ -1,63 +1,24 @@ -MB_DOC_FIRE_VARIABLE_FLOW ?= $(call mb-make-call,mb-make-space-newline) -#*** Recursive variable: MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_VARIABLE_FLOW,Flow builder of fire flow variable data.) - -MB_DOC_FIRE_VARIABLE ?= $(call mb-make-call,mb-make-space-newline) -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_VARIABLE,Flow builder of firemake variable data.) - -MB_DOC_FIRE_VARIABLE_DEEP ?= $(call mb-make-call,mb-make-space-newline) -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_VARIABLE_DEEP,Flow builder of makefire deep variable data.) - -MB_DOC_FIRE_VARIABLE_ROCK ?= $(call mb-make-call,mb-make-space-newline) -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_VARIABLE_ROCK,Flow builder of makefire rock variable data.) - -MB_DOC_FIRE_VARIABLE_I18N ?= $(call mb-make-call,mb-make-space-newline) -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_VARIABLE_I18N,Flow builder of makefire i18n variable data.) - -MB_DOC_FIRE_FUNCTION ?= \n -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_FUNCTION,Flow builder of firemake function data.) - -MB_DOC_FIRE_FUNCTION_DEEP ?= $(call mb-make-call,mb-make-space-newline) -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_FUNCTION_DEEP,Flow builder of firemake deep function data.) - -MB_DOC_FIRE_FUNCTION_FLOW ?= $(call mb-make-call,mb-make-space-newline) -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_FUNCTION_FLOW,Flow builder of firemake flow function data.) - -MB_DOC_FIRE_TARGET ?= $(call mb-make-call,mb-make-space-newline) -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_TARGET,Flow builder of firemake target data.) - -MB_DOC_FIRE_TARGET_DEEP ?= $(call mb-make-call,mb-make-space-newline) -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_TARGET_DEEP,Flow builder of firemake deep target data.) - -MB_DOC_FIRE_TARGET_RUN ?= $(call mb-make-call,mb-make-space-newline) -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_TARGET_RUN,Flow builder of firemake run target data.) - -MB_DOC_FIRE_TARGET_MODULE ?= $(call mb-make-call,mb-make-space-newline) -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_TARGET_MODULE,Flow builder of firemake module target data.) - -MB_DOC_FIRE_TARGET_ASSERT ?= $(call mb-make-call,mb-make-space-newline) -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_TARGET_ASSERT,Flow builder of firemake assert target data.) - -MB_DOC_FIRE_TARGET_HELP ?= $(call mb-make-call,mb-make-space-newline) -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_TARGET_HELP,Flow builder of firemake help data.) - +MB_DOC_FIRE_VARIABLE_FLOW = $(call mb-make-call,mb-make-space-newline) +MB_DOC_FIRE_VARIABLE = $(call mb-make-call,mb-make-space-newline) +MB_DOC_FIRE_VARIABLE_DEEP = $(call mb-make-call,mb-make-space-newline) +MB_DOC_FIRE_VARIABLE_ROCK = $(call mb-make-call,mb-make-space-newline) +MB_DOC_FIRE_VARIABLE_I18N = $(call mb-make-call,mb-make-space-newline) +MB_DOC_FIRE_FUNCTION = $(call mb-make-call,mb-make-space-newline) +MB_DOC_FIRE_FUNCTION_DEEP = $(call mb-make-call,mb-make-space-newline) +MB_DOC_FIRE_FUNCTION_FLOW = $(call mb-make-call,mb-make-space-newline) +MB_DOC_FIRE_TARGET = $(call mb-make-call,mb-make-space-newline) +MB_DOC_FIRE_TARGET_DEEP = $(call mb-make-call,mb-make-space-newline) +MB_DOC_FIRE_TARGET_RUN = $(call mb-make-call,mb-make-space-newline) +MB_DOC_FIRE_TARGET_MODULE = $(call mb-make-call,mb-make-space-newline) +MB_DOC_FIRE_TARGET_ASSERT = $(call mb-make-call,mb-make-space-newline) +MB_DOC_FIRE_TARGET_HELP = $(call mb-make-call,mb-make-space-newline) MB_DOC_XML_ROOT := firemake -MB_DOC_FIRE_VARIABLE_ROCK += $(call mb-make-call,_mb-doc-variable4u-rock,MB_DOC_XML_ROOT,XML root tag for firemake output.) - MB_DOC_XML_ATTR_NAME := name -MB_DOC_FIRE_VARIABLE_ROCK += $(call mb-make-call,_mb-doc-variable4u-rock,MB_DOC_XML_ATTR_NAME,XML name attribute for firemake output.) - MB_DOC_XML_ATTR_LEVEL := level -MB_DOC_FIRE_VARIABLE_ROCK += $(call mb-make-call,_mb-doc-variable4u-rock,MB_DOC_XML_ATTR_LEVEL,XML level attribute for firemake output.) - MB_DOC_XML_TAG_ARGS := arguments -MB_DOC_FIRE_VARIABLE_ROCK += $(call mb-make-call,_mb-doc-variable4u-rock,MB_DOC_XML_TAG_ARGS,XML arguments tag for firemake output.) - MB_DOC_XML_TAG_DESC := description -MB_DOC_FIRE_VARIABLE_ROCK += $(call mb-make-call,_mb-doc-variable4u-rock,MB_DOC_XML_TAG_DESC,XML description tag for firemake output.) - MB_DOC_XML_TAG_VALUE := value -MB_DOC_FIRE_VARIABLE_ROCK += $(call mb-make-call,_mb-doc-variable4u-rock,MB_DOC_XML_TAG_VALUE,XML value tag for firemake output.) #MB_DOC_XML_TAG_MACHINE := machine #MB_DOC_FIRE_VARIABLE_ROCK += $(call mb-make-call,_mb-doc-variable4u-rock,MB_DOC_XML_TAG_MACHINE,XML machine tag for firemake output.) @@ -79,38 +40,22 @@ $(MB_ᕽᕽᕽ         )$(if $(4),$(call mb-make-call,mb-make- $(MB_ᕽᕽᕽ         )$(if $(3),$(call mb-make-call,mb-make-space-tab)$(call mb-make-call,mb-make-space-tab)$(call mb-make-call,mb-make-xml-tag-value,$(MB_DOC_XML_TAG_DESC),$(3))$(call mb-make-call,mb-make-space-newline))$\ $(MB_ᕽᕽᕽ      )$(call mb-make-call,mb-make-space-tab)$(call mb-make-call,mb-make-xml-close,variable) endef -define _mb-doc-variable4u-deep -$(MB_ᕽᕽᕽ   )$(call mb-make-call,_mb-doc-variable-$(MB_DOC_FORMAT),deep,$(1),$(2),$($(1))) -endef -define _mb-doc-variable4u-rock -$(MB_ᕽᕽᕽ   )$(call mb-make-call,_mb-doc-variable-$(MB_DOC_FORMAT),rock,$(1),$(2),$($(1))) -endef -define _mb-doc-variable4u-flow -$(MB_ᕽᕽᕽ   )$(call mb-make-call,_mb-doc-variable-$(MB_DOC_FORMAT),flow,$(1),$(2),$(words $($(1)))) -endef -define _mb-doc-variable4u-i18n -$(MB_ᕽᕽᕽ   )$(call mb-make-call,_mb-doc-variable-$(MB_DOC_FORMAT),i18n,$(1),$(2),$($(1))) -endef define mb-doc-variable $(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_VARIABLE += $$(call mb-make-call,_mb-doc-variable-$$(MB_DOC_FORMAT),build,$(1),$(2),$($(1)))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb-make-call,_mb-doc-function4u-deep,mb-doc-variable,Saves formatted documention of an variable., [desc]) define mb-doc-variable-deep -$(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_VARIABLE_DEEP += $$(call mb-make-call,_mb-doc-variable4u-deep,$(1),$(2))) +$(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_VARIABLE_DEEP += $$(call mb-make-call,_mb-doc-variable-$$(MB_DOC_FORMAT),deep,$(1),$(2),$($(1)))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb-make-call,_mb-doc-function4u-deep,mb-doc-variable-deep,Saves formatted documention of an deep variable., [desc]) define mb-doc-variable-rock -$(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_VARIABLE_ROCK += $$(call mb-make-call,_mb-doc-variable4u-rock,$(1),$(2))) +$(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_VARIABLE_ROCK += $$(call mb-make-call,_mb-doc-variable-$$(MB_DOC_FORMAT),rock,$(1),$(2),$($(1)))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb-make-call,_mb-doc-function4u-deep,mb-doc-variable-rock,Saves formatted documention of an rock variable., [desc]) define mb-doc-variable-flow -$(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_VARIABLE_FLOW += $$(call mb-make-call,_mb-doc-variable4u-flow,$(1),$(2))) +$(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_VARIABLE_FLOW += $$(call mb-make-call,_mb-doc-variable-$$(MB_DOC_FORMAT),flow,$(1),$(2),$$(words $$($(1))))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb-make-call,_mb-doc-function4u-deep,mb-doc-variable-flow,Saves formatted documention of an flow variable., [desc]) define mb-doc-variable-i18n -$(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_VARIABLE_I18N += $$(call mb-make-call,_mb-doc-variable4u-i18n,$(1),$(2))) +$(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_VARIABLE_I18N += $$(call mb-make-call,_mb-doc-variable-$$(MB_DOC_FORMAT),i18n,$(1),$(2),$($(1)))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb-make-call,_mb-doc-function4u-deep,mb-doc-variable-i18n,Saves formatted documention of an i18n variable., [desc]) + define _mb-doc-function-txt @@ -124,24 +69,16 @@ $(MB_ᕽᕽᕽ         )$(if $(4),$(call mb-make-call,mb-make- $(MB_ᕽᕽᕽ         )$(if $(3),$(call mb-make-call,mb-make-space-tab)$(call mb-make-call,mb-make-space-tab)$(call mb-make-call,mb-make-xml-tag-value,$(MB_DOC_XML_TAG_DESC),$(3))$(call mb-make-call,mb-make-space-newline))$\ $(MB_ᕽᕽᕽ      )$(call mb-make-call,mb-make-space-tab)$(call mb-make-call,mb-make-xml-close,function) endef -define _mb-doc-function4u-deep -$(MB_ᕽᕽᕽ   )$(call mb-make-call,_mb-doc-function-$(MB_DOC_FORMAT),deep,$(1),$(2),$(3)) -endef -define _mb-doc-function4u-flow -$(MB_ᕽᕽᕽ   )$(call mb-make-call,_mb-doc-function-$(MB_DOC_FORMAT),flow,$(1),$(2),$(3)) -endef define mb-doc-function $(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_FUNCTION += $$(call mb-make-call,_mb-doc-function-$$(MB_DOC_FORMAT),build,$(1),$(2),$(3))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb-make-call,_mb-doc-function4u-deep,mb-doc-function,Saves formatted documention of an function., [desc] [args]) define mb-doc-function-deep -$(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_FUNCTION_DEEP += $$(call mb-make-call,_mb-doc-function4u-deep,$(1),$(2),$(3))) +$(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_FUNCTION_DEEP += $$(call mb-make-call,_mb-doc-function-$$(MB_DOC_FORMAT),deep,$(1),$(2),$(3))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb-make-call,_mb-doc-function4u-deep,mb-doc-function-deep,Saves formatted documention of an deep function., [desc] [args]) define mb-doc-function-flow -$(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_FUNCTION_FLOW += $$(call mb-make-call,_mb-doc-function4u-flow,$(1),$(2),$(3))) +$(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_FUNCTION_FLOW += $$(call mb-make-call,_mb-doc-function-$$(MB_DOC_FORMAT),flow,$(1),$(2),$(3))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb-make-call,_mb-doc-function4u-deep,mb-doc-function-flow,Saves formatted documention of an flow function., [desc] [args]) + define _mb-doc-target-txt @@ -154,29 +91,22 @@ $(MB_ᕽᕽᕽ      )$(call mb-make-call,mb-make-space-tab)$(call mb $(MB_ᕽᕽᕽ         )$(if $(3),$(call mb-make-call,mb-make-space-tab)$(call mb-make-call,mb-make-space-tab)$(call mb-make-call,mb-make-xml-tag-value,$(MB_DOC_XML_TAG_DESC),$(3))$(call mb-make-call,mb-make-space-newline))$\ $(MB_ᕽᕽᕽ      )$(call mb-make-call,mb-make-space-tab)$(call mb-make-call,mb-make-xml-close,target) endef -# $(MB_ᕽᕽᕽ         )$(call mb-make-call,mb-make-space-tab)$(call mb-make-call,mb-make-xml-close,target),$(call mb-make-call,mb-make-space-newline) define mb-doc-target $(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_TARGET += $$(call mb-make-call,_mb-doc-target-$$(MB_DOC_FORMAT),build,$(1),$(2))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb-make-call,_mb-doc-function4u-deep,mb-doc-target,Saves formatted documention of an target., [desc]) define mb-doc-target-deep $(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_TARGET_DEEP += $$(call mb-make-call,_mb-doc-target-$$(MB_DOC_FORMAT),deep,$(1),$(2))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb-make-call,_mb-doc-function4u-deep,mb-doc-target-deep,Saves formatted documention of an deep target., [desc]) define mb-doc-target-help $(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_TARGET_HELP += $$(call mb-make-call,_mb-doc-target-$$(MB_DOC_FORMAT),help,$(1),$(2))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb-make-call,_mb-doc-function4u-deep,mb-doc-target-help,Saves formatted documention of an help target., [desc]) define mb-doc-target-run $(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_TARGET_RUN += $$(call mb-make-call,_mb-doc-target-$$(MB_DOC_FORMAT),run,$(1),$(2))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb-make-call,_mb-doc-function4u-deep,mb-doc-target_run,Saves formatted documention of an run target., [desc]) define mb-doc-target-module $(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_TARGET_MODULE += $$(call mb-make-call,_mb-doc-target-$$(MB_DOC_FORMAT),module,$(1),$(2))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb-make-call,_mb-doc-function4u-deep,mb-doc-target-module,Saves formatted documention of an module target., [desc]) define mb-doc-target-assert $(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_TARGET_ASSERT += $$(call mb-make-call,_mb-doc-target-$$(MB_DOC_FORMAT),assert,$(1),$(2))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb-make-call,_mb-doc-function4u-deep,mb-doc-target-assert,Saves formatted documention of an assert target., [desc]) diff --git a/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_call.mk b/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_call.mk index f298280..1946d10 100644 --- a/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_call.mk +++ b/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_call.mk @@ -18,8 +18,4 @@ endef define mb-make-call $(MB_ᕽᕽᕽ   )$(call _mb-make-call-debug,$(1),$(2),$(3),$(4),$(5),$(6),$(7),$(8),$(9))$(if $(filter file,$(origin $(1))),$(call $(1),$(2),$(3),$(4),$(5),$(6),$(7),$(8),$(9)),$(error $(1) is not defined)) endef -$(call mb-make-call,mb-doc-function-deep,mb-make-call,Checked origin call function wrapper., [args...]) - -# Wait until function is parsed... -$(call mb-make-call,mb-doc-variable-deep,MB_MAKE_CALL_DEBUG,If on prints debug trace of all make calls.) diff --git a/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_doc4u.mk b/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_doc4u.mk new file mode 100644 index 0000000..0486000 --- /dev/null +++ b/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_doc4u.mk @@ -0,0 +1,51 @@ + +# Wait until functions are parsed... + +#*** Recursive variable: $(call mb-make-call,mb-doc-variable-flow,MB_DOC_FIRE_VARIABLE_FLOW,Flow builder of fire flow variable data.) +$(call mb-make-call,mb-doc-variable-flow,MB_DOC_FIRE_VARIABLE,Flow builder of firemake variable data.) +$(call mb-make-call,mb-doc-variable-flow,MB_DOC_FIRE_VARIABLE_DEEP,Flow builder of makefire deep variable data.) +$(call mb-make-call,mb-doc-variable-flow,MB_DOC_FIRE_VARIABLE_ROCK,Flow builder of makefire rock variable data.) +$(call mb-make-call,mb-doc-variable-flow,MB_DOC_FIRE_VARIABLE_I18N,Flow builder of makefire i18n variable data.) +$(call mb-make-call,mb-doc-variable-flow,MB_DOC_FIRE_FUNCTION,Flow builder of firemake function data.) +$(call mb-make-call,mb-doc-variable-flow,MB_DOC_FIRE_FUNCTION_DEEP,Flow builder of firemake deep function data.) +$(call mb-make-call,mb-doc-variable-flow,MB_DOC_FIRE_FUNCTION_FLOW,Flow builder of firemake flow function data.) +$(call mb-make-call,mb-doc-variable-flow,MB_DOC_FIRE_TARGET,Flow builder of firemake target data.) +$(call mb-make-call,mb-doc-variable-flow,MB_DOC_FIRE_TARGET_DEEP,Flow builder of firemake deep target data.) +$(call mb-make-call,mb-doc-variable-flow,MB_DOC_FIRE_TARGET_RUN,Flow builder of firemake run target data.) +$(call mb-make-call,mb-doc-variable-flow,MB_DOC_FIRE_TARGET_MODULE,Flow builder of firemake module target data.) +$(call mb-make-call,mb-doc-variable-flow,MB_DOC_FIRE_TARGET_ASSERT,Flow builder of firemake assert target data.) +$(call mb-make-call,mb-doc-variable-flow,MB_DOC_FIRE_TARGET_HELP,Flow builder of firemake help data.) +$(call mb-make-call,mb-doc-variable-rock,MB_DOC_XML_ROOT,XML root tag for firemake output.) +$(call mb-make-call,mb-doc-variable-rock,MB_DOC_XML_ATTR_NAME,XML name attribute for firemake output.) +$(call mb-make-call,mb-doc-variable-rock,MB_DOC_XML_ATTR_LEVEL,XML level attribute for firemake output.) +$(call mb-make-call,mb-doc-variable-rock,MB_DOC_XML_TAG_ARGS,XML arguments tag for firemake output.) +$(call mb-make-call,mb-doc-variable-rock,MB_DOC_XML_TAG_DESC,XML description tag for firemake output.) +$(call mb-make-call,mb-doc-variable-rock,MB_DOC_XML_TAG_VALUE,XML value tag for firemake output.) + +$(call mb-make-call,mb-doc-function-deep,mb-doc-variable,Saves formatted documention of an variable., [desc]) +$(call mb-make-call,mb-doc-function-deep,mb-doc-variable-deep,Saves formatted documention of an deep variable., [desc]) +$(call mb-make-call,mb-doc-function-deep,mb-doc-variable-rock,Saves formatted documention of an rock variable., [desc]) +$(call mb-make-call,mb-doc-function-deep,mb-doc-variable-flow,Saves formatted documention of an flow variable., [desc]) +$(call mb-make-call,mb-doc-function-deep,mb-doc-variable-i18n,Saves formatted documention of an i18n variable., [desc]) + +$(call mb-make-call,mb-doc-function-deep,mb-doc-function,Saves formatted documention of an function., [desc] [args]) +$(call mb-make-call,mb-doc-function-deep,mb-doc-function-deep,Saves formatted documention of an deep function., [desc] [args]) +$(call mb-make-call,mb-doc-function-deep,mb-doc-function-flow,Saves formatted documention of an flow function., [desc] [args]) + +$(call mb-make-call,mb-doc-function-deep,mb-doc-target,Saves formatted documention of an target., [desc]) +$(call mb-make-call,mb-doc-function-deep,mb-doc-target-deep,Saves formatted documention of an deep target., [desc]) +$(call mb-make-call,mb-doc-function-deep,mb-doc-target-help,Saves formatted documention of an help target., [desc]) +$(call mb-make-call,mb-doc-function-deep,mb-doc-target_run,Saves formatted documention of an run target., [desc]) +$(call mb-make-call,mb-doc-function-deep,mb-doc-target-module,Saves formatted documention of an module target., [desc]) +$(call mb-make-call,mb-doc-function-deep,mb-doc-target-assert,Saves formatted documention of an assert target., [desc]) + +# After doc, to have equal order to include order +$(call mb-make-call,mb-doc-function-deep,mb-make-call,Checked origin call function wrapper., [args...]) +$(call mb-make-call,mb-doc-variable-deep,MB_MAKE_CALL_DEBUG,If on prints debug trace of all make calls.) + +# After make call for ordering +$(call mb-make-call,mb-doc-function-deep,mb-make-space-newline,Prints a newline character.) +$(call mb-make-call,mb-doc-function-deep,mb-make-space-tab,Prints a tab character.) +$(call mb-make-call,mb-doc-function-deep,mb-make-space-white,Prints a white space character.) +$(call mb-make-call,mb-doc-function-deep,mb-make-space-white-to-comma,Replaces all spaces with comma's.,) + diff --git a/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_space.mk b/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_space.mk index cd7f496..e540220 100644 --- a/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_space.mk +++ b/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_space.mk @@ -3,23 +3,19 @@ define mb-make-space-newline $(MB_ᕽᕽᕽ   ) $(MB_ᕽᕽᕽ   ) endef -$(call mb-make-call,mb-doc-function-deep,mb-make-space-newline,Prints a newline character.) define mb-make-space-tab $(MB_ᕽᕽᕽ   ) $(MB_ᕽᕽᕽ ) endef -$(call mb-make-call,mb-doc-function-deep,mb-make-space-tab,Prints a tab character.) define mb-make-space-white $(MB_ᕽᕽᕽ   )$(subst ,, ) endef -$(call mb-make-call,mb-doc-function-deep,mb-make-space-white,Prints a white space character.) define mb-make-space-white-to-comma $(MB_ᕽᕽᕽ   )$(subst $(call mb-make-call,mb-make-space-white),$(MB_MAKE_COMMA),$1) endef -$(call mb-make-call,mb-doc-function-deep,mb-make-space-white-to-comma,Replaces all spaces with comma's.,) diff --git a/lib/msxbuild.mk b/lib/msxbuild.mk index 7a756f4..27fca40 100644 --- a/lib/msxbuild.mk +++ b/lib/msxbuild.mk @@ -43,9 +43,10 @@ MB_@GRAPH_CHAIN := @@include-parent $(eval $(call __mb-include,make/सिस्टमₚᵣₐᵧToੴ/mb_xxx.mk)) $(eval $(call __mb-include,make/सिस्टमₚᵣₐᵧToੴ/mb_doc.mk)) $(eval $(call __mb-include,make/सिस्टमₚᵣₐᵧToੴ/mb_make_call.mk)) +$(eval $(call __mb-include,make/सिस्टमₚᵣₐᵧToੴ/mb_make_space.mk)) +$(eval $(call __mb-include,make/सिस्टमₚᵣₐᵧToੴ/mb_make_doc4u.mk)) $(eval $(call __mb-include,make/सिस्टमₚᵣₐᵧToੴ/mb_make_check.mk)) $(eval $(call __mb-include,make/सिस्टमₚᵣₐᵧToੴ/mb_make_module.mk)) -$(eval $(call __mb-include,make/सिस्टमₚᵣₐᵧToੴ/mb_make_space.mk)) $(eval $(call __mb-include,make/सिस्टमₚᵣₐᵧToੴ/mb_make_xml.mk)) $(eval $(call __mb-include,make/सिस्टमₚᵣₐᵧToੴ/mb_make.mk)) @@include-libs:: $(MB_@GRAPH_CHAIN) From 3560cd7340642c18ee2bf07f79de13d723aad155 Mon Sep 17 00:00:00 2001 From: Willem Date: Thu, 18 Jul 2024 15:57:00 +0200 Subject: [PATCH 249/274] Added help for orphan modules and renamed manual assert to be equal as others. --- lib/make/सिस्टमₚᵣₐᵧToੴ/mb_doc.mk | 6 ++-- lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_doc4u.mk | 2 ++ lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk | 24 ++++++++-------- lib/make/𓃬𓍄𓋹𓀭/mb_flow_help.mk | 28 ++++++++++++++++-- lib/make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk | 30 ++++++++++---------- src/assert/msxhub/0module100.mk | 10 +++---- src/example/dist-hello-qa-dos1/0module010.mk | 8 +++--- src/example/dist-hello-qa-dos2/0module010.mk | 8 +++--- src/example/dist-hello-qa-msx1/0module010.mk | 8 +++--- src/tools/gluedos1/0module000.mk | 12 ++++---- 10 files changed, 82 insertions(+), 54 deletions(-) diff --git a/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_doc.mk b/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_doc.mk index cedf269..6b047e1 100644 --- a/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_doc.mk +++ b/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_doc.mk @@ -11,6 +11,7 @@ MB_DOC_FIRE_TARGET = $(call mb-make-call,mb-make-space-newline) MB_DOC_FIRE_TARGET_DEEP = $(call mb-make-call,mb-make-space-newline) MB_DOC_FIRE_TARGET_RUN = $(call mb-make-call,mb-make-space-newline) MB_DOC_FIRE_TARGET_MODULE = $(call mb-make-call,mb-make-space-newline) +MB_DOC_FIRE_TARGET_ORPHAN = $(call mb-make-call,mb-make-space-newline) MB_DOC_FIRE_TARGET_ASSERT = $(call mb-make-call,mb-make-space-newline) MB_DOC_FIRE_TARGET_HELP = $(call mb-make-call,mb-make-space-newline) MB_DOC_XML_ROOT := firemake @@ -57,7 +58,6 @@ $(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_VARIABLE_I18N += $$(call mb-make-call endef - define _mb-doc-function-txt $(MB_ᕽᕽᕽ   )* $(2) $(if $(4),$(MB_MAKE_EQUALS) $(4))$(if $(3),$(call mb-make-call,mb-make-space-newline)$(call mb-make-call,mb-make-space-tab)$(3)$(call mb-make-call,mb-make-space-newline))$\ $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-space-newline) @@ -80,7 +80,6 @@ $(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_FUNCTION_FLOW += $$(call mb-make-call endef - define _mb-doc-target-txt $(MB_ᕽᕽᕽ   )* $(2)$(if $(3),$(call mb-make-call,mb-make-space-newline)$(call mb-make-call,mb-make-space-tab)$(3))$(call mb-make-call,mb-make-space-newline)$\ $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-space-newline) @@ -106,6 +105,9 @@ endef define mb-doc-target-module $(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_TARGET_MODULE += $$(call mb-make-call,_mb-doc-target-$$(MB_DOC_FORMAT),module,$(1),$(2))) endef +define mb-doc-target-orphan +$(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_TARGET_ORPHAN += $$(call mb-make-call,_mb-doc-target-$$(MB_DOC_FORMAT),orphan,$(1),$(2))) +endef define mb-doc-target-assert $(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_TARGET_ASSERT += $$(call mb-make-call,_mb-doc-target-$$(MB_DOC_FORMAT),assert,$(1),$(2))) endef diff --git a/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_doc4u.mk b/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_doc4u.mk index 0486000..6587eaa 100644 --- a/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_doc4u.mk +++ b/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_doc4u.mk @@ -13,6 +13,7 @@ $(call mb-make-call,mb-doc-variable-flow,MB_DOC_FIRE_TARGET,Flow builder of fire $(call mb-make-call,mb-doc-variable-flow,MB_DOC_FIRE_TARGET_DEEP,Flow builder of firemake deep target data.) $(call mb-make-call,mb-doc-variable-flow,MB_DOC_FIRE_TARGET_RUN,Flow builder of firemake run target data.) $(call mb-make-call,mb-doc-variable-flow,MB_DOC_FIRE_TARGET_MODULE,Flow builder of firemake module target data.) +$(call mb-make-call,mb-doc-variable-flow,MB_DOC_FIRE_TARGET_ORPHAN,Flow builder of firemake orphan target data.) $(call mb-make-call,mb-doc-variable-flow,MB_DOC_FIRE_TARGET_ASSERT,Flow builder of firemake assert target data.) $(call mb-make-call,mb-doc-variable-flow,MB_DOC_FIRE_TARGET_HELP,Flow builder of firemake help data.) $(call mb-make-call,mb-doc-variable-rock,MB_DOC_XML_ROOT,XML root tag for firemake output.) @@ -37,6 +38,7 @@ $(call mb-make-call,mb-doc-function-deep,mb-doc-target-deep,Saves formatted docu $(call mb-make-call,mb-doc-function-deep,mb-doc-target-help,Saves formatted documention of an help target., [desc]) $(call mb-make-call,mb-doc-function-deep,mb-doc-target_run,Saves formatted documention of an run target., [desc]) $(call mb-make-call,mb-doc-function-deep,mb-doc-target-module,Saves formatted documention of an module target., [desc]) +$(call mb-make-call,mb-doc-function-deep,mb-doc-target-orphan,Saves formatted documention of an orphan target., [desc]) $(call mb-make-call,mb-doc-function-deep,mb-doc-target-assert,Saves formatted documention of an assert target., [desc]) # After doc, to have equal order to include order diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk index c700751..e15344f 100644 --- a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk +++ b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk @@ -2,16 +2,16 @@ define __mb-flow-assert-grep-binary-x .RECIPEPREFIX := $(MB_@RECIPE) -$(1)/@assert/$(5)/$(2): $(1)/@$(5) +$(1)/@assert/$(5)/@$(2): $(1)/@$(5) $(MB_@RECIPE)@echo -n "$(MB_I18N_OS_CRAYON_TAG) " $(MB_@RECIPE)grep --colour$(MB_MAKE_EQUALS)auto -U "$(4)" $(1)/$(3) -$(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-assert,$$(MB_I18N_ASSERT_SUCCESS) $(1)/@assert/$(2)/$(5)) +$(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-assert,$$(MB_I18N_ASSERT_SUCCESS) $(1)/@assert/$(2)/@$(5)) $(MB_@RECIPE)$$(call mb-make-call,mb-proj-grow-assert-success,$(5)) -$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-assert,$(1)/@assert/$(5)/$(2),Asserts that $(3) output matches.) -$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(1)/@assert/$(5)/$(2)) -$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-proj-grow-deps-phase,$(5),$(1)/@assert/$(5)/$(2)) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-assert,$(1)/@assert/$(5)/@$(2),Asserts that $(3) output matches.) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(1)/@assert/$(5)/@$(2)) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-proj-grow-deps-phase,$(5),$(1)/@assert/$(5)/@$(2)) -$(1)/@$(5)-deps:: $(1)/@assert/$(5)/$(2) +$(1)/@$(5)-deps:: $(1)/@assert/$(5)/@$(2) .RECIPEPREFIX := $(MB_@RECIPEPREFIX) endef define mb-flow-assert-grep-binary-test @@ -29,18 +29,18 @@ $(call mb-make-call,mb-doc-function-flow,mb-flow-assert-grep-binary-package-qa,I define __mb-flow-assert-msxpipe-grep-x .RECIPEPREFIX := $(MB_@RECIPE) -$(1)/@assert/$(5)/$(2): $(7)/@$(5) +$(1)/@assert/$(5)/@$(2): $(7)/@$(5) $(MB_@RECIPE)$$(if $$(wildcard $(7)/$(3).out),,$$(call mb-make-call,mb-os-file-delete,$(7)/$(3).out)) $(MB_@RECIPE)$$(call mb-make-call,mb-msxpipe-safe-assert,$(7),$(3) > $(3).out,$(6)) $(MB_@RECIPE)@echo -n "$(MB_I18N_OS_CRAYON_TAG) " $(MB_@RECIPE)grep --colour$(MB_MAKE_EQUALS)auto "$(4)" $(7)/$(3).out -$(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-assert,$$(MB_I18N_ASSERT_SUCCESS) $(1)/@assert/$(2)/$(5)) +$(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-assert,$$(MB_I18N_ASSERT_SUCCESS) $(1)/@assert/$(2)/@$(5)) $(MB_@RECIPE)$$(call mb-make-call,mb-proj-grow-assert-success,$(5)) -$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-assert,$(1)/@assert/$(5)/$(2),Asserts that $(3) output matches.) -$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(1)/@assert/$(5)/$(2)) -$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-proj-grow-deps-phase,$(5),$(1)/@assert/$(5)/$(2)) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-assert,$(1)/@assert/$(5)/@$(2),Asserts that $(3) output matches.) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(1)/@assert/$(5)/@$(2)) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-proj-grow-deps-phase,$(5),$(1)/@assert/$(5)/@$(2)) -$(1)/@$(5)-deps:: $(1)/@assert/$(5)/$(2) +$(1)/@$(5)-deps:: $(1)/@assert/$(5)/@$(2) .RECIPEPREFIX := $(MB_@RECIPEPREFIX) endef define mb-flow-assert-msxpipe-grep-test diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_help.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_help.mk index 3f22081..3e4adda 100644 --- a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_help.mk +++ b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_help.mk @@ -2,6 +2,9 @@ MB_FLOW_HELP_TEMP := $(shell mktemp) $(call mb-make-call,mb-doc-variable-rock,MB_FLOW_HELP_TEMP,Temp file to output help with.) +MB_FLOW_HELP_UNITEEN_XML ?= on +$(call mb-make-call,mb-doc-variable-rock,MB_FLOW_HELP_UNITEEN_XML,Test dutch unit-one flag XML attributes.) + # Never use echo to display real text: Argument List Too Long define _mb-flow-print-help @@ -12,6 +15,19 @@ $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-os-file-delete,$(MB_FLOW_HELP_TEM endef +define _mb-flow-help-uniteen-xml +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-space-newline)$\ +$(MB_ᕽᕽᕽ      )$(call mb-make-call,mb-make-space-tab)$(call mb-make-call,mb-make-xml-open,uniteen,flag,orange,للَّٰهِilLצسُو,ₚᵣₐᵧToੴ)$(call mb-make-call,mb-make-space-newline)$\ +$(MB_ᕽᕽᕽ      )$(call mb-make-call,mb-make-space-tab)$(call mb-make-call,mb-make-xml-close,uniteen)$(call mb-make-call,mb-make-space-newline)$\ +$(MB_ᕽᕽᕽ      )$(call mb-make-call,mb-make-space-tab)$(call mb-make-call,mb-make-xml-open,uniteen,flag,red,𑀳𑁂𑀮𑀺𑀉𑁄𑀤𑁄𑀭𑁂𑀡𑀪𑀸𑀕,ₚᵣₐᵧToੴ)$(call mb-make-call,mb-make-space-newline)$\ +$(MB_ᕽᕽᕽ      )$(call mb-make-call,mb-make-space-tab)$(call mb-make-call,mb-make-xml-close,uniteen)$(call mb-make-call,mb-make-space-newline)$\ +$(MB_ᕽᕽᕽ      )$(call mb-make-call,mb-make-space-tab)$(call mb-make-call,mb-make-xml-open,uniteen,flag,white,ᒡᒢᑊᒻᒻᓫᔿ,ₚᵣₐᵧToੴ)$(call mb-make-call,mb-make-space-newline)$\ +$(MB_ᕽᕽᕽ      )$(call mb-make-call,mb-make-space-tab)$(call mb-make-call,mb-make-xml-close,uniteen)$(call mb-make-call,mb-make-space-newline)$\ +$(MB_ᕽᕽᕽ      )$(call mb-make-call,mb-make-space-tab)$(call mb-make-call,mb-make-xml-open,uniteen,flag,blue,仙上主天,ₚᵣₐᵧToੴ)$(call mb-make-call,mb-make-space-newline)$\ +$(MB_ᕽᕽᕽ      )$(call mb-make-call,mb-make-space-tab)$(call mb-make-call,mb-make-xml-close,uniteen)$(call mb-make-call,mb-make-space-newline) +endef + + define __mb-flow-doc-help .RECIPEPREFIX := $(MB_@RECIPE) @@ -79,6 +95,11 @@ $(MB_@RECIPE)$$(call mb-make-call,_mb-flow-print-help,MB_DOC_FIRE_TARGET_MODULE, $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@help-target-module,Lists module targets.) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@help-target-module) +@help-target-orphan: +$(MB_@RECIPE)$$(call mb-make-call,_mb-flow-print-help,MB_DOC_FIRE_TARGET_ORPHAN,Build one of the following orphan make targets;) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@help-target-orphan,Lists orphan targets.) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@help-target-orphan) + @help-target-assert: $(MB_@RECIPE)$$(call mb-make-call,_mb-flow-print-help,MB_DOC_FIRE_TARGET_ASSERT,Build one of the following assert make targets;) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@help-target-assert,Lists assert targets.) @@ -93,7 +114,7 @@ $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@help-machine) @help-all: \ $(MB_ᕽᕽᕽ   )@help-variable @help-variable-deep @help-variable-rock @help-variable-flow @help-variable-i18n \ $(MB_ᕽᕽᕽ   )@help-function @help-function-deep @help-function-flow \ -$(MB_ᕽᕽᕽ   )@help-target @help-target-deep @help-target-run @help-target-module @help-target-assert \ +$(MB_ᕽᕽᕽ   )@help-target @help-target-deep @help-target-run @help-target-module @help-target-orphan @help-target-assert \ $(MB_ᕽᕽᕽ   )@help-machine $(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-good,OK) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@help-all,Lists all documented information.) @@ -101,7 +122,9 @@ $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@help-all) @help-firemake: $(MB_@RECIPE)$$(eval MB_DOC_FORMAT :$(MB_MAKE_EQUALS) xml) -$(MB_@RECIPE)$$(file >$(MB_FLOW_HELP_TEMP),$$(call mb-make-call,mb-make-xml-open,$$(MB_DOC_XML_ROOT))) +$(MB_@RECIPE)$$(file >$(MB_FLOW_HELP_TEMP),$$(call mb-make-call,mb-make-xml-prolog)) +$(MB_@RECIPE)$$(file >>$(MB_FLOW_HELP_TEMP),$$(call mb-make-call,mb-make-xml-open,$$(MB_DOC_XML_ROOT))) +$(MB_@RECIPE)$$(if $$(filter on,$$(MB_FLOW_HELP_UNITEEN_XML)),$$(file >>$(MB_FLOW_HELP_TEMP),$$(call mb-make-call,_mb-flow-help-uniteen-xml))) $(MB_@RECIPE)$$(file >>$(MB_FLOW_HELP_TEMP),$$(MB_DOC_FIRE_VARIABLE)) $(MB_@RECIPE)$$(file >>$(MB_FLOW_HELP_TEMP),$$(MB_DOC_FIRE_VARIABLE_DEEP)) $(MB_@RECIPE)$$(file >>$(MB_FLOW_HELP_TEMP),$$(MB_DOC_FIRE_VARIABLE_ROCK)) @@ -114,6 +137,7 @@ $(MB_@RECIPE)$$(file >>$(MB_FLOW_HELP_TEMP),$$(MB_DOC_FIRE_TARGET)) $(MB_@RECIPE)$$(file >>$(MB_FLOW_HELP_TEMP),$$(MB_DOC_FIRE_TARGET_DEEP)) $(MB_@RECIPE)$$(file >>$(MB_FLOW_HELP_TEMP),$$(MB_DOC_FIRE_TARGET_RUN)) $(MB_@RECIPE)$$(file >>$(MB_FLOW_HELP_TEMP),$$(MB_DOC_FIRE_TARGET_MODULE)) +$(MB_@RECIPE)$$(file >>$(MB_FLOW_HELP_TEMP),$$(MB_DOC_FIRE_TARGET_ORPHAN)) $(MB_@RECIPE)$$(file >>$(MB_FLOW_HELP_TEMP),$$(MB_DOC_FIRE_TARGET_ASSERT)) $(MB_@RECIPE)$$(file >>$(MB_FLOW_HELP_TEMP),$$(MB_DOC_FIRE_TARGET_HELP)) $(MB_@RECIPE)$$(file >>$(MB_FLOW_HELP_TEMP),$$(call mb-make-call,mb-make-xml-close,$$(MB_DOC_XML_ROOT))) diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk index 8a4aaf6..9f6494d 100644 --- a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk +++ b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk @@ -66,7 +66,7 @@ $(3)@clean: $(3)@@clean $(MB_@RECIPE)$$(if $$(wildcard $(1)),$$(call mb-make-call,mb-os-echo-command,$$(MB_I18N_PROJ_DIR_DELETE) $(1))) $(MB_@RECIPE)$$(if $$(wildcard $(1)),$$(call mb-make-call,mb-os-dir-delete,$(1))) $(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) -$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-$$(if $(3),module,help),$(3)@clean,Clean's the project build folders.) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-$(5),$(3)@clean,Clean's the project build folders.) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@clean) $(3)@@init: $(2) @@ -76,7 +76,7 @@ $(3)@init: $(3)@@init $(MB_@RECIPE)$$(if $$(wildcard $(1)),,$$(call mb-make-call,mb-os-echo-command,$$(MB_I18N_PROJ_DIR_CREATE) $(1))) $(MB_@RECIPE)$$(if $$(wildcard $(1)),,$$(call mb-make-call,mb-os-dir-create,$(1))) $(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) -$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-$$(if $(3),module,help),$(3)@init,Create the project output folders.) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-$(5),$(3)@init,Create the project output folders.) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@init) $(3)@@prepare: $(3)@init @@ -86,7 +86,7 @@ $(3)@@prepare-deps:: $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@@prepare-deps) $(3)@prepare: $(3)@@prepare $(3)@@prepare-deps $(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) -$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-$$(if $(3),module,help),$(3)@prepare,Prepare sources before processing.) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-$(5),$(3)@prepare,Prepare sources before processing.) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@prepare) $(MB_ᕽᕽᕽ   )$$(if $(4),$$(call mb-make-call,mb-proj-grow-deps-phase,@prepare,$(3)@prepare)) @@ -97,7 +97,7 @@ $(3)@@process-deps:: $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@@process-deps) $(3)@process: $(3)@@process $(3)@@process-deps $(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) -$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-$$(if $(3),module,help),$(3)@process,Process sources before compiling.) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-$(5),$(3)@process,Process sources before compiling.) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@process) $(MB_ᕽᕽᕽ   )$$(if $(4),$$(call mb-make-call,mb-proj-grow-deps-phase,@process,$(3)@process)) @@ -108,7 +108,7 @@ $(3)@@compile-deps:: $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@@compile-deps) $(3)@compile: $(3)@@compile $(3)@@compile-deps $(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) -$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-$$(if $(3),module,help),$(3)@compile,Compiles all project sources.) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-$(5),$(3)@compile,Compiles all project sources.) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@compile) $(MB_ᕽᕽᕽ   )$$(if $(4),$$(call mb-make-call,mb-proj-grow-deps-phase,@compile,$(3)@compile)) @@ -119,7 +119,7 @@ $(3)@@link-deps:: $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@@link-deps) $(3)@link: $(3)@@link $(3)@@link-deps $(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) -$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-$$(if $(3),module,help),$(3)@link,Link all project intermediate files.) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-$(5),$(3)@link,Link all project intermediate files.) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@link) $(MB_ᕽᕽᕽ   )$$(if $(4),$$(call mb-make-call,mb-proj-grow-deps-phase,@link,$(3)@link)) @@ -130,7 +130,7 @@ $(3)@@build-deps:: $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@@build-deps) $(3)@build: $(3)@@build $(3)@@build-deps $(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) -$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-$$(if $(3),module,help),$(3)@build,Build all project artifacts.) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-$(5),$(3)@build,Build all project artifacts.) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@build) $(MB_ᕽᕽᕽ   )$$(if $(4),$$(call mb-make-call,mb-proj-grow-deps-phase,@build,$(3)@build)) @@ -142,7 +142,7 @@ $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@@test-dep $(3)@test: $(3)@@test $(3)@@test-deps $(MB_@RECIPE)$$(if $(3),,$$(call mb-make-call,mb-os-echo-report,$$(MB_I18N_PROJ_ASSERT_COUNT) @test: $$(words $$(filter @test,$$(MB_PROJ_FIRE_ASSERT_SUCCESS))))) $(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) -$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-$$(if $(3),module,help),$(3)@test,Run all assertion tests.) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-$(5),$(3)@test,Run all assertion tests.) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@test) $(MB_ᕽᕽᕽ   )$$(if $(4),$$(call mb-make-call,mb-proj-grow-deps-phase,@test,$(3)@test)) @@ -153,7 +153,7 @@ $(3)@@package-deps:: $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@@package-deps) $(3)@package: $(3)@@package $(3)@@package-deps $(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) -$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-$$(if $(3),module,help),$(3)@package,Create all packages of project.) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-$(5),$(3)@package,Create all packages of project.) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@package) $(MB_ᕽᕽᕽ   )$$(if $(4),$$(call mb-make-call,mb-proj-grow-deps-phase,@package,$(3)@package)) @@ -165,7 +165,7 @@ $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@@package- $(3)@package-qa: $(3)@@package-qa $(3)@@package-qa-deps $(MB_@RECIPE)$$(if $(3),,$$(call mb-make-call,mb-os-echo-report,$$(MB_I18N_PROJ_ASSERT_COUNT) @package-qa: $$(words $$(filter @package-qa,$$(MB_PROJ_FIRE_ASSERT_SUCCESS))))) $(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) -$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-$$(if $(3),module,help),$(3)@package-qa,Run all packages QA of project.) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-$(5),$(3)@package-qa,Run all packages QA of project.) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@package-qa) $(MB_ᕽᕽᕽ   )$$(if $(4),$$(call mb-make-call,mb-proj-grow-deps-phase,@package-qa,$(3)@package-qa)) @@ -176,7 +176,7 @@ $(3)@@package-deploy-deps:: $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@@package-deploy-deps) $(3)@package-deploy: $(3)@@package-deploy $(3)@@package-deploy-deps $(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) -$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-$$(if $(3),module,help),$(3)@package-deploy,Deploy all the packages.) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-$(5),$(3)@package-deploy,Deploy all the packages.) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@package-deploy) $(MB_ᕽᕽᕽ   )$$(if $(4),$$(call mb-make-call,mb-proj-grow-deps-phase,@package-deploy,$(3)@package-deploy)) @@ -186,9 +186,9 @@ $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@@all) $(3)@@all-deps:: $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@@all-deps) $(3)@all: $(3)@@all $(3)@@all-deps -$(MB_@RECIPE)$$(if $$(value MAKECMDGOALS),,$$(call mb-make-call,mb-os-echo-report,$$(MB_I18N_PROJ_OPENMSX_COUNT) $$(words $$(MB_OPENMSX_FIRE_PIPE_SMOKE)))) +$(MB_@RECIPE)$$(if $$(value MAKECMDGOALS),,$$(if $$(filter $$@,@all),$$(call mb-make-call,mb-os-echo-report,$$(MB_I18N_PROJ_OPENMSX_COUNT) $$(words $$(MB_OPENMSX_FIRE_PIPE_SMOKE))))) $(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) -$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-$$(if $(3),module,help),$(3)@all,Run full build and package QA tests.) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-$(5),$(3)@all,Run full build and package QA tests.) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@all) $(MB_ᕽᕽᕽ   )$$(if $(4),$$(call mb-make-call,mb-proj-grow-deps-phase,@all,$(3)@all)) @@ -202,14 +202,14 @@ $(MB_ᕽᕽᕽ   )$(if $(3),,$(call mb-make-call,mb-make-check-variable,MB $(MB_ᕽᕽᕽ   )$(if $(3),,$(call mb-make-call,mb-make-check-variable,MB_PROJ_META_NAME)) $(MB_ᕽᕽᕽ   )$(if $(3),,$(call mb-make-call,mb-make-check-variable,MB_PROJ_META_DESCRIPTION)) $(MB_ᕽᕽᕽ   )$(if $(3),,$(call mb-make-call,mb-make-check-variable,MB_PROJ_META_WEBSITE)) -$(MB_ᕽᕽᕽ   )$(if $(filter $(if $(3),$(3)/@init,@init),$(MB_PROJ_FIRE_MODULE_INIT)),,$(eval $(call mb-make-call,__$(0),$(1),$(2),$(if $(3),$(3)/),$(if $(3),$(3)/)))) +$(MB_ᕽᕽᕽ   )$(if $(filter $(if $(3),$(3)/@init,@init),$(MB_PROJ_FIRE_MODULE_INIT)),,$(eval $(call mb-make-call,__$(0),$(1),$(2),$(if $(3),$(3)/),$(if $(3),$(3)/),$$(if $(3),module,help)))) $(MB_ᕽᕽᕽ   )$(if $(3),,$(if $(MB_I18N),$(if $(filter off,$(MB_I18N)),,$(call mb-make-call,_$(0)-i18n)))) $(MB_ᕽᕽᕽ   )$(eval MB_PROJ_FIRE_MODULE_INIT $(MB_MAKE_APPEND) $(if $(3),$(3)/@init,@init)) endef $(call mb-make-call,mb-doc-function-flow,mb-flow-proj-setup,Inject flow of abstract project build cycle., [parent-glue] [bin-mod-dir]) define mb-flow-proj-setup-orphan $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-arg1,$(0),$(1)) -$(MB_ᕽᕽᕽ   )$(if $(filter $(if $(3),$(3)/@init,@init),$(MB_PROJ_FIRE_MODULE_INIT)),,$(eval $(call mb-make-call,__mb-flow-proj-setup,$(1),$(2),$(if $(3),$(3)/)))) +$(MB_ᕽᕽᕽ   )$(if $(filter $(if $(3),$(3)/@init,@init),$(MB_PROJ_FIRE_MODULE_INIT)),,$(eval $(call mb-make-call,__mb-flow-proj-setup,$(1),$(2),$(if $(3),$(3)/),,orphan))) $(MB_ᕽᕽᕽ   )$(eval MB_PROJ_FIRE_MODULE_INIT $(MB_MAKE_APPEND) $(if $(3),$(3)/@init,@init)) endef $(call mb-make-call,mb-doc-function-flow,mb-flow-proj-setup-orphan,Inject flow of abstract orphan project module., [parent-glue] [bin-mod-dir]) diff --git a/src/assert/msxhub/0module100.mk b/src/assert/msxhub/0module100.mk index 0d3b88e..b3a3d95 100644 --- a/src/assert/msxhub/0module100.mk +++ b/src/assert/msxhub/0module100.mk @@ -38,7 +38,7 @@ $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-doc-target-run,$(ASSERT_MSXHUB_BI $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-target-phony,$(ASSERT_MSXHUB_BIN)/@run) -$(ASSERT_MSXHUB_BIN)/@assert: | $(ASSERT_MSXHUB_BIN) +$(ASSERT_MSXHUB_BIN)/@assert/@test/@check-listing: | $(ASSERT_MSXHUB_BIN) _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-file-delete,$(ASSERT_MSXHUB_BIN)/utils.out) _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-write-default,$(ASSERT_MSXHUB_BIN),336) _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-append-safe-assert,$(ASSERT_MSXHUB_BIN),dir utils > utils.out) @@ -46,10 +46,10 @@ _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-append-exit,$(ASSERT_MSXHU _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-openmsx-dosctl,$(ASSERT_MSXHUB_BIN),$(ASSERT_MSXHUB_MACHINE)) _$(MB_ᕽᕽᕽ  )grep -q "KONPASS COM" $(ASSERT_MSXHUB_BIN)/utils.out _$(MB_ᕽᕽᕽ  )grep -q "MAKE COM" $(ASSERT_MSXHUB_BIN)/utils.out -_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-echo-assert,$(MB_I18N_ASSERT_SUCCESS) $(ASSERT_MSXHUB_BIN)/@assert) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-echo-assert,$(MB_I18N_ASSERT_SUCCESS) $(ASSERT_MSXHUB_BIN)/@assert/@test/@check-listing) _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-proj-grow-assert-success,@test) _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-proj-grow-assert-success,@test) -$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-doc-target-assert,$(ASSERT_MSXHUB_BIN)/@assert,Asserts that all dist artifacts execute results.) -$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-proj-grow-deps-phase,@test,$(ASSERT_MSXHUB_BIN)/@assert) -$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-target-phony,$(ASSERT_MSXHUB_BIN)/@assert) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-doc-target-assert,$(ASSERT_MSXHUB_BIN)/@assert/@test/@check-listing,Asserts that all dist artifacts execute results.) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-proj-grow-deps-phase,@test,$(ASSERT_MSXHUB_BIN)/@assert/@test/@check-listing) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-target-phony,$(ASSERT_MSXHUB_BIN)/@assert/@test/@check-listing) diff --git a/src/example/dist-hello-qa-dos1/0module010.mk b/src/example/dist-hello-qa-dos1/0module010.mk index d916038..fc2acce 100644 --- a/src/example/dist-hello-qa-dos1/0module010.mk +++ b/src/example/dist-hello-qa-dos1/0module010.mk @@ -6,7 +6,7 @@ EXAMPLE_DIST_HELLO_QA_DOS1_BIN := $(call mb-make-call,mb-make-module-path-bi $(call mb-make-call,mb-flow-clone-deps-proj-setup-orphan,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN),$(EXAMPLE_DIST_HELLO_BIN),$(EXAMPLE_DIST_HELLO_DEPS),msxdos1-boot) -$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN)/@assert: $(EXAMPLE_DIST_HELLO_QA_DOS1_BIN)/@build +$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN)/@assert/@package-qa/@check-simple: $(EXAMPLE_DIST_HELLO_QA_DOS1_BIN)/@build _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-write-default,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN),515) _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-append-safe-assert,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN),hello1) _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-append-safe-assert,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN),hello2) @@ -15,7 +15,7 @@ _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-append-safe-assert,$(EXAMP _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-append-exit,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN)) _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-openmsx-dosctl,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN)) _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-echo-assert,$(MB_I18N_ASSERT_SUCCESS) $(EXAMPLE_DIST_HELLO_QA_DOS1_BIN)/@assert) -$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-doc-target-assert,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN)/@assert,Assert binaries on msxdos1.) -$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-proj-grow-deps-phase,@package-qa,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN)/@assert) -$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-target-phony,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN)/@assert) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-doc-target-assert,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN)/@assert/@package-qa/@check-simple,Assert binaries on msxdos1.) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-proj-grow-deps-phase,@package-qa,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN)/@assert/@package-qa/@check-simple) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-target-phony,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN)/@assert/@package-qa/@check-simple) diff --git a/src/example/dist-hello-qa-dos2/0module010.mk b/src/example/dist-hello-qa-dos2/0module010.mk index 7b2ab49..e97f1bb 100644 --- a/src/example/dist-hello-qa-dos2/0module010.mk +++ b/src/example/dist-hello-qa-dos2/0module010.mk @@ -6,7 +6,7 @@ EXAMPLE_DIST_HELLO_QA_DOS2_BIN := $(call mb-make-call,mb-make-module-path-bi $(call mb-make-call,mb-flow-clone-deps-proj-setup-orphan,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN),$(EXAMPLE_DIST_HELLO_BIN),$(EXAMPLE_DIST_HELLO_DEPS),msxdos2-boot) -$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/@assert: $(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/@build +$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/@assert/@package-qa/@check-prefix: $(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/@build _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-file-delete,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/ahello1.out) _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-file-delete,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/ahello2.out) _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-write-default,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN),515) @@ -25,7 +25,7 @@ _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-proj-grow-assert-success,@package-q _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-proj-grow-assert-success,@package-qa) _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-proj-grow-assert-success,@package-qa) _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-proj-grow-assert-success,@package-qa) -$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-doc-target-assert,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/@assert,Assert binaries on msxdos2.) -$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-proj-grow-deps-phase,@package-qa,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/@assert) -$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-target-phony,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/@assert) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-doc-target-assert,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/@assert/@package-qa/@check-prefix,Assert binaries on msxdos2.) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-proj-grow-deps-phase,@package-qa,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/@assert/@package-qa/@check-prefix) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-target-phony,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/@assert/@package-qa/@check-prefix) diff --git a/src/example/dist-hello-qa-msx1/0module010.mk b/src/example/dist-hello-qa-msx1/0module010.mk index 96c6fcf..a7cc8d5 100644 --- a/src/example/dist-hello-qa-msx1/0module010.mk +++ b/src/example/dist-hello-qa-msx1/0module010.mk @@ -9,7 +9,7 @@ $(call mb-make-call,mb-doc-variable,EXAMPLE_DIST_HELLO_QA_MSX1_MACHINE,The machi $(call mb-make-call,mb-flow-clone-deps-proj-setup-orphan,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN),$(EXAMPLE_DIST_HELLO_BIN),$(EXAMPLE_DIST_HELLO_DEPS),,$(EXAMPLE_DIST_HELLO_QA_MSX1_MACHINE)) -$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/@assert: $(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/@build +$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/@assert/@package-qa/@check-prefix: $(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/@build _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-file-delete,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/ahello1.out) _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-file-delete,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/ahello2.out) _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-write-default,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN),515) @@ -28,7 +28,7 @@ _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-proj-grow-assert-success,@package-q _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-proj-grow-assert-success,@package-qa) _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-proj-grow-assert-success,@package-qa) _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-proj-grow-assert-success,@package-qa) -$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-doc-target-assert,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/@assert,Assert binaries on MSX1 machine.) -$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-proj-grow-deps-phase,@package-qa,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/@assert) -$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-target-phony,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/@assert) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-doc-target-assert,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/@assert/@package-qa/@check-prefix,Assert binaries on MSX1 machine.) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-proj-grow-deps-phase,@package-qa,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/@assert/@package-qa/@check-prefix) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-target-phony,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/@assert/@package-qa/@check-prefix) diff --git a/src/tools/gluedos1/0module000.mk b/src/tools/gluedos1/0module000.mk index 576cc8f..23f57ca 100644 --- a/src/tools/gluedos1/0module000.mk +++ b/src/tools/gluedos1/0module000.mk @@ -13,8 +13,8 @@ $(call mb-make-call,mb-flow-sdcc-bdos-mono,$(GLUEDOS1_BIN),$(GLUEDOS1_SRC),set) $(call mb-make-call,mb-flow-sdcc-bdos-mono,$(GLUEDOS1_BIN),$(GLUEDOS1_SRC),ver) # (Manual) Test binaries -$(GLUEDOS1_BIN)/@@test-deps:: $(GLUEDOS1_BIN)/@assert/@test/check-simple -$(GLUEDOS1_BIN)/@assert/@test/check-simple: $(GLUEDOS1_BIN)/@build +$(GLUEDOS1_BIN)/@@test-deps:: $(GLUEDOS1_BIN)/@assert/@test/@check-simple +$(GLUEDOS1_BIN)/@assert/@test/@check-simple: $(GLUEDOS1_BIN)/@build _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-write-default,$(GLUEDOS1_BIN)) _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-append-safe-assert,$(GLUEDOS1_BIN),a:cls.com) _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-append-safe-assert,$(GLUEDOS1_BIN),a:echo.com) @@ -22,10 +22,10 @@ _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-append-safe-assert,$(GLUED _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-append-safe-assert,$(GLUEDOS1_BIN),a:ver.com) _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-append-exit,$(GLUEDOS1_BIN)) _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-openmsx-dosctl,$(GLUEDOS1_BIN)) -_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-echo-assert,$(MB_I18N_ASSERT_SUCCESS) $(GLUEDOS1_BIN)/@assert/@test/check-simple) -$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-doc-target-assert,$(GLUEDOS1_BIN)/@assert/@test/check-simple,Assert binaries on msxdos1.) -$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-proj-grow-deps-phase,@test,$(GLUEDOS1_BIN)/@assert/@test/check-simple) -$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-target-phony,$(GLUEDOS1_BIN)/@assert/@test/check-simple) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-echo-assert,$(MB_I18N_ASSERT_SUCCESS) $(GLUEDOS1_BIN)/@assert/@test/@check-simple) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-doc-target-assert,$(GLUEDOS1_BIN)/@assert/@test/@check-simple,Assert binaries on msxdos1.) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-proj-grow-deps-phase,@test,$(GLUEDOS1_BIN)/@assert/@test/@check-simple) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-target-phony,$(GLUEDOS1_BIN)/@assert/@test/@check-simple) # Release local $(GLUEDOS1_BIN)/@release-local: | $(GLUEDOS1_BIN)/@build From e07a0e1b0960cb96d20641a94335ff172ecad8a5 Mon Sep 17 00:00:00 2001 From: Willem Date: Thu, 18 Jul 2024 22:06:15 +0200 Subject: [PATCH 250/274] Made orphan cloned project use relative build folders. --- lib/make/天房系統擴展/mb_autoexec.mk | 2 +- lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk | 17 +++++++++++------ 2 files changed, 12 insertions(+), 7 deletions(-) diff --git a/lib/make/天房系統擴展/mb_autoexec.mk b/lib/make/天房系統擴展/mb_autoexec.mk index 23cdf6f..0ecf69f 100644 --- a/lib/make/天房系統擴展/mb_autoexec.mk +++ b/lib/make/天房系統擴展/mb_autoexec.mk @@ -155,7 +155,7 @@ endef define mb-autoexec-write-default -$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-os-echo-remark,$(MB_I18N_AUTOEXEC_WRITE) $@) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-os-echo-remark,$(MB_I18N_AUTOEXEC_WRITE) $(1)) $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-os-file-empty,$(1)/autoexec.bat) $(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)/utils),$(call mb-make-call,_mb-autoexec-write-preboot,$(1),utils\,$(2),$(3)),$(call mb-make-call,_mb-autoexec-write-preboot,$(1),,$(2),$(3))) $(MB_ᕽᕽᕽ   )$(if $(filter on,$(MB_AUTOEXEC_SHOW_HOST)),$(call mb-make-call,mb-autoexec-append-cmd,$(1),omsxctl boot_exec_show_host)) diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk index e15344f..abb4b28 100644 --- a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk +++ b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk @@ -5,7 +5,7 @@ define __mb-flow-assert-grep-binary-x $(1)/@assert/$(5)/@$(2): $(1)/@$(5) $(MB_@RECIPE)@echo -n "$(MB_I18N_OS_CRAYON_TAG) " $(MB_@RECIPE)grep --colour$(MB_MAKE_EQUALS)auto -U "$(4)" $(1)/$(3) -$(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-assert,$$(MB_I18N_ASSERT_SUCCESS) $(1)/@assert/$(2)/@$(5)) +$(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-assert,$$(MB_I18N_ASSERT_SUCCESS) $(1)/@assert/$(5)/@$(2)) $(MB_@RECIPE)$$(call mb-make-call,mb-proj-grow-assert-success,$(5)) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-assert,$(1)/@assert/$(5)/@$(2),Asserts that $(3) output matches.) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(1)/@assert/$(5)/@$(2)) @@ -34,25 +34,30 @@ $(MB_@RECIPE)$$(if $$(wildcard $(7)/$(3).out),,$$(call mb-make-call,mb-os-file-d $(MB_@RECIPE)$$(call mb-make-call,mb-msxpipe-safe-assert,$(7),$(3) > $(3).out,$(6)) $(MB_@RECIPE)@echo -n "$(MB_I18N_OS_CRAYON_TAG) " $(MB_@RECIPE)grep --colour$(MB_MAKE_EQUALS)auto "$(4)" $(7)/$(3).out -$(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-assert,$$(MB_I18N_ASSERT_SUCCESS) $(1)/@assert/$(2)/@$(5)) +$(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-assert,$$(MB_I18N_ASSERT_SUCCESS) $(1)/@assert/$(5)/@$(2)) $(MB_@RECIPE)$$(call mb-make-call,mb-proj-grow-assert-success,$(5)) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-assert,$(1)/@assert/$(5)/@$(2),Asserts that $(3) output matches.) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(1)/@assert/$(5)/@$(2)) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-proj-grow-deps-phase,$(5),$(1)/@assert/$(5)/@$(2)) +# Add phase dep link in source project build phase target $(1)/@$(5)-deps:: $(1)/@assert/$(5)/@$(2) + +# (extra) Add local phase dep link in clone poject for build phase target +$(7)/@$(5)-deps:: $(1)/@assert/$(5)/@$(2) + .RECIPEPREFIX := $(MB_@RECIPEPREFIX) endef define mb-flow-assert-msxpipe-grep-test $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-arg5,$(0),$(1),$(2),$(3),$(4),$(5)) -$(MB_ᕽᕽᕽ   )$(eval $(call mb-make-call,mb-flow-clone-deps-proj-setup-orphan,$(1)$(MB_@WORK)_assert/$(notdir $(1))/test-$(3),$(1),$(2),$(6),$(7))) -$(MB_ᕽᕽᕽ   )$(eval $(call mb-make-call,__mb-flow-assert-msxpipe-grep-x,$(1),$(3),$(4),$(5),@test,$(7),$(1)$(MB_@WORK)_assert/$(notdir $(1))/test-$(3))) +$(MB_ᕽᕽᕽ   )$(eval $(call mb-make-call,mb-flow-clone-deps-proj-setup-orphan,$(subst $(abspath $(dir $(1)))/,,$(dir $(1))$(abspath $(1)$(MB_@WORK)_assert_test/$(notdir $(1))/$(3))),$(1),$(2),$(6),$(7))) +$(MB_ᕽᕽᕽ   )$(eval $(call mb-make-call,__mb-flow-assert-msxpipe-grep-x,$(1),$(3),$(4),$(5),@test,$(7),$(subst $(abspath $(dir $(1)))/,,$(dir $(1))$(abspath $(1)$(MB_@WORK)_assert_test/$(notdir $(1))/$(3))))) endef $(call mb-make-call,mb-doc-function-flow,mb-flow-assert-msxpipe-grep-test,Inject flow of single grep assert., [packages] [machine]) define mb_flow-assert-msxpipe-grep-package-qa $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-arg5,$(0),$(1),$(2),$(3),$(4),$(5)) -$(MB_ᕽᕽᕽ   )$(eval $(call mb-make-call,mb-flow-clone-deps-proj-setup-orphan,$(1)$(MB_@WORK)_assert/$(notdir $(1))/package-qa-$(3),$(1),$(2),$(6),$(7))) -$(MB_ᕽᕽᕽ   )$(eval $(call mb-make-call,__mb-flow-assert-msxpipe-grep-x,$(1),$(3),$(4),$(5),@package-qa,$(7),$(1)$(MB_@WORK)_assert/$(notdir $(1))/package-qa-$(3))) +$(MB_ᕽᕽᕽ   )$(eval $(call mb-make-call,mb-flow-clone-deps-proj-setup-orphan,$(subst $(abspath $(dir $(1)))/,,$(dir $(1))$(abspath $(1)$(MB_@WORK)_assert_package_qa/$(notdir $(1))/$(3))),$(1),$(2),$(6),$(7))) +$(MB_ᕽᕽᕽ   )$(eval $(call mb-make-call,__mb-flow-assert-msxpipe-grep-x,$(1),$(3),$(4),$(5),@package-qa,$(7),$(subst $(abspath $(dir $(1)))/,,$(dir $(1))$(abspath $(1)$(MB_@WORK)_assert_package_qa/$(notdir $(1))/$(3))))) endef $(call mb-make-call,mb-doc-function-flow,mb-flow-assert-msxpipe-grep-package-qa,Inject flow of single grep assert., [packages] [machine]) From a69052c3da20c8705cb82e1f9ce8ac3c7d60fde9 Mon Sep 17 00:00:00 2001 From: Willem Date: Thu, 18 Jul 2024 22:26:15 +0200 Subject: [PATCH 251/274] Report cloned files copy count. --- lib/make/𓃬𓍄𓋹𓀭/mb_flow_clone.mk | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_clone.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_clone.mk index 1d8f237..dba8ae9 100644 --- a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_clone.mk +++ b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_clone.mk @@ -5,8 +5,8 @@ define __mb-flow-clone-deps $(1)/%: $(2)/% $(MB_@RECIPE)$$(call mb-make-call,mb-os-file-copy,$$<,$$@) -#$(1)/@@process-deps:: $(4) -#$(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-remark,$(MB_I18N_FLOW_CLONE_REPORT) $$(words $$^)) +$(1)/@@process-deps:: +$(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-remark,$(MB_I18N_FLOW_CLONE_REPORT) $$(words $(4))) .RECIPEPREFIX := $(MB_@RECIPEPREFIX) endef From ef95659858ac0f570b13ee4ff0e87782ae7f08a3 Mon Sep 17 00:00:00 2001 From: Willem Date: Thu, 18 Jul 2024 23:50:09 +0200 Subject: [PATCH 252/274] Grouped matrix test per machine. --- src/assert/msxrom/0module100.mk | 26 ++++++++++++++------------ 1 file changed, 14 insertions(+), 12 deletions(-) diff --git a/src/assert/msxrom/0module100.mk b/src/assert/msxrom/0module100.mk index 1bbacf6..b0c1cda 100644 --- a/src/assert/msxrom/0module100.mk +++ b/src/assert/msxrom/0module100.mk @@ -1,21 +1,23 @@ -ASSERT_MSXROM_SRC := $(call mb-make-call,mb-make-module-path-src,$(PATH_SRC)) -ASSERT_MSXROM_BIN := $(call mb-make-call,mb-make-module-path-bin,$(PATH_SRC),$(PATH_BIN)) -ASSERT_MSXROM_DEPS := $(call mb-make-call,mb-make-module-local-deps,$(PATH_BIN),$(EXAMPLE_DIST_HELLO_DEPS)) +ASSERT_MSXROM_SRC := $(call mb-make-call,mb-make-module-path-src,$(PATH_SRC)) +ASSERT_MSXROM_BIN := $(call mb-make-call,mb-make-module-path-bin,$(PATH_SRC),$(PATH_BIN)) +ASSERT_MSXROM_DEPS := $(call mb-make-call,mb-make-module-local-deps,$(PATH_BIN),$(EXAMPLE_DIST_HELLO_DEPS)) ASSERT_MSXROM_MATRIX ?= off $(call mb-make-call,mb-doc-variable,ASSERT_MSXROM_MATRIX,When on enabled matrix testing of all machines.) # Test matrix = 13 * 2 * 4 = 104 assertion tests -$(call mb-make-call,mb-flow-clone-deps-proj-setup-orphan,$(ASSERT_MSXROM_BIN),$(EXAMPLE_DIST_HELLO_BIN),$(EXAMPLE_DIST_HELLO_DEPS)) ifeq ("$(ASSERT_MSXROM_MATRIX)", "on") - $(foreach _rom,$(MB_MSXROM_FIRE_MACHINES),$(call mb-make-call,mb-flow-assert-msxpipe-grep-test,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-msxdos2-chk1,hello1,M80,msxdos2-boot,$(_rom))) - $(foreach _rom,$(MB_MSXROM_FIRE_MACHINES),$(call mb-make-call,mb-flow-assert-msxpipe-grep-test,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-msxdos2-chk2,hello2,SDCC,msxdos2-boot,$(_rom))) - $(foreach _rom,$(MB_MSXROM_FIRE_MACHINES),$(call mb-make-call,mb-flow-assert-msxpipe-grep-test,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-msxdos2-chk3,hello3,MAKE,msxdos2-boot,$(_rom))) - $(foreach _rom,$(MB_MSXROM_FIRE_MACHINES),$(call mb-make-call,mb-flow-assert-msxpipe-grep-test,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-msxdos1-chk4,hello4,N80,msxdos2-boot,$(_rom))) - $(foreach _rom,$(MB_MSXROM_FIRE_MACHINES),$(call mb-make-call,mb-flow-assert-msxpipe-grep-test,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-nextor-chk1,hello1,M80,,$(_rom))) - $(foreach _rom,$(MB_MSXROM_FIRE_MACHINES),$(call mb-make-call,mb-flow-assert-msxpipe-grep-test,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-nextor-chk2,hello2,SDCC,,$(_rom))) - $(foreach _rom,$(MB_MSXROM_FIRE_MACHINES),$(call mb-make-call,mb-flow-assert-msxpipe-grep-test,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-nextor-chk3,hello3,MAKE,,$(_rom))) - $(foreach _rom,$(MB_MSXROM_FIRE_MACHINES),$(call mb-make-call,mb-flow-assert-msxpipe-grep-test,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-nextor-chk4,hello4,N80,,$(_rom))) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-flow-clone-deps-proj-setup-orphan,$(ASSERT_MSXROM_BIN),$(EXAMPLE_DIST_HELLO_BIN),$(EXAMPLE_DIST_HELLO_DEPS)) +$(MB_ᕽᕽᕽ   )$(foreach _rom,$(MB_MSXROM_FIRE_MACHINES),$\ +$(MB_ᕽᕽᕽ      )$(call mb-make-call,mb-flow-assert-msxpipe-grep-test,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-msxdos2-chk1,hello1,M80,msxdos2-boot,$(_rom))$\ +$(MB_ᕽᕽᕽ      )$(call mb-make-call,mb-flow-assert-msxpipe-grep-test,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-msxdos2-chk2,hello2,SDCC,msxdos2-boot,$(_rom))$\ +$(MB_ᕽᕽᕽ      )$(call mb-make-call,mb-flow-assert-msxpipe-grep-test,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-msxdos2-chk3,hello3,MAKE,msxdos2-boot,$(_rom))$\ +$(MB_ᕽᕽᕽ      )$(call mb-make-call,mb-flow-assert-msxpipe-grep-test,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-msxdos1-chk4,hello4,N80,msxdos2-boot,$(_rom))$\ +$(MB_ᕽᕽᕽ      )$(call mb-make-call,mb-flow-assert-msxpipe-grep-test,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-nextor-chk1,hello1,M80,,$(_rom))$\ +$(MB_ᕽᕽᕽ      )$(call mb-make-call,mb-flow-assert-msxpipe-grep-test,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-nextor-chk2,hello2,SDCC,,$(_rom))$\ +$(MB_ᕽᕽᕽ      )$(call mb-make-call,mb-flow-assert-msxpipe-grep-test,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-nextor-chk3,hello3,MAKE,,$(_rom))$\ +$(MB_ᕽᕽᕽ      )$(call mb-make-call,mb-flow-assert-msxpipe-grep-test,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-nextor-chk4,hello4,N80,,$(_rom))$\ +$(MB_ᕽᕽᕽ   )) endif From 33127af5db105c51f3fb317ecc826bf0af22b0c1 Mon Sep 17 00:00:00 2001 From: Willem Date: Thu, 18 Jul 2024 23:51:04 +0200 Subject: [PATCH 253/274] Faster variables for local project. --- Makefile | 12 ++++++------ 1 file changed, 6 insertions(+), 6 deletions(-) diff --git a/Makefile b/Makefile index 7b9afbb..71ec18e 100644 --- a/Makefile +++ b/Makefile @@ -4,12 +4,12 @@ PATH_BIN := bin PATH_SRC := src PATH_MSXBUILD ?= lib -MB_PROJ_META_GROUP_ID ?= love.distributedrebirth.msx4.firemake.make4.msxbuild -MB_PROJ_META_ARTIFACT_ID ?= msxbuild -MB_PROJ_META_VERSION ?= 1.0.0 -MB_PROJ_META_NAME ?= MSXBUILD -MB_PROJ_META_DESCRIPTION ?= Library to use openMSX in build pipeline. -MB_PROJ_META_WEBSITE ?= https://code.distributedrebirth.love/arch-msx/msxbuild +MB_PROJ_META_GROUP_ID := love.distributedrebirth.msx4.firemake.make4.msxbuild +MB_PROJ_META_ARTIFACT_ID := msxbuild +MB_PROJ_META_VERSION := 1.0.0 +MB_PROJ_META_NAME := MSXBUILD +MB_PROJ_META_DESCRIPTION := Library to use openMSX in build pipeline. +MB_PROJ_META_WEBSITE := https://code.distributedrebirth.love/arch-msx/msxbuild .RECIPEPREFIX := _ .DEFAULT_GOAL := @all -include Makelocal.mk From 6eebd2df94c8340f51673a7c99c9f2eace5faf7d Mon Sep 17 00:00:00 2001 From: Willem Date: Fri, 19 Jul 2024 00:14:09 +0200 Subject: [PATCH 254/274] Moved matrix test to package-qa and added option to test on few machines. --- lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk | 2 +- src/assert/msxrom/0module100.mk | 23 +++++++++++++---------- 2 files changed, 14 insertions(+), 11 deletions(-) diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk index abb4b28..aac3b88 100644 --- a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk +++ b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk @@ -54,7 +54,7 @@ $(MB_ᕽᕽᕽ   )$(eval $(call mb-make-call,mb-flow-clone-deps-proj-setup $(MB_ᕽᕽᕽ   )$(eval $(call mb-make-call,__mb-flow-assert-msxpipe-grep-x,$(1),$(3),$(4),$(5),@test,$(7),$(subst $(abspath $(dir $(1)))/,,$(dir $(1))$(abspath $(1)$(MB_@WORK)_assert_test/$(notdir $(1))/$(3))))) endef $(call mb-make-call,mb-doc-function-flow,mb-flow-assert-msxpipe-grep-test,Inject flow of single grep assert., [packages] [machine]) -define mb_flow-assert-msxpipe-grep-package-qa +define mb-flow-assert-msxpipe-grep-package-qa $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-arg5,$(0),$(1),$(2),$(3),$(4),$(5)) $(MB_ᕽᕽᕽ   )$(eval $(call mb-make-call,mb-flow-clone-deps-proj-setup-orphan,$(subst $(abspath $(dir $(1)))/,,$(dir $(1))$(abspath $(1)$(MB_@WORK)_assert_package_qa/$(notdir $(1))/$(3))),$(1),$(2),$(6),$(7))) $(MB_ᕽᕽᕽ   )$(eval $(call mb-make-call,__mb-flow-assert-msxpipe-grep-x,$(1),$(3),$(4),$(5),@package-qa,$(7),$(subst $(abspath $(dir $(1)))/,,$(dir $(1))$(abspath $(1)$(MB_@WORK)_assert_package_qa/$(notdir $(1))/$(3))))) diff --git a/src/assert/msxrom/0module100.mk b/src/assert/msxrom/0module100.mk index b0c1cda..c04c086 100644 --- a/src/assert/msxrom/0module100.mk +++ b/src/assert/msxrom/0module100.mk @@ -6,18 +6,21 @@ ASSERT_MSXROM_DEPS := $(call mb-make-call,mb-make-module-local-deps,$(PATH_BIN), ASSERT_MSXROM_MATRIX ?= off $(call mb-make-call,mb-doc-variable,ASSERT_MSXROM_MATRIX,When on enabled matrix testing of all machines.) +ASSERT_MSXROM_MACHINES ?= +$(call mb-make-call,mb-doc-variable,ASSERT_MSXROM_MACHINES,When set only test this list of machines else all supported.) -# Test matrix = 13 * 2 * 4 = 104 assertion tests + +# Package QA matrix on: = 13 * 2 * 4 = 104 assertion tests ifeq ("$(ASSERT_MSXROM_MATRIX)", "on") $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-flow-clone-deps-proj-setup-orphan,$(ASSERT_MSXROM_BIN),$(EXAMPLE_DIST_HELLO_BIN),$(EXAMPLE_DIST_HELLO_DEPS)) -$(MB_ᕽᕽᕽ   )$(foreach _rom,$(MB_MSXROM_FIRE_MACHINES),$\ -$(MB_ᕽᕽᕽ      )$(call mb-make-call,mb-flow-assert-msxpipe-grep-test,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-msxdos2-chk1,hello1,M80,msxdos2-boot,$(_rom))$\ -$(MB_ᕽᕽᕽ      )$(call mb-make-call,mb-flow-assert-msxpipe-grep-test,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-msxdos2-chk2,hello2,SDCC,msxdos2-boot,$(_rom))$\ -$(MB_ᕽᕽᕽ      )$(call mb-make-call,mb-flow-assert-msxpipe-grep-test,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-msxdos2-chk3,hello3,MAKE,msxdos2-boot,$(_rom))$\ -$(MB_ᕽᕽᕽ      )$(call mb-make-call,mb-flow-assert-msxpipe-grep-test,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-msxdos1-chk4,hello4,N80,msxdos2-boot,$(_rom))$\ -$(MB_ᕽᕽᕽ      )$(call mb-make-call,mb-flow-assert-msxpipe-grep-test,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-nextor-chk1,hello1,M80,,$(_rom))$\ -$(MB_ᕽᕽᕽ      )$(call mb-make-call,mb-flow-assert-msxpipe-grep-test,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-nextor-chk2,hello2,SDCC,,$(_rom))$\ -$(MB_ᕽᕽᕽ      )$(call mb-make-call,mb-flow-assert-msxpipe-grep-test,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-nextor-chk3,hello3,MAKE,,$(_rom))$\ -$(MB_ᕽᕽᕽ      )$(call mb-make-call,mb-flow-assert-msxpipe-grep-test,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-nextor-chk4,hello4,N80,,$(_rom))$\ +$(MB_ᕽᕽᕽ   )$(foreach _rom,$(if $(ASSERT_MSXROM_MACHINES),$(ASSERT_MSXROM_MACHINES),$(MB_MSXROM_FIRE_MACHINES)),$\ +$(MB_ᕽᕽᕽ      )$(call mb-make-call,mb-flow-assert-msxpipe-grep-package-qa,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-msxdos2-chk1,hello1,M80,msxdos2-boot,$(_rom))$\ +$(MB_ᕽᕽᕽ      )$(call mb-make-call,mb-flow-assert-msxpipe-grep-package-qa,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-msxdos2-chk2,hello2,SDCC,msxdos2-boot,$(_rom))$\ +$(MB_ᕽᕽᕽ      )$(call mb-make-call,mb-flow-assert-msxpipe-grep-package-qa,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-msxdos2-chk3,hello3,MAKE,msxdos2-boot,$(_rom))$\ +$(MB_ᕽᕽᕽ      )$(call mb-make-call,mb-flow-assert-msxpipe-grep-package-qa,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-msxdos1-chk4,hello4,N80,msxdos2-boot,$(_rom))$\ +$(MB_ᕽᕽᕽ      )$(call mb-make-call,mb-flow-assert-msxpipe-grep-package-qa,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-nextor-chk1,hello1,M80,,$(_rom))$\ +$(MB_ᕽᕽᕽ      )$(call mb-make-call,mb-flow-assert-msxpipe-grep-package-qa,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-nextor-chk2,hello2,SDCC,,$(_rom))$\ +$(MB_ᕽᕽᕽ      )$(call mb-make-call,mb-flow-assert-msxpipe-grep-package-qa,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-nextor-chk3,hello3,MAKE,,$(_rom))$\ +$(MB_ᕽᕽᕽ      )$(call mb-make-call,mb-flow-assert-msxpipe-grep-package-qa,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-nextor-chk4,hello4,N80,,$(_rom))$\ $(MB_ᕽᕽᕽ   )) endif From 7e2c0f95597f402fd08bd7999939bde7b28320c1 Mon Sep 17 00:00:00 2001 From: Willem Date: Fri, 19 Jul 2024 00:18:21 +0200 Subject: [PATCH 255/274] Fixed typo after renamed of package files. --- src/example/dist-hello-qa-dos2/0module010.mk | 6 ++++-- src/example/dist-hello-qa-msx1/0module010.mk | 6 ++++-- 2 files changed, 8 insertions(+), 4 deletions(-) diff --git a/src/example/dist-hello-qa-dos2/0module010.mk b/src/example/dist-hello-qa-dos2/0module010.mk index e97f1bb..00c8858 100644 --- a/src/example/dist-hello-qa-dos2/0module010.mk +++ b/src/example/dist-hello-qa-dos2/0module010.mk @@ -7,8 +7,10 @@ $(call mb-make-call,mb-flow-clone-deps-proj-setup-orphan,$(EXAMPLE_DIST_HELLO_QA $(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/@assert/@package-qa/@check-prefix: $(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/@build -_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-file-delete,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/ahello1.out) -_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-file-delete,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/ahello2.out) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-file-delete,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/hello1.out) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-file-delete,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/hello2.out) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-file-delete,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/hello3.out) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-file-delete,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/hello4.out) _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-write-default,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN),515) _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-append-safe-assert,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN),hello1 > hello1.out) _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-append-safe-assert,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN),hello2 > hello2.out) diff --git a/src/example/dist-hello-qa-msx1/0module010.mk b/src/example/dist-hello-qa-msx1/0module010.mk index a7cc8d5..dedad4a 100644 --- a/src/example/dist-hello-qa-msx1/0module010.mk +++ b/src/example/dist-hello-qa-msx1/0module010.mk @@ -10,8 +10,10 @@ $(call mb-make-call,mb-flow-clone-deps-proj-setup-orphan,$(EXAMPLE_DIST_HELLO_QA $(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/@assert/@package-qa/@check-prefix: $(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/@build -_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-file-delete,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/ahello1.out) -_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-file-delete,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/ahello2.out) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-file-delete,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/hello1.out) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-file-delete,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/hello2.out) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-file-delete,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/hello3.out) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-file-delete,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/hello4.out) _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-write-default,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN),515) _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-append-safe-assert,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN),hello1 > hello1.out) _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-append-safe-assert,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN),hello2 > hello2.out) From da2d2fbbba1577eb5cacc8b8c2d04152f0a6484a Mon Sep 17 00:00:00 2001 From: Willem Date: Fri, 19 Jul 2024 00:21:06 +0200 Subject: [PATCH 256/274] Made echo name equals to others. --- src/example/dist-hello-qa-dos1/0module010.mk | 2 +- src/example/dist-hello-qa-dos2/0module010.mk | 2 +- src/example/dist-hello-qa-msx1/0module010.mk | 2 +- 3 files changed, 3 insertions(+), 3 deletions(-) diff --git a/src/example/dist-hello-qa-dos1/0module010.mk b/src/example/dist-hello-qa-dos1/0module010.mk index fc2acce..7128634 100644 --- a/src/example/dist-hello-qa-dos1/0module010.mk +++ b/src/example/dist-hello-qa-dos1/0module010.mk @@ -14,7 +14,7 @@ _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-append-safe-assert,$(EXAMP _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-append-safe-assert,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN),hello4) _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-append-exit,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN)) _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-openmsx-dosctl,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN)) -_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-echo-assert,$(MB_I18N_ASSERT_SUCCESS) $(EXAMPLE_DIST_HELLO_QA_DOS1_BIN)/@assert) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-echo-assert,$(MB_I18N_ASSERT_SUCCESS) $(EXAMPLE_DIST_HELLO_QA_DOS1_BIN)/@assert/@package-qa/@check-simple) $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-doc-target-assert,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN)/@assert/@package-qa/@check-simple,Assert binaries on msxdos1.) $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-proj-grow-deps-phase,@package-qa,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN)/@assert/@package-qa/@check-simple) $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-target-phony,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN)/@assert/@package-qa/@check-simple) diff --git a/src/example/dist-hello-qa-dos2/0module010.mk b/src/example/dist-hello-qa-dos2/0module010.mk index 00c8858..8ea6ea7 100644 --- a/src/example/dist-hello-qa-dos2/0module010.mk +++ b/src/example/dist-hello-qa-dos2/0module010.mk @@ -22,7 +22,7 @@ _$(MB_ᕽᕽᕽ  )@echo -n "$(MB_I18N_OS_CRAYON_TAG) ";grep --colour$(MB_MAK _$(MB_ᕽᕽᕽ  )@echo -n "$(MB_I18N_OS_CRAYON_TAG) ";grep --colour$(MB_MAKE_EQUALS)auto "SDCC: Hello MSX" $(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/hello2.out _$(MB_ᕽᕽᕽ  )@echo -n "$(MB_I18N_OS_CRAYON_TAG) ";grep --colour$(MB_MAKE_EQUALS)auto "MAKE: Hello MSX" $(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/hello3.out _$(MB_ᕽᕽᕽ  )@echo -n "$(MB_I18N_OS_CRAYON_TAG) ";grep --colour$(MB_MAKE_EQUALS)auto "N80: Hello MSX" $(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/hello4.out -_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-echo-assert,$(MB_I18N_ASSERT_SUCCESS) $(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/@assert) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-echo-assert,$(MB_I18N_ASSERT_SUCCESS) $(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/@assert/@package-qa/@check-prefix) _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-proj-grow-assert-success,@package-qa) _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-proj-grow-assert-success,@package-qa) _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-proj-grow-assert-success,@package-qa) diff --git a/src/example/dist-hello-qa-msx1/0module010.mk b/src/example/dist-hello-qa-msx1/0module010.mk index dedad4a..1779ef7 100644 --- a/src/example/dist-hello-qa-msx1/0module010.mk +++ b/src/example/dist-hello-qa-msx1/0module010.mk @@ -25,7 +25,7 @@ _$(MB_ᕽᕽᕽ  )@echo -n "$(MB_I18N_OS_CRAYON_TAG) ";grep --colour$(MB_MAK _$(MB_ᕽᕽᕽ  )@echo -n "$(MB_I18N_OS_CRAYON_TAG) ";grep --colour$(MB_MAKE_EQUALS)auto "SDCC: Hello MSX" $(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/hello2.out _$(MB_ᕽᕽᕽ  )@echo -n "$(MB_I18N_OS_CRAYON_TAG) ";grep --colour$(MB_MAKE_EQUALS)auto "MAKE: Hello MSX" $(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/hello3.out _$(MB_ᕽᕽᕽ  )@echo -n "$(MB_I18N_OS_CRAYON_TAG) ";grep --colour$(MB_MAKE_EQUALS)auto "N80: Hello MSX" $(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/hello4.out -_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-echo-assert,$(MB_I18N_ASSERT_SUCCESS) $(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/@assert) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-echo-assert,$(MB_I18N_ASSERT_SUCCESS) $(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/@assert/@package-qa/@check-prefix) _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-proj-grow-assert-success,@package-qa) _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-proj-grow-assert-success,@package-qa) _$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-proj-grow-assert-success,@package-qa) From 49971b4a61d318f5b9b6fcf3420b086920a5874e Mon Sep 17 00:00:00 2001 From: Willem Date: Fri, 19 Jul 2024 00:32:43 +0200 Subject: [PATCH 257/274] MB_ENV is a deep variable option. --- lib/make/mb_env.mk | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/lib/make/mb_env.mk b/lib/make/mb_env.mk index bf0d616..3d48113 100644 --- a/lib/make/mb_env.mk +++ b/lib/make/mb_env.mk @@ -1,6 +1,6 @@ MB_ENV ?= on -$(call mb-make-call,mb-doc-variable,MB_ENV,Enables VERBOSE and DEBUG variable parsing.) +$(call mb-make-call,mb-doc-variable-deep,MB_ENV,Enables VERBOSE and DEBUG variable parsing.) # From bd88aeee206cbcb6641f613605b0a6186bd925f5 Mon Sep 17 00:00:00 2001 From: Willem Date: Fri, 19 Jul 2024 00:40:25 +0200 Subject: [PATCH 258/274] Added function documention of xml prolog print function. --- lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_xml.mk | 1 + 1 file changed, 1 insertion(+) diff --git a/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_xml.mk b/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_xml.mk index 604b0a8..aac59e8 100644 --- a/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_xml.mk +++ b/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_xml.mk @@ -17,6 +17,7 @@ $(MB_ᕽᕽᕽ   )$(MB_MAKE_XML_LT)$\ $(MB_ᕽᕽᕽ    )?xml version$(MB_MAKE_EQUALS)"1.0" ?$\ $(MB_ᕽᕽᕽ   )$(MB_MAKE_XML_GT) endef +$(call mb-make-call,mb-doc-function-deep,mb-make-xml-prolog,Print xml document prolog.) define _escape-xml-attr From 01345cb5ff1e2cd7f8dbf0117862c106640d33a8 Mon Sep 17 00:00:00 2001 From: Willem Date: Fri, 19 Jul 2024 02:12:39 +0200 Subject: [PATCH 259/274] Added some machines and fixed c: drive boot disk support. --- lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_xml.mk | 20 ++++--- lib/make/天房系統擴展/mb_autoexec.mk | 4 +- lib/make/天房系統擴展/mb_msxrom.mk | 83 +++++++++++++++++++++++++--- src/assert/msxrom/0module100.mk | 2 +- 4 files changed, 92 insertions(+), 17 deletions(-) diff --git a/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_xml.mk b/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_xml.mk index aac59e8..4db576b 100644 --- a/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_xml.mk +++ b/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_xml.mk @@ -20,13 +20,22 @@ endef $(call mb-make-call,mb-doc-function-deep,mb-make-xml-prolog,Print xml document prolog.) -define _escape-xml-attr +define mb-make-xml-escape-attr-value $(MB_ᕽᕽᕽ   )$(subst ',',$(subst ","e;,$(subst &,&,$(subst <,<,$(subst >,>,$(subst $(MB_MAKE_XML_CDATA_START),,$(subst $(MB_MAKE_XML_CDATA_END),,$(1)))))))) endef +$(call mb-make-call,mb-doc-function-deep,mb-make-xml-escape-attr-value,Prints escaped XML attribute value.,) + + +define mb-make-xml-escape-tag-body +$(MB_ᕽᕽᕽ   )$(subst $(MB_MAKE_XML_CDATA_START),,$(subst $(MB_MAKE_XML_CDATA_END),,$(1))) +endef +$(call mb-make-call,mb-doc-function-deep,mb-make-xml-escape-tag-body,Prints escaped XML element/tag body value.,) + + define mb-make-xml-open $(MB_ᕽᕽᕽ   )$(MB_MAKE_XML_LT)$(1)$\ -$(MB_ᕽᕽᕽ    )$(if $(2), $(2)$(MB_MAKE_EQUALS)"$(call mb-make-call,_escape-xml-attr,$(3))")$\ -$(MB_ᕽᕽᕽ    )$(if $(4), $(4)$(MB_MAKE_EQUALS)"$(call mb-make-call,_escape-xml-attr,$(5))")$\ +$(MB_ᕽᕽᕽ    )$(if $(2), $(2)$(MB_MAKE_EQUALS)"$(call mb-make-call,mb-make-xml-escape-attr-value,$(3))")$\ +$(MB_ᕽᕽᕽ    )$(if $(4), $(4)$(MB_MAKE_EQUALS)"$(call mb-make-call,mb-make-xml-escape-attr-value,$(5))")$\ $(MB_ᕽᕽᕽ   )$(MB_MAKE_XML_GT) endef $(call mb-make-call,mb-doc-function-deep,mb-make-xml-open,Print xml open tag., [attr1-name] [attr1-value] [attr2-name] [attr2-value]) @@ -38,12 +47,9 @@ endef $(call mb-make-call,mb-doc-function-deep,mb-make-xml-close,Print xml close tag.,) -define _escape-xml-value -$(MB_ᕽᕽᕽ   )$(subst $(MB_MAKE_XML_CDATA_START),,$(subst $(MB_MAKE_XML_CDATA_END),,$(1))) -endef define mb-make-xml-value $(MB_ᕽᕽᕽ   )$(MB_MAKE_XML_CDATA_START)$\ -$(MB_ᕽᕽᕽ    )$(call mb-make-call,_escape-xml-value,$(1))$\ +$(MB_ᕽᕽᕽ    )$(call mb-make-call,mb-make-xml-escape-tag-body,$(1))$\ $(MB_ᕽᕽᕽ   )$(MB_MAKE_XML_CDATA_END) endef $(call mb-make-call,mb-doc-function-deep,mb-make-xml-value,Print xml value in cdata wrapper.,) diff --git a/lib/make/天房系統擴展/mb_autoexec.mk b/lib/make/天房系統擴展/mb_autoexec.mk index 0ecf69f..a87cdba 100644 --- a/lib/make/天房系統擴展/mb_autoexec.mk +++ b/lib/make/天房系統擴展/mb_autoexec.mk @@ -149,8 +149,8 @@ $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-cmd,$(1),$(2)omsx $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-cmd,$(1),$(2)omsxctl fail_after $(MB_AUTOEXEC_STARTUP_TIMEOUT) seconds err_exec $(MB_AUTOEXEC_STARTUP_EXITCODE)) $(MB_ᕽᕽᕽ   )$(if $(filter on,$(MB_AUTOEXEC_SHOW_INIT)),$(call mb-make-call,mb-autoexec-append-cmd,$(1),$(2)omsxctl boot_exec_show_init)) $(MB_ᕽᕽᕽ   )$(if $(filter on,$(MB_AUTOEXEC_SHOW_VERSION)),$(call mb-make-call,mb-autoexec-append-cmd,$(1),ver)) -$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-cmd,$(1),PATH + A:\;) -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)/utils),$(call mb-make-call,mb-autoexec-append-cmd,$(1),PATH + A:\UTILS)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-cmd,$(1),PATH + %@DRIVE%\;) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)/utils),$(call mb-make-call,mb-autoexec-append-cmd,$(1),PATH + %@DRIVE%\UTILS)) endef diff --git a/lib/make/天房系統擴展/mb_msxrom.mk b/lib/make/天房系統擴展/mb_msxrom.mk index 5a33726..70fc1c1 100644 --- a/lib/make/天房系統擴展/mb_msxrom.mk +++ b/lib/make/天房系統擴展/mb_msxrom.mk @@ -35,6 +35,8 @@ $(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))),,$(call m $(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/share),,$(call mb-make-call,mb-os-dir-create,$(1)$(MB_@WORK)$(notdir $(1))/share)) $(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/share/systemroms),,$(call mb-make-call,mb-os-dir-create,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms)) $(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines),,$(call mb-make-call,mb-os-dir-create,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines)) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines/philips),,$(call mb-make-call,mb-os-dir-create,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines/philips)) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines/sony),,$(call mb-make-call,mb-os-dir-create,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines/sony)) $(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/extensions),,$(call mb-make-call,mb-os-dir-create,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/extensions)) endef $(call mb-make-call,mb-doc-function-deep,mb-msxrom-setup,Creates needed systemrom folders.,) @@ -126,30 +128,97 @@ $(call mb-make-call,_mb-msxrom-grow-fire-machines,Mitsubishi_ML-G3_ES) define mb-msxrom-machine-Philips_VG_8000 -$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/philips/vg8000_basic-bios1.rom) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines/philips,machines/philips/vg8000_basic-bios1.rom) endef $(call mb-make-call,mb-doc-function-deep,mb-msxrom-machine-Philips_VG_8000,Installs machine roms.,) $(call mb-make-call,_mb-msxrom-grow-fire-machines,Philips_VG_8000) +define mb-msxrom-machine-Philips_VG_8010 +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines/philips,machines/philips/vg8010_basic-bios1.rom) +endef +$(call mb-make-call,mb-doc-function-deep,mb-msxrom-machine-Philips_VG_8010,Installs machine roms.,) +$(call mb-make-call,_mb-msxrom-grow-fire-machines,Philips_VG_8010) + + +define mb-msxrom-machine-Philips_VG_8010F +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines/philips,machines/philips/vg8010f_basic-bios1.rom) +endef +$(call mb-make-call,mb-doc-function-deep,mb-msxrom-machine-Philips_VG_8010F,Installs machine roms.,) +$(call mb-make-call,_mb-msxrom-grow-fire-machines,Philips_VG_8010F) + + +define mb-msxrom-machine-Philips_VG_8020 +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines/philips,machines/philips/vg8020_basic-bios1.rom) +endef +$(call mb-make-call,mb-doc-function-deep,mb-msxrom-machine-Philips_VG_8020,Installs machine roms.,) +$(call mb-make-call,_mb-msxrom-grow-fire-machines,Philips_VG_8020) + + +define mb-msxrom-machine-Philips_VG_8020F +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines/philips,machines/philips/vg8020f_basic-bios1.rom) +endef +$(call mb-make-call,mb-doc-function-deep,mb-msxrom-machine-Philips_VG_8020F,Installs machine roms.,) +$(call mb-make-call,_mb-msxrom-grow-fire-machines,Philips_VG_8020F) + + define mb-msxrom-machine-Philips_VG_8230 -$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/philips/vg8230_basic-bios2.rom) -$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/philips/vg8230_disk.rom) -$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/philips/vg8230_msx2sub.rom) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines/philips,machines/philips/vg8230_basic-bios2.rom) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines/philips,machines/philips/vg8230_disk.rom) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines/philips,machines/philips/vg8230_msx2sub.rom) endef $(call mb-make-call,mb-doc-function-deep,mb-msxrom-machine-Philips_VG_8230,Installs machine roms.,) $(call mb-make-call,_mb-msxrom-grow-fire-machines,Philips_VG_8230) +define mb-msxrom-machine-Philips_NMS_8245 +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines/philips,machines/philips/NMS8245SystemROM1.08.bin) +endef +$(call mb-make-call,mb-doc-function-deep,mb-msxrom-machine-Philips_NMS_8245,Installs machine roms.,) +$(call mb-make-call,_mb-msxrom-grow-fire-machines,Philips_NMS_8245) + + define mb-msxrom-machine-Philips_NMS_8250 -$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/philips/nms8250_basic-bios2.rom) -$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/philips/nms8250_msx2sub.rom) -$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/philips/nms8250_disk.rom) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines/philips,machines/philips/nms8250_basic-bios2.rom) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines/philips,machines/philips/nms8250_msx2sub.rom) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines/philips,machines/philips/nms8250_disk.rom) endef $(call mb-make-call,mb-doc-function-deep,mb-msxrom-machine-Philips_NMS_8250,Installs machine roms.,) $(call mb-make-call,_mb-msxrom-grow-fire-machines,Philips_NMS_8250) +define mb-msxrom-machine-Sony_HB-10 +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines/sony,machines/sony/hb-10_basic-bios1.rom) +endef +$(call mb-make-call,mb-doc-function-deep,mb-msxrom-machine-Sony_HB-10,Installs machine roms.,) +$(call mb-make-call,_mb-msxrom-grow-fire-machines,Sony_HB-10) + + +define mb-msxrom-machine-Sony_HB-10P +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines/sony,machines/sony/hb-10p_basicbios1.rom) +endef +$(call mb-make-call,mb-doc-function-deep,mb-msxrom-machine-Sony_HB-10P,Installs machine roms.,) +$(call mb-make-call,_mb-msxrom-grow-fire-machines,Sony_HB-10P) + + +define mb-msxrom-machine-Sony_HB-F500P +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines/sony,machines/sony/hb-f500p_basic-bios2.rom) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines/sony,machines/sony/hb-f500p_msx2sub.rom) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines/sony,machines/sony/hb-f500p_disk.rom) +endef +$(call mb-make-call,mb-doc-function-deep,mb-msxrom-machine-Sony_HB-F500P,Installs machine roms.,) +$(call mb-make-call,_mb-msxrom-grow-fire-machines,Sony_HB-F500P) + + +define mb-msxrom-machine-Sony_HB-F700P +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines/sony,machines/sony/hb-f700p_basic-bios2.rom) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines/sony,machines/sony/hb-f700p_msx2sub.rom) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines/sony,machines/sony/hb-f700p_disk.rom) +endef +$(call mb-make-call,mb-doc-function-deep,mb-msxrom-machine-Sony_HB-F700P,Installs machine roms.,) +$(call mb-make-call,_mb-msxrom-grow-fire-machines,Sony_HB-F700P) + + define mb-msxrom-machine-Toshiba_HX-21 $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/toshiba/HX21-IC2.BIN) $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/toshiba/HX21-IC3.BIN) diff --git a/src/assert/msxrom/0module100.mk b/src/assert/msxrom/0module100.mk index c04c086..dbe14fd 100644 --- a/src/assert/msxrom/0module100.mk +++ b/src/assert/msxrom/0module100.mk @@ -10,7 +10,7 @@ ASSERT_MSXROM_MACHINES ?= $(call mb-make-call,mb-doc-variable,ASSERT_MSXROM_MACHINES,When set only test this list of machines else all supported.) -# Package QA matrix on: = 13 * 2 * 4 = 104 assertion tests +# Package QA matrix on: = 22 * 2 * 4 = 176 assertion tests ifeq ("$(ASSERT_MSXROM_MATRIX)", "on") $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-flow-clone-deps-proj-setup-orphan,$(ASSERT_MSXROM_BIN),$(EXAMPLE_DIST_HELLO_BIN),$(EXAMPLE_DIST_HELLO_DEPS)) $(MB_ᕽᕽᕽ   )$(foreach _rom,$(if $(ASSERT_MSXROM_MACHINES),$(ASSERT_MSXROM_MACHINES),$(MB_MSXROM_FIRE_MACHINES)),$\ From f301b292bce7a9222dc6cdfdd2bc975cb4d2ad03 Mon Sep 17 00:00:00 2001 From: Willem Date: Fri, 19 Jul 2024 16:02:51 +0200 Subject: [PATCH 260/274] Run single for better output. --- .forgejo/workflows/run-test-asserts.yaml | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/.forgejo/workflows/run-test-asserts.yaml b/.forgejo/workflows/run-test-asserts.yaml index 7102187..aa96b27 100644 --- a/.forgejo/workflows/run-test-asserts.yaml +++ b/.forgejo/workflows/run-test-asserts.yaml @@ -20,4 +20,4 @@ jobs: - name: Check out uses: actions/checkout@v3 - name: Run asserts - run: make -j @ALL + run: make -j1 @ALL From dabe1e1403157c7a068fd49214f04a7fbaa7a5b3 Mon Sep 17 00:00:00 2001 From: Willem Date: Fri, 19 Jul 2024 17:59:54 +0200 Subject: [PATCH 261/274] Silenced tmp file cleanup of build in MSXBUILD help system integration. --- lib/make/𓃬𓍄𓋹𓀭/mb_flow_help.mk | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_help.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_help.mk index 3e4adda..263f81d 100644 --- a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_help.mk +++ b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_help.mk @@ -11,7 +11,7 @@ define _mb-flow-print-help $(MB_ᕽᕽᕽ   )@echo -e "$(2)" $(MB_ᕽᕽᕽ   )$(file >$(MB_FLOW_HELP_TEMP),$($(1))) $(MB_ᕽᕽᕽ   )@cat $(MB_FLOW_HELP_TEMP) -$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-os-file-delete,$(MB_FLOW_HELP_TEMP)) +$(MB_ᕽᕽᕽ   )@$(call mb-make-call,mb-os-file-delete,$(MB_FLOW_HELP_TEMP)) endef @@ -142,7 +142,7 @@ $(MB_@RECIPE)$$(file >>$(MB_FLOW_HELP_TEMP),$$(MB_DOC_FIRE_TARGET_ASSERT)) $(MB_@RECIPE)$$(file >>$(MB_FLOW_HELP_TEMP),$$(MB_DOC_FIRE_TARGET_HELP)) $(MB_@RECIPE)$$(file >>$(MB_FLOW_HELP_TEMP),$$(call mb-make-call,mb-make-xml-close,$$(MB_DOC_XML_ROOT))) $(MB_@RECIPE)@cat $$(MB_FLOW_HELP_TEMP) -$(MB_@RECIPE)$$(call mb-make-call,mb-os-file-delete,$$(MB_FLOW_HELP_TEMP)) +$(MB_@RECIPE)@$$(call mb-make-call,mb-os-file-delete,$$(MB_FLOW_HELP_TEMP)) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@help-firemake,Output all documention in XML for IDE integration.) $(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@help-firemake) From bf0df519f0fd3e471b273d564553d643f66cf629 Mon Sep 17 00:00:00 2001 From: Willem Date: Sun, 27 Apr 2025 16:54:27 +0200 Subject: [PATCH 262/274] Fixed some old naming --- README.md | 12 ++++++------ 1 file changed, 6 insertions(+), 6 deletions(-) diff --git a/README.md b/README.md index 383f8f6..11612a4 100644 --- a/README.md +++ b/README.md @@ -49,17 +49,17 @@ Most simple `Makefile` example; # Write normal make rules -Use simple with 0module structure add tree include structure. +To use ONLY the module structure tree loading use the skywalker; PATH_SRC := src - $(call mb_make_call,mb_flow_0module_setup,$(PATH_SRC)) + $(call mb-make-call,mb-flow-nx01-skywalker,$(PATH_SRC)) -Or more complex do a full flow setup of project with; -(note this will call also the 0module_setup for you) +Do do a more NORMAL setup, add the full flow setup to the project with; +(note this will call also the skywalker for you) PATH_BIN := bin PATH_SRC := src - $(call mb_make_call,mb_setup_default,$(PATH_BIN),$(PATH_SRC)) + $(call mb-make-call,mb-setup-default,$(PATH_BIN),$(PATH_SRC)) # Write normal and/or dynamic flow rules in many 0module.mk files. @@ -141,7 +141,7 @@ When you want to see whats happening do a debug run; make ASSERT_MSXHUB_MACHINE=Philips_VG_8000 bin/assert/msxhub/@run view the make graph of an target; - make -Bnd bin/example/dist-hello-qa-msx1/@assert | make2graph | dot -Tpng -o /tmp/out.png;open /tmp/out.png + make -Bnd bin/example/dist-hello-qa-msx1/@assert/@package-qa/@check-prefix | make2graph | dot -Tpng -o /tmp/out.png;open /tmp/out.png ## Internal conventions make From 20c06250ec7b45596311c6f19dd12984bd109c85 Mon Sep 17 00:00:00 2001 From: Willem Date: Sun, 27 Apr 2025 17:01:58 +0200 Subject: [PATCH 263/274] Added missing inner named scope indicators --- lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_space.mk | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_space.mk b/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_space.mk index e540220..3ff12c1 100644 --- a/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_space.mk +++ b/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_space.mk @@ -16,6 +16,6 @@ endef define mb-make-space-white-to-comma -$(MB_ᕽᕽᕽ   )$(subst $(call mb-make-call,mb-make-space-white),$(MB_MAKE_COMMA),$1) +$(MB_ᕽᕽᕽ   )$(subst $(call mb-make-call,mb-make-space-white),$(MB_MAKE_COMMA),$(1)) endef From 5b809ee5bd1a5e30b80297e0d10dda783bf3bee9 Mon Sep 17 00:00:00 2001 From: Willem Date: Sun, 27 Apr 2025 17:04:14 +0200 Subject: [PATCH 264/274] Added named IDE disks for newer openMSX versions --- lib/openmsx/share/extensions/fire-hdd.xml | 1 + 1 file changed, 1 insertion(+) diff --git a/lib/openmsx/share/extensions/fire-hdd.xml b/lib/openmsx/share/extensions/fire-hdd.xml index 83bec97..2d97754 100644 --- a/lib/openmsx/share/extensions/fire-hdd.xml +++ b/lib/openmsx/share/extensions/fire-hdd.xml @@ -20,6 +20,7 @@ IDEHD image-hda.dsk + MSXHDA From 78b2f24aed9ac740d6735ee87357dcc75226cd6c Mon Sep 17 00:00:00 2001 From: Willem Date: Sun, 27 Apr 2025 17:15:35 +0200 Subject: [PATCH 265/274] Added leftovers of maplib poc test --- lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_sdcc.mk | 1 + src/maplib/0module.mk | 12 ++++++ src/maplib/mapbios.xml | 51 +++++++++++++++++++++++++ src/maplib/mapvars.xml | 72 +++++++++++++++++++++++++++++++++++ 4 files changed, 136 insertions(+) create mode 100644 src/maplib/0module.mk create mode 100644 src/maplib/mapbios.xml create mode 100644 src/maplib/mapvars.xml diff --git a/lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_sdcc.mk b/lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_sdcc.mk index 3ba8007..dc94304 100644 --- a/lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_sdcc.mk +++ b/lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_sdcc.mk @@ -8,6 +8,7 @@ $(call mb-make-call,mb-doc-variable-deep,MB_SDCC_FLAG_CPU,The SDCC cpu target op MB_SDCC_FLAG_LD ?= --nostdinc $(call mb-make-call,mb-doc-variable-deep,MB_SDCC_FLAG_LD,The SDCC linker option.) +# TODO: add maplib (auto?) support; -I$(MB_@BASEPATH)maplib/sdcc/ MB_SDCC_ASZ80_FLAGS ?= -g -l -c -o $(call mb-make-call,mb-doc-variable-deep,MB_SDCC_ASZ80_FLAGS,The SDCC z80 asm compiler flags.) diff --git a/src/maplib/0module.mk b/src/maplib/0module.mk new file mode 100644 index 0000000..525e439 --- /dev/null +++ b/src/maplib/0module.mk @@ -0,0 +1,12 @@ + +MAPLIB_SRC := $(call mb-make-call,mb-make-module-path-src,$(PATH_SRC)) +MAPLIB_BIN := $(call mb-make-call,mb-make-module-path-bin,$(PATH_SRC),$(PATH_BIN)) + +# TODO: +# finish XML data +# write XSLT per compiler and/or language supported +# add xsltproc tooling functions +# add generator output flow +# add release-local to copy to lib/maplib/sdcc/mainrom.asm +# etc + diff --git a/src/maplib/mapbios.xml b/src/maplib/mapbios.xml new file mode 100644 index 0000000..443dd4b --- /dev/null +++ b/src/maplib/mapbios.xml @@ -0,0 +1,51 @@ + + + + + Tests RAM and sets RAM slot for the system + ALL + After this, a jump must be made to INIT, for further initialisation. + + + Tests whether the character of (HL) is the specified character if not, it generates SYNTAX ERROR, otherwise it goes to CHRGTR (#0010) + Set the character to be tested in (HL) and the character to be compared next to RST instruction which calls this routine (inline parameter) + HL is increased by one and A receives (HL), When the tested character is numerical, the carry flag is set the end of the statement (00h or 3Ah) causes + AF, HL + + + Reads the value of an address in another slot + + A - ExxxSSPP Slot-ID + │ ││└┴─ Primary slot number (00-11) + │ └┴─── Secondary slot number (00-11) + └───────────── Expanded slot (0 = no, 1 = yes) + HL - Address to read + A - Contains the value of the read address + AF, C, DE + This routine turns off the interupt, but won't turn it on again + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/src/maplib/mapvars.xml b/src/maplib/mapvars.xml new file mode 100644 index 0000000..a6ecc2d --- /dev/null +++ b/src/maplib/mapvars.xml @@ -0,0 +1,72 @@ + + + + + 2 + Base address of the MSX character set in ROM. + + + 1 + Base port address for VDP data read. + + + 1 + Base port address for VDP data write. + + + 1 + 0-3 = Character set, 4-6 = Date format, 7 = 60/50hz + + + 1 + 0-3 = Keyboard type, 4-7 = Basic version + + + 1 + MSX version number + + + 1 + MSX-MIDI is present internally (MSX turbo R only) + + + 4 + Default KANJI range. Copied to KANJTABLE by the DiskBIOS on boot. + + + + + 4 + Two pairs of limits for the first bytes of Shift-JIS characters. + + + 1 + Slot address of RAM in page 0 (DOS) + + + 1 + Slot address of RAM in page 1 (DOS) + + + 1 + Slot address of RAM in page 2 (DOS/BASIC) + + + 1 + Slot address of RAM in page 3 (DOS/BASIC) + + + 1 + Main DiskROM slot address + + + + + + + + + + + + From 3fcac93e1c2969376ec11aa60d606057c679a41a Mon Sep 17 00:00:00 2001 From: Willem Date: Sun, 27 Apr 2025 17:44:54 +0200 Subject: [PATCH 266/274] Added workaround for video blue flashes of left over openMSX state --- lib/make/天房系統擴展/mb_autoexec.mk | 1 + lib/openmsx/share/scripts/night_flight.tcl | 8 ++++++++ 2 files changed, 9 insertions(+) diff --git a/lib/make/天房系統擴展/mb_autoexec.mk b/lib/make/天房系統擴展/mb_autoexec.mk index a87cdba..bd07863 100644 --- a/lib/make/天房系統擴展/mb_autoexec.mk +++ b/lib/make/天房系統擴展/mb_autoexec.mk @@ -122,6 +122,7 @@ $(call mb-make-call,mb-doc-function,mb-autoexec-append-save-screenshot,Appends s define mb-autoexec-append-save-video +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-cmd,$(1),omsxctl night_flight_init_video) $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-cmd,$(1),omsxctl night_flight_save_video $(2)) endef $(call mb-make-call,mb-doc-function,mb-autoexec-append-save-video,Appends save video command., [prefix]) diff --git a/lib/openmsx/share/scripts/night_flight.tcl b/lib/openmsx/share/scripts/night_flight.tcl index 80c101d..cb79d37 100644 --- a/lib/openmsx/share/scripts/night_flight.tcl +++ b/lib/openmsx/share/scripts/night_flight.tcl @@ -44,6 +44,14 @@ proc night_flight_save_screenshot {{prefix_id 0}} { return "mb::save flight screenshot" } +# TODO: rm, this is ugly workaround of left over boot logo blue flash +# at the moment the video starts recording, this moves it before recording +proc night_flight_init_video {} { + after time 1 "set pause on" + after realtime 1 "set pause off" + return "mb::zero flight flash" +} + proc night_flight_save_video {{prefix_id 0}} { global night_flight_prefix global night_flight_seperator From d91ff5bbc4778817212468d9e9dc90a812cf7099 Mon Sep 17 00:00:00 2001 From: Willem Date: Mon, 28 Apr 2025 13:24:31 +0200 Subject: [PATCH 267/274] Disable Boosted_MSX2_EN until rom sha update --- lib/make/天房系統擴展/mb_msxrom.mk | 20 ++++++++++++-------- 1 file changed, 12 insertions(+), 8 deletions(-) diff --git a/lib/make/天房系統擴展/mb_msxrom.mk b/lib/make/天房系統擴展/mb_msxrom.mk index 70fc1c1..d066f8a 100644 --- a/lib/make/天房系統擴展/mb_msxrom.mk +++ b/lib/make/天房系統擴展/mb_msxrom.mk @@ -259,14 +259,18 @@ $(call mb-make-call,mb-doc-function-deep,mb-msxrom-machine-Panasonic_FS-A1WSX,In $(call mb-make-call,_mb-msxrom-grow-fire-machines,Panasonic_FS-A1WSX) -define mb-msxrom-machine-Boosted_MSX2_EN -$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-machine-Philips_NMS_8250,$(1)) -$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-extension-fmpac,$(1)) -$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-extension-moonsound,$(1)) -$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-extension-basickun,$(1)) -endef -$(call mb-make-call,mb-doc-function-deep,mb-msxrom-machine-Boosted_MSX2_EN,Installs machine and extensions roms.,) -$(call mb-make-call,_mb-msxrom-grow-fire-machines,Boosted_MSX2_EN) +# NOTE: openMSX switched from 8250 to 8245 so now we need both, but... +# see: https://github.com/openMSX/openMSX/commit/504aac4838f62682b5bf9c5d31bf2766123e2f76 +# Checked all "public" fmpac roms for fec451b9256570a0e4869355a40329c57f40705f NONE FOUND +#define mb-msxrom-machine-Boosted_MSX2_EN +#$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-machine-Philips_NMS_8250,$(1)) +#$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-machine-Philips_NMS_8245,$(1)) +#$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-extension-fmpac,$(1)) +#$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-extension-moonsound,$(1)) +#$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-extension-basickun,$(1)) +#endef +#$(call mb-make-call,mb-doc-function-deep,mb-msxrom-machine-Boosted_MSX2_EN,Installs machine and extensions roms.,) +#$(call mb-make-call,_mb-msxrom-grow-fire-machines,Boosted_MSX2_EN) define mb-msxrom-machine-Boosted_MSX2+_JP From 0d6d726d4f4904ced9fa98c94b3da24c7529ea08 Mon Sep 17 00:00:00 2001 From: Willem Date: Fri, 2 May 2025 10:51:16 +0200 Subject: [PATCH 268/274] Cleaned firemake xml for normal broken parsers --- lib/make/𓃬𓍄𓋹𓀭/mb_flow_help.mk | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_help.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_help.mk index 263f81d..64da0e5 100644 --- a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_help.mk +++ b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_help.mk @@ -2,8 +2,8 @@ MB_FLOW_HELP_TEMP := $(shell mktemp) $(call mb-make-call,mb-doc-variable-rock,MB_FLOW_HELP_TEMP,Temp file to output help with.) -MB_FLOW_HELP_UNITEEN_XML ?= on -$(call mb-make-call,mb-doc-variable-rock,MB_FLOW_HELP_UNITEEN_XML,Test dutch unit-one flag XML attributes.) +MB_FLOW_HELP_UNITEEN_XML ?= off +$(call mb-make-call,mb-doc-variable-rock,MB_FLOW_HELP_UNITEEN_XML,Test 16+ dutch unit-one flag XML attributes.) # Never use echo to display real text: Argument List Too Long From 855d5ca6714f52ff3a5b5c8134034c385261a20c Mon Sep 17 00:00:00 2001 From: Willem Date: Mon, 5 May 2025 10:16:16 +0200 Subject: [PATCH 269/274] Added ghdl on todo for unit testing vhdl designs --- README.md | 25 +++++++++++++++++++++---- 1 file changed, 21 insertions(+), 4 deletions(-) diff --git a/README.md b/README.md index 11612a4..da70f8e 100644 --- a/README.md +++ b/README.md @@ -30,7 +30,7 @@ For debian use; ## Install Classic -TODO +TODO (~copy `lib` as version-ed path into `/usr/local/include`) ## Install Docker @@ -131,7 +131,7 @@ When you want to see whats happening do a debug run; record matrix test run with video per session and merge to one video file; time ASSERT_MSXROM_MATRIX=on make @flight-video-all - real 0m18.184s + real 6m44.313s full build parallel; time make -j4 @all @@ -142,7 +142,9 @@ When you want to see whats happening do a debug run; view the make graph of an target; make -Bnd bin/example/dist-hello-qa-msx1/@assert/@package-qa/@check-prefix | make2graph | dot -Tpng -o /tmp/out.png;open /tmp/out.png - + +Example output of matrix build+tests: [https://iupload.distributedrebirth.love/quohba7Oikai2uch/msxbuild-demo-matrix-test.avi](https://iupload.distributedrebirth.love/quohba7Oikai2uch/msxbuild-demo-matrix-test.avi) +Example output of qa assert graph [https://iupload.distributedrebirth.love/quohba7Oikai2uch/msxbuild-demo-assert-qa-graph.png](https://iupload.distributedrebirth.love/msxbuild-demo-assert-qa-graph.png) ## Internal conventions make @@ -166,11 +168,26 @@ When you want to see whats happening do a debug run; * Make: @@include-mods will not get chained, it doesn't list ANY dep anymore * Make: @@include-tree will not get phony, (and thus @@include-root not on top) * boot_exec_setcolor: does not work on MSX1 vdp TMS9918 -* Doesn't work on windows +* Missing setting of (DOS) MSX time/date from current local (+recheck make4msx example) +* Somewhere in proj flow deps glue part of parallel does not work at is should +* Doesn't work on windows, rm and check if WSL works OK * TODO: mbboot80+add arg prefix and move to other repro + msxhub package * TODO: Missing C and mixed support * TODO: Use TCL for BASIC/ASM/C lcov (Cobertura) code coverage +## TODO + +* Redo video recording rm sub make (clean too?) +* Improve package support tool commands +* Add a few update/upload deploy targets +* Rename or move the make lib to a generic make lib project +* Move tooling and supporting flow into managed include plugins tools +* Add support for ghdl, avr-gcc, gcc, llvm, java, etc +* Add caching glue for maven and a linux source distro for c/etc (lib) packages +* Add qemu support like openmsx pipe for testing (cross) compile results +* Move all downloads and upload and signing requests to (local) NOSTR++ gateway +* Trim a few points from Make4++ + ## OpenMSX backlog issues * Add setting for "save_imgui_on_exit false" so no imgui.ini file is created From 7d7b134744b46965cfd3aa6984a59cc7bf4d5fc6 Mon Sep 17 00:00:00 2001 From: Willem Date: Mon, 5 May 2025 11:20:37 +0200 Subject: [PATCH 270/274] Added some example for todo ghdl tooling flow support --- src/example/vhdl-chain/0module000.mk | 47 +++++ .../vhdl-chain/z80_bus_ctl_package.vhdl | 60 ++++++ src/example/vhdl-chain/z80_bus_package.vhdl | 113 +++++++++++ .../vhdl-chain/z80_ctl_chain_test.vhdl | 182 +++++++++++++++++ src/example/vhdl-chain/z80_ctl_master.vhdl | 189 ++++++++++++++++++ src/example/vhdl-chain/z80_ctl_slave.vhdl | 139 +++++++++++++ 6 files changed, 730 insertions(+) create mode 100644 src/example/vhdl-chain/0module000.mk create mode 100644 src/example/vhdl-chain/z80_bus_ctl_package.vhdl create mode 100644 src/example/vhdl-chain/z80_bus_package.vhdl create mode 100644 src/example/vhdl-chain/z80_ctl_chain_test.vhdl create mode 100644 src/example/vhdl-chain/z80_ctl_master.vhdl create mode 100644 src/example/vhdl-chain/z80_ctl_slave.vhdl diff --git a/src/example/vhdl-chain/0module000.mk b/src/example/vhdl-chain/0module000.mk new file mode 100644 index 0000000..c3b9478 --- /dev/null +++ b/src/example/vhdl-chain/0module000.mk @@ -0,0 +1,47 @@ + +EXAMPLE_VHDL_CHAIN_SRC := $(call mb-make-call,mb-make-module-path-src,$(PATH_SRC)) +EXAMPLE_VHDL_CHAIN_BIN := $(call mb-make-call,mb-make-module-path-bin,$(PATH_SRC),$(PATH_BIN)) + +# TODO: +# project flow structure and ghdl tooling multiple work libs +define _mb-ghdl-analyze +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-arg2,$(0),$(1),$(2)) +$(MB_ᕽᕽᕽ   )cd $(1) && ghdl -a --work=zep_z80 --std=02 --ieee=synopsys ../../../$(2).vhdl +endef +define _mb-ghdl-extract +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-arg2,$(0),$(1),$(2)) +$(MB_ᕽᕽᕽ   )cd $(1) && ghdl -e --work=zep_z80 $(2) +endef +define _mb-ghdl-run +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-arg3,$(0),$(1),$(2),$(3)) +$(MB_ᕽᕽᕽ   )cd $(1) && ghdl -r --work=zep_z80 $(2) --wave=$(3) +endef + +$(EXAMPLE_VHDL_CHAIN_BIN): # | @init +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-dir-create,$(EXAMPLE_VHDL_CHAIN_BIN)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-doc-target-deep,$(EXAMPLE_VHDL_CHAIN_BIN)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-target-phony,$(EXAMPLE_VHDL_CHAIN_BIN)) + + +$(EXAMPLE_VHDL_CHAIN_BIN)/@assert/@test/@z80-ctl-chain: $(EXAMPLE_VHDL_CHAIN_BIN) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-file-delete,$(EXAMPLE_VHDL_CHAIN_BIN)/zep_z80-obj93.cf) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-file-delete,$(EXAMPLE_VHDL_CHAIN_BIN)/work-wave.ghw) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,_mb-ghdl-analyze,$(EXAMPLE_VHDL_CHAIN_BIN),$(EXAMPLE_VHDL_CHAIN_SRC)/z80_bus_package) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,_mb-ghdl-analyze,$(EXAMPLE_VHDL_CHAIN_BIN),$(EXAMPLE_VHDL_CHAIN_SRC)/z80_bus_ctl_package) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,_mb-ghdl-analyze,$(EXAMPLE_VHDL_CHAIN_BIN),$(EXAMPLE_VHDL_CHAIN_SRC)/z80_ctl_master) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,_mb-ghdl-analyze,$(EXAMPLE_VHDL_CHAIN_BIN),$(EXAMPLE_VHDL_CHAIN_SRC)/z80_ctl_slave) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,_mb-ghdl-analyze,$(EXAMPLE_VHDL_CHAIN_BIN),$(EXAMPLE_VHDL_CHAIN_SRC)/z80_ctl_chain_test) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,_mb-ghdl-extract,$(EXAMPLE_VHDL_CHAIN_BIN),z80_ctl_chain_test) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,_mb-ghdl-run,$(EXAMPLE_VHDL_CHAIN_BIN),z80_ctl_chain_test,work-wave.ghw) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-echo-assert,$(MB_I18N_ASSERT_SUCCESS) $(EXAMPLE_VHDL_CHAIN_BIN)/@assert/@test/@z80-ctl-chain) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-doc-target-assert,$(EXAMPLE_VHDL_CHAIN_BIN)/@assert/@test/@z80-ctl-chain,Asserts z80 chain in VHDL.) +#$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-proj-grow-deps-phase,@test,$(EXAMPLE_VHDL_CHAIN_BIN)/@assert/@test/@z80-ctl-chain) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-target-phony,$(EXAMPLE_VHDL_CHAIN_BIN)/@assert/@test/@z80-ctl-chain) + + +$(EXAMPLE_VHDL_CHAIN_BIN)/@run: $(EXAMPLE_VHDL_CHAIN_BIN)/@assert/@test/@z80-ctl-chain +_$(MB_ᕽᕽᕽ  )gtkwave $(EXAMPLE_VHDL_CHAIN_BIN)/work-wave.ghw +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-doc-target-run,$(EXAMPLE_VHDL_CHAIN_BIN)/@run,Run gtkwave to view test output.) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-target-phony,$(EXAMPLE_VHDL_CHAIN_BIN)/@run) + + diff --git a/src/example/vhdl-chain/z80_bus_ctl_package.vhdl b/src/example/vhdl-chain/z80_bus_ctl_package.vhdl new file mode 100644 index 0000000..07e9738 --- /dev/null +++ b/src/example/vhdl-chain/z80_bus_ctl_package.vhdl @@ -0,0 +1,60 @@ + +library ieee; +use ieee.std_logic_1164.all; + +library zep_z80; +use zep_z80.z80_bus_package.all; + +package z80_bus_ctl_package is + + -- slave of z80 bus + type stl_logic_z80_ctl_slave_out is record + z80 : stl_logic_z80_bus_slave; + ctl : stl_logic_z80_ctl_master; + end record stl_logic_z80_ctl_slave_out; + + type stl_logic_z80_ctl_slave_in is record + z80 : stl_logic_z80_bus_master; + ctl : stl_logic_z80_ctl_slave; + end record stl_logic_z80_ctl_slave_in; + + -- master of z80 bus + type stl_logic_z80_ctl_master_out is record + z80 : stl_logic_z80_bus_master; + ctl : stl_logic_z80_ctl_slave; + end record stl_logic_z80_ctl_master_out; + + type stl_logic_z80_ctl_master_in is record + z80_io_twa : std_logic; + z80 : stl_logic_z80_bus_slave; + ctl : stl_logic_z80_ctl_master; + end record stl_logic_z80_ctl_master_in; + + -- master of real tri-state phy z80 bus (note: not implemented) + type stl_logic_z80_ctl_master_phy_out is record + z80_cycle : stl_logic_z80_bus_cycle; + ctl : stl_logic_z80_ctl_master_out; + end record stl_logic_z80_ctl_master_phy_out; + + type stl_logic_z80_ctl_master_phy_in is record + z80_sync : stl_logic_z80_bus_sync; + ctl : stl_logic_z80_ctl_master_in; + end record stl_logic_z80_ctl_master_phy_in; + + type stl_logic_z80_ctl_master_phy_inout is record + z80_cmd : stl_logic_z80_bus_cmd; + z80_data : stl_logic_z80_bus_data; + z80_addr : stl_logic_z80_bus_addr; + end record stl_logic_z80_ctl_master_phy_inout; + + + component z80_ctl_master is + port (pin : in stl_logic_z80_ctl_master_in; put : out stl_logic_z80_ctl_master_out); + end component; + + component z80_ctl_slave is + port (pin : in stl_logic_z80_ctl_slave_in; put : out stl_logic_z80_ctl_slave_out); + end component; + +end z80_bus_ctl_package; + diff --git a/src/example/vhdl-chain/z80_bus_package.vhdl b/src/example/vhdl-chain/z80_bus_package.vhdl new file mode 100644 index 0000000..50589c8 --- /dev/null +++ b/src/example/vhdl-chain/z80_bus_package.vhdl @@ -0,0 +1,113 @@ + +library ieee; +use ieee.std_logic_1164.all; + +package z80_bus_package is + + constant FINAL_Z80_BUS_DATA_WIDTH : integer := 8; + constant FINAL_Z80_BUS_ADDRESS_WIDTH : integer := 16; + + subtype stl_logic_z80_bus_addr is std_logic_vector(FINAL_Z80_BUS_ADDRESS_WIDTH-1 downto 0); + subtype stl_logic_z80_bus_data is std_logic_vector(FINAL_Z80_BUS_DATA_WIDTH-1 downto 0); + + -- tri-state: cmd + addr + data see: z80_bus_master_phy_package + type stl_logic_z80_bus_cmd is record + mreq_n : std_logic; + iorq_n : std_logic; + rd_n : std_logic; + wr_n : std_logic; + end record stl_logic_z80_bus_cmd; + + type stl_logic_z80_bus_cycle is record + m1_n : std_logic; + rfsh_n : std_logic; + halt_n : std_logic; + busack_n : std_logic; + end record stl_logic_z80_bus_cycle; + + type stl_logic_z80_bus_sync is record + wait_n : std_logic; + int_n : std_logic; + nmi_n : std_logic; + busreq_n : std_logic; + rst_n : std_logic; + clk : std_logic; + end record stl_logic_z80_bus_sync; + + type stl_logic_z80_ctl_sync is record + rst : std_logic; + clk : std_logic; + end record stl_logic_z80_ctl_sync; + + type stl_logic_z80_ctl_cmd is ( + CTL_IDLE, + CTL_MEM_READ_M1, + CTL_MEM_READ, + CTL_MEM_WRITE, + CTL_IO_READ, + CTL_IO_WRITE, + CTL_HALT + ); + + type stl_logic_z80_bus_master is record + cycle : stl_logic_z80_bus_cycle; + cmd : stl_logic_z80_bus_cmd; + data : stl_logic_z80_bus_data; + addr : stl_logic_z80_bus_addr; + end record stl_logic_z80_bus_master; + + type stl_logic_z80_bus_slave is record + sync : stl_logic_z80_bus_sync; + data : stl_logic_z80_bus_data; + end record stl_logic_z80_bus_slave; + + type stl_logic_z80_ctl_master is record + cmd_rfsh : std_logic; + cmd_req : std_logic; + cmd : stl_logic_z80_ctl_cmd; + data : stl_logic_z80_bus_data; + addr : stl_logic_z80_bus_addr; + end record stl_logic_z80_ctl_master; + + type stl_logic_z80_ctl_slave is record + sync : stl_logic_z80_ctl_sync; + req_int : std_logic; + req_nmi : std_logic; + cmd_rdy : std_logic; + data : stl_logic_z80_bus_data; + end record stl_logic_z80_ctl_slave; + + type stl_logic_z80_bus_state is ( + BUS_IDLE, + + BUS_MEM_T1, + BUS_MEM_T2, + BUS_MEM_TW, + BUS_MEM_T3, + + BUS_MEM_RFSH, + BUS_MEM_RFSH_DONE, + + BUS_IO_T1, + BUS_IO_T2, + BUS_IO_TWA, + BUS_IO_TW, + BUS_IO_T3, + + BUS_INTACK_T1, + BUS_INTACK_T2, + BUS_INTACK_TWA, + BUS_INTACK_TW, + BUS_INTACK_T3, + + BUS_REQUEST_TLW, + BUS_REQUEST_TX, + BUS_REQUEST_DONE, + + BUS_HALT, + BUS_RESET, + BUS_RESET_DONE + ); + +end z80_bus_package; + diff --git a/src/example/vhdl-chain/z80_ctl_chain_test.vhdl b/src/example/vhdl-chain/z80_ctl_chain_test.vhdl new file mode 100644 index 0000000..9066f52 --- /dev/null +++ b/src/example/vhdl-chain/z80_ctl_chain_test.vhdl @@ -0,0 +1,182 @@ + +library ieee; +use ieee.std_logic_1164.all; +use IEEE.NUMERIC_STD.ALL; + +library zep_z80; +use zep_z80.z80_bus_ctl_package.all; +use zep_z80.z80_bus_package.all; + +entity z80_ctl_chain_test is +end z80_ctl_chain_test; + +architecture arch of z80_ctl_chain_test is + + component z80_ctl_master port (pin : in stl_logic_z80_ctl_master_in; put : out stl_logic_z80_ctl_master_out); end component; + component z80_ctl_slave port (pin : in stl_logic_z80_ctl_slave_in; put : out stl_logic_z80_ctl_slave_out); end component; + + -- input: u0 controller output: u3 controller + for test_u0: z80_ctl_master use entity zep_z80.z80_ctl_master; + for test_u1: z80_ctl_slave use entity zep_z80.z80_ctl_slave; + for test_u2: z80_ctl_master use entity zep_z80.z80_ctl_master; + for test_u3: z80_ctl_slave use entity zep_z80.z80_ctl_slave; + + signal test_run : std_logic := '1'; + signal test_clk : std_logic := '0'; + signal test_u0_in : stl_logic_z80_ctl_master_in; + signal test_u0_out : stl_logic_z80_ctl_master_out; + signal test_u1_in : stl_logic_z80_ctl_slave_in; + signal test_u1_out : stl_logic_z80_ctl_slave_out; + signal test_u2_in : stl_logic_z80_ctl_master_in; + signal test_u2_out : stl_logic_z80_ctl_master_out; + signal test_u3_in : stl_logic_z80_ctl_slave_in; + signal test_u3_out : stl_logic_z80_ctl_slave_out; +begin + test_u0: z80_ctl_master port map (pin => test_u0_in, put => test_u0_out); + test_u1: z80_ctl_slave port map (pin => test_u1_in, put => test_u1_out); + test_u2: z80_ctl_master port map (pin => test_u2_in, put => test_u2_out); + test_u3: z80_ctl_slave port map (pin => test_u3_in, put => test_u3_out); + + test_clk <= not test_clk and test_run after 2 ns; + + test_u3_in.ctl.sync.clk <= test_clk; + + test_u0_in.z80 <= test_u1_out.z80; -- cross z80 + test_u1_in.z80 <= test_u0_out.z80; + + test_u1_in.ctl <= test_u2_out.ctl; -- cross ctl + test_u2_in.ctl <= test_u1_out.ctl; + + test_u2_in.z80 <= test_u3_out.z80; -- cross z80 + test_u3_in.z80 <= test_u2_out.z80; + +-- test_u3_in.ctl <= test_u2_out.ctl; + + test_u0_in.z80_io_twa <= '1'; + test_u2_in.z80_io_twa <= '1'; + + + main: process + begin + test_u0_in.ctl.data <= X"00"; -- write + test_u0_in.ctl.addr <= X"0000"; + test_u0_in.ctl.cmd <= CTL_IDLE; + test_u0_in.ctl.cmd_req <= '0'; + test_u0_in.ctl.cmd_rfsh <= '0'; + + test_u3_in.ctl.data <= X"00"; + test_u3_in.ctl.req_int <= '0'; + test_u3_in.ctl.req_nmi <= '0'; + test_u3_in.ctl.cmd_rdy <= '0'; + + test_u3_in.ctl.sync.rst <= '1'; + wait for 20 ns; + test_u3_in.ctl.sync.rst <= '0'; + wait for 20 ns; + + assert false report "start mem_read_m1" severity note; + test_u0_in.ctl.addr <= X"2321"; + test_u0_in.ctl.cmd <= CTL_MEM_READ_M1; + test_u0_in.ctl.cmd_req <= '1'; + wait until test_u3_out.ctl.cmd_req = '1'; + assert test_u3_out.ctl.cmd = CTL_MEM_READ_M1 report "mem_read_m1 cmd error: " & stl_logic_z80_ctl_cmd'image(test_u3_out.ctl.cmd); + assert test_u3_out.ctl.addr = X"2321" report "mem_read_m1 addr error: " & integer'image(to_integer(unsigned(test_u3_out.ctl.addr))); + test_u3_in.ctl.data <= X"48"; + test_u3_in.ctl.cmd_rdy <= '1'; + test_u0_in.ctl.cmd_req <= '0'; + wait until test_u0_out.ctl.cmd_rdy = '1'; + assert test_u0_out.ctl.data = X"48" report "meme_read_m1 data error: " & integer'image(to_integer(unsigned(test_u0_out.ctl.data))); + test_u3_in.ctl.cmd_rdy <= '0'; + wait for 10 ns; + + assert false report "start mem_read" severity note; + test_u0_in.ctl.addr <= X"0001"; + test_u0_in.ctl.cmd <= CTL_MEM_READ; + test_u0_in.ctl.cmd_req <= '1'; + wait until test_u3_out.ctl.cmd_req = '1'; + assert test_u3_out.ctl.cmd = CTL_MEM_READ report "mem_read cmd error: " & stl_logic_z80_ctl_cmd'image(test_u3_out.ctl.cmd); + assert test_u3_out.ctl.addr = X"0001" report "mem_read addr error: " & integer'image(to_integer(unsigned(test_u3_out.ctl.addr))); + assert test_u3_out.ctl.data = X"F5" report "mem_read data error: " & integer'image(to_integer(unsigned(test_u3_out.ctl.data))); + test_u3_in.ctl.cmd_rdy <= '1'; + test_u0_in.ctl.cmd_req <= '0'; + wait until test_u0_out.ctl.cmd_rdy = '1'; + test_u3_in.ctl.cmd_rdy <= '0'; + wait for 10 ns; + + assert false report "start mem_read_rfsh" severity note; + test_u0_in.ctl.addr <= X"BBBB"; + test_u0_in.ctl.cmd_rfsh <= '1'; + wait until rising_edge(test_clk); + wait until falling_edge(test_clk); + test_u0_in.ctl.cmd_rfsh <= '0'; + wait until test_u3_out.ctl.cmd_rfsh = '1'; + wait for 10 ns; + + assert false report "start mem_write" severity note; + test_u0_in.ctl.data <= X"F5"; + test_u0_in.ctl.addr <= X"4321"; + test_u0_in.ctl.cmd <= CTL_MEM_WRITE; + test_u0_in.ctl.cmd_req <= '1'; + wait until test_u3_out.ctl.cmd_req = '1'; + assert test_u3_out.ctl.cmd = CTL_MEM_WRITE report "mem_write cmd error: " & stl_logic_z80_ctl_cmd'image(test_u3_out.ctl.cmd); + assert test_u3_out.ctl.addr = X"4321" report "mem_write addr error: " & integer'image(to_integer(unsigned(test_u3_out.ctl.addr))); + assert test_u3_out.ctl.data = X"F5" report "mem_write data error: " & integer'image(to_integer(unsigned(test_u3_out.ctl.data))); + test_u3_in.ctl.cmd_rdy <= '1'; + test_u0_in.ctl.cmd_req <= '0'; + wait until test_u0_out.ctl.cmd_rdy = '1'; + test_u3_in.ctl.cmd_rdy <= '0'; + wait for 10 ns; + + assert false report "start io_read" severity note; + test_u0_in.ctl.addr <= X"0038"; + test_u0_in.ctl.cmd <= CTL_IO_READ; + test_u0_in.ctl.cmd_req <= '1'; + wait until test_u3_out.ctl.cmd_req = '1'; + wait for 10 ns; + assert test_u3_out.ctl.cmd = CTL_IO_READ report "io_read cmd error: " & stl_logic_z80_ctl_cmd'image(test_u3_out.ctl.cmd); + assert test_u3_out.ctl.addr = X"0038" report "io_read addr error: " & integer'image(to_integer(unsigned(test_u3_out.ctl.addr))); + test_u3_in.ctl.data <= X"83"; + test_u3_in.ctl.cmd_rdy <= '1'; + test_u0_in.ctl.cmd_req <= '0'; + wait until test_u0_out.ctl.cmd_rdy = '1'; + assert test_u0_out.ctl.data = X"83" report "io_read data error: " & integer'image(to_integer(unsigned(test_u3_out.ctl.data))); + test_u3_in.ctl.cmd_rdy <= '0'; + wait for 10 ns; + + assert false report "start io_write" severity note; + test_u0_in.ctl.data <= X"11"; + test_u0_in.ctl.addr <= X"00A8"; + test_u0_in.ctl.cmd <= CTL_IO_WRITE; + test_u0_in.ctl.cmd_req <= '1'; + wait until test_u3_out.ctl.cmd_req = '1'; + wait for 10 ns; + assert test_u3_out.ctl.cmd = CTL_IO_WRITE report "io_write cmd error: " & stl_logic_z80_ctl_cmd'image(test_u3_out.ctl.cmd); + assert test_u3_out.ctl.addr = X"00A8" report "io_write addr error: " & integer'image(to_integer(unsigned(test_u3_out.ctl.addr))); + assert test_u3_out.ctl.data = X"11" report "io_write data error: " & integer'image(to_integer(unsigned(test_u3_out.ctl.data))); + test_u3_in.ctl.cmd_rdy <= '1'; + test_u0_in.ctl.cmd_req <= '0'; + wait until test_u0_out.ctl.cmd_rdy = '1'; + test_u3_in.ctl.cmd_rdy <= '0'; + wait for 10 ns; + + assert false report "start bus_halt" severity note; + test_u0_in.ctl.cmd <= CTL_HALT; + test_u0_in.ctl.cmd_req <= '1'; + wait until test_u3_out.ctl.cmd_req = '1'; + wait for 10 ns; + assert test_u3_out.ctl.cmd = CTL_HALT report "bus_halt cmd error: " & stl_logic_z80_ctl_cmd'image(test_u3_out.ctl.cmd); +-- assert test_u3_out.ctl.addr = X"00A8" report "io_write addr error: " & integer'image(to_integer(unsigned(test_u3_out.ctl.addr))); +-- assert test_u3_out.ctl.data = X"11" report "io_write data error: " & integer'image(to_integer(unsigned(test_u3_out.ctl.data))); + test_u3_in.ctl.cmd_rdy <= '1'; + test_u0_in.ctl.cmd_req <= '0'; +-- wait until test_u0_out.ctl.cmd_rdy = '1'; + test_u3_in.ctl.cmd_rdy <= '0'; + wait for 10 ns; + + wait for 100 ns; + assert false report "end of test" severity note; + test_run <= '0'; + wait; + end process; + +end arch; diff --git a/src/example/vhdl-chain/z80_ctl_master.vhdl b/src/example/vhdl-chain/z80_ctl_master.vhdl new file mode 100644 index 0000000..167c877 --- /dev/null +++ b/src/example/vhdl-chain/z80_ctl_master.vhdl @@ -0,0 +1,189 @@ + +library ieee; +use ieee.std_logic_1164.all; + +library zep_z80; +use zep_z80.z80_bus_ctl_package.all; +use zep_z80.z80_bus_package.all; + +entity z80_ctl_master is + port (pin : in stl_logic_z80_ctl_master_in; put : out stl_logic_z80_ctl_master_out); +end z80_ctl_master; + +architecture behavioral of z80_ctl_master is + signal master_state : stl_logic_z80_bus_state; + signal master_ctl_cmd : stl_logic_z80_ctl_cmd; + signal master_ctl_addr : stl_logic_z80_bus_addr; +begin + put.ctl.sync.clk <= pin.z80.sync.clk; + put.z80.addr <= master_ctl_addr; + + main : process(pin.z80.sync.clk) + begin + if rising_edge(pin.z80.sync.clk) then + if pin.z80.sync.rst_n = '0' then + master_state <= BUS_RESET; + master_ctl_cmd <= CTL_IDLE; + master_ctl_addr <= (others => '0'); + put.z80.data <= (others => '0'); + put.z80.cmd.iorq_n <= '1'; + put.z80.cmd.mreq_n <= '1'; + put.z80.cmd.wr_n <= '1'; + put.z80.cmd.rd_n <= '1'; + put.z80.cycle.m1_n <= '1'; + put.z80.cycle.rfsh_n <= '1'; + put.z80.cycle.halt_n <= '1'; + put.z80.cycle.busack_n <= '1'; + put.ctl.data <= (others => '0'); + put.ctl.cmd_rdy <= '0'; + put.ctl.req_int <= '0'; + put.ctl.req_nmi <= '0'; + put.ctl.sync.rst <= '1'; + elsif master_state = BUS_IDLE and pin.ctl.cmd_req = '1' then + master_ctl_cmd <= pin.ctl.cmd; + master_ctl_addr <= pin.ctl.addr; + if master_state = BUS_IDLE and (pin.ctl.cmd = CTL_MEM_READ_M1 or pin.ctl.cmd = CTL_MEM_READ or pin.ctl.cmd = CTL_MEM_WRITE) then + master_state <= BUS_MEM_T1; + if pin.ctl.cmd = CTL_MEM_READ_M1 then + put.z80.cycle.m1_n <= '0'; -- note; M1 is set on rising clock of T1 + end if; + elsif master_state = BUS_IDLE and (pin.ctl.cmd = CTL_IO_READ or pin.ctl.cmd = CTL_IO_WRITE) then + master_state <= BUS_IO_T1; + elsif master_state = BUS_IDLE and pin.ctl.cmd = CTL_HALT then + master_state <= BUS_HALT; + elsif master_state = BUS_HALT and (pin.z80.sync.int_n = '0' or pin.z80.sync.nmi_n = '0') then + master_state <= BUS_INTACK_T1; + end if; + elsif master_state = BUS_IDLE and pin.ctl.cmd_rfsh = '1' then + master_ctl_addr <= pin.ctl.addr; + master_state <= BUS_MEM_RFSH; + put.z80.cycle.rfsh_n <= '0';-- note: rfsh start in rising clock of T3 + elsif master_state = BUS_MEM_T3 then + if pin.ctl.cmd = CTL_MEM_READ_M1 then + put.ctl.data <= pin.z80.data; -- note: M1 reads are on fising clock + put.z80.cycle.m1_n <= '1'; -- note; M1 is reset on rising clock of T3 + put.z80.cmd.mreq_n <= '1'; + put.z80.cmd.rd_n <= '1'; + end if; + end if; + elsif falling_edge(pin.z80.sync.clk) then + case master_state is + when BUS_IDLE => + put.z80.data <= (others => '0'); + put.ctl.cmd_rdy <= '0'; + + + when BUS_MEM_T1 => + if master_ctl_cmd = CTL_MEM_WRITE then + put.z80.data <= pin.ctl.data; + else + put.z80.cmd.rd_n <= '0'; + end if; + put.z80.cmd.mreq_n <= '0'; + master_state <= BUS_MEM_T2; + when BUS_MEM_T2 => + if master_ctl_cmd = CTL_MEM_WRITE then + put.z80.cmd.wr_n <= '0'; + end if; + if pin.z80.sync.wait_n = '1' then + master_state <= BUS_MEM_T3; + else + master_state <= BUS_MEM_TW; + end if; + when BUS_MEM_TW => + if pin.z80.sync.wait_n = '1' then + master_state <= BUS_MEM_T3; + end if; + when BUS_MEM_T3 => + if master_ctl_cmd = CTL_MEM_READ then + put.ctl.data <= pin.z80.data; + put.z80.cmd.mreq_n <= '1'; + put.z80.cmd.rd_n <= '1'; + end if; + if master_ctl_cmd = CTL_MEM_WRITE then + put.z80.cmd.mreq_n <= '1'; + put.z80.cmd.wr_n <= '1'; + end if; + put.ctl.cmd_rdy <= '1'; + master_state <= BUS_IDLE; + + + when BUS_MEM_RFSH => + put.z80.cmd.mreq_n <= '0'; + master_state <= BUS_MEM_RFSH_DONE; + when BUS_MEM_RFSH_DONE => + put.z80.cmd.mreq_n <= '1'; + put.z80.cycle.rfsh_n <= '1'; +-- put.ctl.cmd_rdy <= '1'; + master_state <= BUS_IDLE; + + + when BUS_IO_T1 => + if master_ctl_cmd = CTL_IO_WRITE then + put.z80.cmd.wr_n <= '0'; + put.z80.data <= pin.ctl.data; + else + put.z80.cmd.rd_n <= '0'; + end if; + put.z80.cmd.iorq_n <= '0'; + master_state <= BUS_IO_T2; + when BUS_IO_T2 => + if pin.z80_io_twa = '1' then + master_state <= BUS_IO_TWA; + else + master_state <= BUS_IO_TW; + end if; + when BUS_IO_TWA => + master_state <= BUS_IO_TW; + when BUS_IO_TW => + if pin.z80.sync.wait_n = '1' then + master_state <= BUS_IO_T3; + end if; + when BUS_IO_T3 => + if master_ctl_cmd = CTL_IO_READ then + put.ctl.data <= pin.z80.data; + end if; + put.z80.cmd.iorq_n <= '1'; + put.z80.cmd.wr_n <= '1'; + put.z80.cmd.rd_n <= '1'; + put.ctl.cmd_rdy <= '1'; + master_state <= BUS_IDLE; + + + when BUS_INTACK_T1 => +-- TODO + if master_state = BUS_HALT then + put.z80.cycle.halt_n <= '1'; + end if; + when BUS_INTACK_T2 => null; + when BUS_INTACK_TWA => null; + when BUS_INTACK_TW => null; + when BUS_INTACK_T3 => null; + + when BUS_REQUEST_TLW => + master_state <= BUS_REQUEST_TX; + when BUS_REQUEST_TX => + put.z80.cycle.busack_n <= '0'; + master_state <= BUS_REQUEST_DONE; + when BUS_REQUEST_DONE => + put.z80.cycle.busack_n <= '1'; + put.ctl.cmd_rdy <= '1'; + master_state <= BUS_IDLE; + + when BUS_HALT => + put.z80.cycle.halt_n <= '0'; + + when BUS_RESET => + master_state <= BUS_RESET_DONE; + + when BUS_RESET_DONE => + put.ctl.sync.rst <= '0'; + put.ctl.cmd_rdy <= '0'; + master_state <= BUS_IDLE; + + end case; + end if; + end process main; + +end behavioral; + diff --git a/src/example/vhdl-chain/z80_ctl_slave.vhdl b/src/example/vhdl-chain/z80_ctl_slave.vhdl new file mode 100644 index 0000000..26744c1 --- /dev/null +++ b/src/example/vhdl-chain/z80_ctl_slave.vhdl @@ -0,0 +1,139 @@ + +library ieee; +use ieee.std_logic_1164.all; + +library zep_z80; +use zep_z80.z80_bus_ctl_package.all; +use zep_z80.z80_bus_package.all; + +entity z80_ctl_slave is + port (pin : in stl_logic_z80_ctl_slave_in; put : out stl_logic_z80_ctl_slave_out); +end z80_ctl_slave; + +architecture behavioral of z80_ctl_slave is + signal slave_state : stl_logic_z80_bus_state; + signal slave_z80_cmd : stl_logic_z80_ctl_cmd; + signal slave_z80_addr : stl_logic_z80_bus_addr; + signal slave_cmd_req : std_logic; +begin + put.z80.sync.clk <= pin.ctl.sync.clk; + put.z80.sync.rst_n <= not pin.ctl.sync.rst; + put.ctl.addr <= slave_z80_addr; + put.ctl.cmd <= slave_z80_cmd; + put.ctl.cmd_req <= slave_cmd_req; + + main : process(pin.ctl.sync.clk) + begin + if rising_edge(pin.ctl.sync.clk) then + if pin.ctl.sync.rst = '1' then + slave_state <= BUS_IDLE; + slave_z80_cmd <= CTL_IDLE; + slave_z80_addr <= (others => '0'); + slave_cmd_req <= '0'; + put.ctl.cmd_rfsh <= '0'; + put.ctl.data <= (others => '0'); + put.z80.data <= (others => '0'); + put.z80.sync.wait_n <= '1'; + put.z80.sync.int_n <= '1'; + put.z80.sync.nmi_n <= '1'; + put.z80.sync.busreq_n <= '1'; + elsif slave_state = BUS_IDLE and pin.z80.cmd.mreq_n = '0' then + put.z80.sync.wait_n <= '0'; + slave_z80_addr <= pin.z80.addr; + slave_state <= BUS_MEM_T2; + end if; + elsif falling_edge(pin.ctl.sync.clk) then + if slave_state = BUS_IDLE then + if pin.z80.cycle.m1_n = '0' then + put.z80.sync.wait_n <= '0'; + slave_z80_addr <= pin.z80.addr; + slave_state <= BUS_MEM_T2; + slave_z80_cmd <= CTL_MEM_READ_M1; + slave_cmd_req <= '1'; + elsif pin.z80.cmd.iorq_n = '0' then + put.z80.sync.wait_n <= '0'; + slave_z80_addr <= pin.z80.addr; + slave_state <= BUS_IO_T2; + slave_cmd_req <= '1'; + if pin.z80.cmd.rd_n = '0' then + slave_z80_cmd <= CTL_IO_READ; + else + slave_z80_cmd <= CTL_IO_WRITE; + put.ctl.data <= pin.z80.data; + end if; + elsif pin.z80.cycle.rfsh_n = '0' then + slave_z80_addr <= pin.z80.addr; + slave_state <= BUS_MEM_RFSH; + put.ctl.cmd_rfsh <= '1'; + elsif pin.z80.cycle.halt_n = '0' then + slave_state <= BUS_HALT; + slave_z80_cmd <= CTL_HALT; + slave_cmd_req <= '1'; + end if; + end if; + if slave_cmd_req = '1' then + slave_cmd_req <= '0'; -- pulse request + end if; + case slave_state is + when BUS_IDLE => null; + + when BUS_MEM_T2 => + if slave_z80_cmd = CTL_IDLE then + slave_cmd_req <= '1'; + if pin.z80.cmd.rd_n = '0' then + slave_z80_cmd <= CTL_MEM_READ; + else + slave_z80_cmd <= CTL_MEM_WRITE; + put.ctl.data <= pin.z80.data; + end if; + end if; + slave_state <= BUS_MEM_TW; + when BUS_MEM_TW => + if pin.ctl.cmd_rdy = '1' then + put.z80.sync.wait_n <= '1'; + slave_state <= BUS_MEM_T3; + if slave_z80_cmd = CTL_MEM_READ or slave_z80_cmd = CTL_MEM_READ_M1 then + put.z80.data <= pin.ctl.data; + end if; + end if; + when BUS_MEM_T3 => + if pin.z80.cmd.mreq_n = '1' then + slave_z80_cmd <= CTL_IDLE; + slave_state <= BUS_IDLE; + end if; + + when BUS_MEM_RFSH => + slave_state <= BUS_MEM_RFSH_DONE; + when BUS_MEM_RFSH_DONE => + put.ctl.cmd_rfsh <= '0'; + slave_z80_cmd <= CTL_IDLE; + slave_state <= BUS_IDLE; + + when BUS_IO_T2 => + slave_state <= BUS_IO_TW; + when BUS_IO_TW => + if pin.ctl.cmd_rdy = '1' then + put.z80.sync.wait_n <= '1'; + slave_state <= BUS_IO_T3; + if slave_z80_cmd = CTL_IO_READ then + put.z80.data <= pin.ctl.data; + end if; + end if; + when BUS_IO_T3 => + if pin.z80.cmd.iorq_n = '1' then + slave_z80_cmd <= CTL_IDLE; + slave_state <= BUS_IDLE; + end if; + + when BUS_HALT => + if pin.ctl.cmd_rdy = '1' then + slave_state <= BUS_IDLE; + end if; + + when others => null; + end case; + end if; + end process main; + +end behavioral; + From c0ca5c155267851ecfcca6427039c06c9fd2208e Mon Sep 17 00:00:00 2001 From: Willem Date: Mon, 5 May 2025 11:22:41 +0200 Subject: [PATCH 271/274] Typo in graph example url --- README.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/README.md b/README.md index da70f8e..505b78e 100644 --- a/README.md +++ b/README.md @@ -144,7 +144,7 @@ When you want to see whats happening do a debug run; make -Bnd bin/example/dist-hello-qa-msx1/@assert/@package-qa/@check-prefix | make2graph | dot -Tpng -o /tmp/out.png;open /tmp/out.png Example output of matrix build+tests: [https://iupload.distributedrebirth.love/quohba7Oikai2uch/msxbuild-demo-matrix-test.avi](https://iupload.distributedrebirth.love/quohba7Oikai2uch/msxbuild-demo-matrix-test.avi) -Example output of qa assert graph [https://iupload.distributedrebirth.love/quohba7Oikai2uch/msxbuild-demo-assert-qa-graph.png](https://iupload.distributedrebirth.love/msxbuild-demo-assert-qa-graph.png) +Example output of qa assert graph [https://iupload.distributedrebirth.love/quohba7Oikai2uch/msxbuild-demo-assert-qa-graph.png](https://iupload.distributedrebirth.love/quohba7Oikai2uch/msxbuild-demo-assert-qa-graph.png) ## Internal conventions make From 1ea824d39e26a03da40a14d4ec28fb9f9a85669c Mon Sep 17 00:00:00 2001 From: Willem Date: Sat, 12 Jul 2025 14:10:20 +0200 Subject: [PATCH 272/274] Added blue video flash fix behind flag to disable for bug issue testcase --- lib/make/天房系統擴展/mb_autoexec.mk | 5 ++++- 1 file changed, 4 insertions(+), 1 deletion(-) diff --git a/lib/make/天房系統擴展/mb_autoexec.mk b/lib/make/天房系統擴展/mb_autoexec.mk index bd07863..4bfe0b9 100644 --- a/lib/make/天房系統擴展/mb_autoexec.mk +++ b/lib/make/天房系統擴展/mb_autoexec.mk @@ -20,6 +20,9 @@ $(call mb-make-call,mb-doc-variable,MB_AUTOEXEC_SHOW_FOLDER,Print host folder on MB_AUTOEXEC_SHOW_TARGET ?= on $(call mb-make-call,mb-doc-variable,MB_AUTOEXEC_SHOW_TARGET,Print host target on boot.) +MB_AUTOEXEC_VIDEO_FIX ?= on +$(call mb-make-call,mb-doc-variable,MB_AUTOEXEC_VIDEO_FIX,Adds a workaournd for blue flashes in video.) + MB_AUTOEXEC_STARTUP_TIMEOUT ?= 60 $(call mb-make-call,mb-doc-variable,MB_AUTOEXEC_STARTUP_TIMEOUT,Startup failure timeout of autoexec.) @@ -122,7 +125,7 @@ $(call mb-make-call,mb-doc-function,mb-autoexec-append-save-screenshot,Appends s define mb-autoexec-append-save-video -$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-cmd,$(1),omsxctl night_flight_init_video) +$(MB_ᕽᕽᕽ   )$(if $(filter on,$(MB_AUTOEXEC_VIDEO_FIX)),$(call mb-make-call,mb-autoexec-append-cmd,$(1),omsxctl night_flight_init_video)) $(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-cmd,$(1),omsxctl night_flight_save_video $(2)) endef $(call mb-make-call,mb-doc-function,mb-autoexec-append-save-video,Appends save video command., [prefix]) From 4428c413d85328bca2c4478c7c54ab76e37fb894 Mon Sep 17 00:00:00 2001 From: Willem Date: Mon, 14 Jul 2025 09:07:14 +0200 Subject: [PATCH 273/274] Fixed duplicate fail_after on boot which sometime didn't get canceled --- README.md | 4 ++-- lib/openmsx/share/scripts/fail_after.tcl | 18 ++++++++++-------- 2 files changed, 12 insertions(+), 10 deletions(-) diff --git a/README.md b/README.md index 505b78e..4edce68 100644 --- a/README.md +++ b/README.md @@ -70,7 +70,7 @@ TODO: Link to example project on github a few ways to compile and test hello. ## Usage Help -When using the `mb_setup_default` this add a help system which works by running: `make @help | grep "*"` +When using the `mb-setup-default` this add a help system which works by running: `make @help | grep "*"` * @help-variable * @help-variable-deep @@ -164,7 +164,7 @@ Example output of qa assert graph [https://iupload.distributedrebirth.love/quohb ## Errata -* (?19++) Very sometimes the fail_after "boot_err" watchdog doesn't get canceled +* (FIXED) Very sometimes the fail_after "boot_err" watchdog doesn't get canceled * Make: @@include-mods will not get chained, it doesn't list ANY dep anymore * Make: @@include-tree will not get phony, (and thus @@include-root not on top) * boot_exec_setcolor: does not work on MSX1 vdp TMS9918 diff --git a/lib/openmsx/share/scripts/fail_after.tcl b/lib/openmsx/share/scripts/fail_after.tcl index e858ef5..fd43ad3 100644 --- a/lib/openmsx/share/scripts/fail_after.tcl +++ b/lib/openmsx/share/scripts/fail_after.tcl @@ -38,7 +38,7 @@ proc fail_after_exit {{fail_id "fail_after_exit"} {fail_code 2}} { proc fail_after { timeout {time_unit "time"} {fail_id "fail_after"} {fail_code 2}} { global fail_after_prev_timer global fail_after_prev_id - set msg "" + set msg "mb::fail not installed" if {$fail_after_prev_timer != 0} { if {[catch {after cancel $fail_after_prev_timer} err_msg]} { puts stderr "error: $err_msg" @@ -62,21 +62,23 @@ proc fail_after { timeout {time_unit "time"} {fail_id "fail_after"} {fail_code 2 return $msg } -proc fail_after_reboot_watchdog {} { +proc fail_after_reboot_watchdog {{skip_preboot_hook 1}} { global fail_after_boot_timeout - if {$fail_after_boot_timeout != 0} { + if {$skip_preboot_hook != 0} { if {[catch {fail_after $fail_after_boot_timeout realtime err_boot 124} err_msg]} { puts stderr "error: $err_msg" fail_after_exit fail_after_reboot_install_error 1 } - if {[catch {after boot "fail_after_reboot_watchdog"} err_msg]} { - puts stderr "error: $err_msg" - fail_after_exit fail_after_reboot_cycle_error 1 - } + } + if {[catch {after boot "fail_after_reboot_watchdog"} err_msg]} { + puts stderr "error: $err_msg" + fail_after_exit fail_after_reboot_cycle_error 1 } } if {[info exists ::env(BOOT_WATCHDOG)] && ([string trim $::env(BOOT_WATCHDOG)] != "")} { set fail_after_boot_timeout [string trim $::env(BOOT_WATCHDOG)] - fail_after_reboot_watchdog + if {$fail_after_boot_timeout != 0} { + fail_after_reboot_watchdog 0 + } } From 00980166261daf13daba6ada3d4985138de0d337 Mon Sep 17 00:00:00 2001 From: Willem Date: Sun, 23 Nov 2025 16:45:13 +0100 Subject: [PATCH 274/274] Fixed parsing the ram2mb setting for openMSX. --- lib/make/天房系統擴展/mb_openmsx.mk | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/lib/make/天房系統擴展/mb_openmsx.mk b/lib/make/天房系統擴展/mb_openmsx.mk index b02bf4f..9f417ff 100644 --- a/lib/make/天房系統擴展/mb_openmsx.mk +++ b/lib/make/天房系統擴展/mb_openmsx.mk @@ -98,7 +98,7 @@ $(MB_ᕽᕽᕽ      )$(if $(filter ram16k,$(3)),-ext ram16k) \ $(MB_ᕽᕽᕽ      )$(if $(filter ram64k,$(3)),-ext ram64k) \ $(MB_ᕽᕽᕽ      )$(if $(filter ram512k,$(3)),-ext ram512k) \ $(MB_ᕽᕽᕽ      )$(if $(filter ram1mb,$(3)),-ext ram1mb) \ -$(MB_ᕽᕽᕽ      )$(if $(filter ram4mb,$(3)),-ext ram2mb) \ +$(MB_ᕽᕽᕽ      )$(if $(filter ram2mb,$(3)),-ext ram2mb) \ $(MB_ᕽᕽᕽ      )$(if $(filter ram4mb,$(3)),-ext ram4mb) \ $(MB_ᕽᕽᕽ      )$(MB_OPENMSX_ARGS) \ $(MB_ᕽᕽᕽ      )-control stdio < $(1)$(MB_@WORK)$(notdir $(1))/stdio.xml \