From f258a92475d13a92a73d1e8fbb8a87043baaa99f Mon Sep 17 00:00:00 2001 From: Willem Date: Sun, 7 Jul 2024 20:53:06 +0200 Subject: [PATCH] Cleaned doc api structure. --- lib/make/mb_assert.mk | 5 +- lib/make/mb_autoexec.mk | 72 ++++++++-------- lib/make/mb_conv.mk | 4 +- lib/make/mb_doc.mk | 149 +++++++++++++++++++--------------- lib/make/mb_flight.mk | 22 ++--- lib/make/mb_make.mk | 58 ++++++------- lib/make/mb_msxhub.mk | 54 ++++++------ lib/make/mb_msxpipe.mk | 20 ++--- lib/make/mb_msxrom.mk | 52 ++++++------ lib/make/mb_openmsx.mk | 28 +++---- lib/make/mb_os.mk | 28 +++---- lib/make/mb_proj.mk | 78 +++++++++--------- lib/make/mb_sdcc.mk | 32 ++++---- lib/make/mb_tool.mk | 30 +++---- lib/make/msxbuild.mk | 10 +-- src/dist-qa-dos1/0module.mk | 4 +- src/dist-qa-dos2/0module.mk | 4 +- src/dist-qa-msx1/0module.mk | 4 +- src/dist-qa-msxhub/0module.mk | 4 +- src/dist/0module.mk | 4 +- src/make-on-msx/0module.mk | 14 ++-- src/mbboot80/0module.mk | 2 +- 22 files changed, 347 insertions(+), 331 deletions(-) diff --git a/lib/make/mb_assert.mk b/lib/make/mb_assert.mk index c7e6b10..36e9b86 100644 --- a/lib/make/mb_assert.mk +++ b/lib/make/mb_assert.mk @@ -7,7 +7,7 @@ MB_DOC_FIRE_TARGET += $$(call mb_make_call,mb_doc_target,$(1)/@assert-$(2),"Asse MB_PROJ_PHASE_TEST_DEPS += $(1)/@assert-$(2) .PHONY: $(1)/@assert-$(2) endef -MB_DOC_FIRE_FUNCTION_FLOW += $(call mb_make_call,mb_doc_function_flow,mb_assert_flow_grep_binary,"Prints flow of single binary grep assert."," ") +$(call mb_make_call,mb_doc_function_flow,mb_assert_flow_grep_binary,"Prints flow of single binary grep assert."," ") #$$(call mb_delete,$(1)/$(3).out) define mb_assert_flow_bdos_grep @@ -21,5 +21,4 @@ MB_DOC_FIRE_TARGET += $$(call mb_make_call,mb_doc_target,$(1)-test-$(2)/@assert, MB_PROJ_PHASE_TEST_DEPS += $(1)-test-$(2)/@assert .PHONY: $(1)-test-$(2)/@assert endef -MB_DOC_FIRE_FUNCTION_FLOW += $(call mb_make_call,mb_doc_function_flow,mb_assert_flow_bdos_grep,"Prints flow of single grep assert."," ") - +$(call mb_make_call,mb_doc_function_flow,mb_assert_flow_bdos_grep,"Prints flow of single grep assert."," ") diff --git a/lib/make/mb_autoexec.mk b/lib/make/mb_autoexec.mk index 73d59d0..2189237 100644 --- a/lib/make/mb_autoexec.mk +++ b/lib/make/mb_autoexec.mk @@ -1,133 +1,133 @@ MB_AUTOEXEC_SHOW_VERSION ?= off -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_SHOW_VERSION,"Print OS version on boot.") +$(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_SHOW_VERSION,"Print OS version on boot.") MB_AUTOEXEC_SHOW_PATH ?= on -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_SHOW_PATH,"Print search path on boot.") +$(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_SHOW_PATH,"Print search path on boot.") MB_AUTOEXEC_SHOW_INIT ?= on -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_SHOW_INIT,"Print msxbuild init message on boot.") +$(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_SHOW_INIT,"Print msxbuild init message on boot.") MB_AUTOEXEC_SHOW_HOST ?= on -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_SHOW_HOST,"Print host machine on boot.") +$(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_SHOW_HOST,"Print host machine on boot.") MB_AUTOEXEC_SHOW_USER ?= on -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_SHOW_USER,"Print host user on boot.") +$(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_SHOW_USER,"Print host user on boot.") MB_AUTOEXEC_SHOW_FOLDER ?= on -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_SHOW_FOLDER,"Print host folder on boot.") +$(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_SHOW_FOLDER,"Print host folder on boot.") MB_AUTOEXEC_SHOW_TARGET ?= on -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_SHOW_TARGET,"Print host target on boot.") +$(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_SHOW_TARGET,"Print host target on boot.") MB_AUTOEXEC_STARTUP_TIMEOUT ?= 60 -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_STARTUP_TIMEOUT,"Startup failure timeout of autoexec.") +$(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_STARTUP_TIMEOUT,"Startup failure timeout of autoexec.") MB_AUTOEXEC_STARTUP_EXITCODE ?= 124 -MB_DOC_MAKE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_STARTUP_EXITCODE,"Startup failure exit code of autoexec.") +$(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_STARTUP_EXITCODE,"Startup failure exit code of autoexec.") MB_AUTOEXEC_SAFE_TEST_TIMEOUT ?= 120 -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_SAFE_TEST_TIMEOUT,"Safe test execution failure timeout.") +$(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_SAFE_TEST_TIMEOUT,"Safe test execution failure timeout.") MB_AUTOEXEC_SAFE_TEST_EXITCODE ?= 1 -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_SAFE_TEST_EXITCODE,"Safe test execution failure exit code.") +$(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_SAFE_TEST_EXITCODE,"Safe test execution failure exit code.") MB_AUTOEXEC_SAFE_CMD_TIMEOUT ?= 300 -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_SAFE_CMD_TIMEOUT,"Safe command execution failure timeout.") +$(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_SAFE_CMD_TIMEOUT,"Safe command execution failure timeout.") MB_AUTOEXEC_SAFE_CMD_EXITCODE ?= 1 -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_SAFE_CMD_EXITCODE,"Safe command execution failure exit code.") +$(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_SAFE_CMD_EXITCODE,"Safe command execution failure exit code.") MB_AUTOEXEC_STARTUP_NOTE ?= -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_STARTUP_NOTE,"When set this startup note message is shown.") +$(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_STARTUP_NOTE,"When set this startup note message is shown.") MB_AUTOEXEC_COLOR_FG ?= 151 -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_COLOR_FG,"Default foreground color if none is given.") +$(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_COLOR_FG,"Default foreground color if none is given.") MB_AUTOEXEC_COLOR_BG ?= 000 -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_COLOR_BG,"Default background color if none if given.") +$(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_COLOR_BG,"Default background color if none if given.") MB_AUTOEXEC_EMBED_VARS ?= -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_EMBED_VARS,"List of host env variables to copy to msx as 'MSX_x' variables.") +$(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_EMBED_VARS,"List of host env variables to copy to msx as 'MSX_x' variables.") MB_AUTOEXEC_EMBED_USER ?= USER -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_EMBED_USER,"Embedds this host variable to the msx as 'MB_USER' variable.") +$(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_EMBED_USER,"Embedds this host variable to the msx as 'MB_USER' variable.") MB_AUTOEXEC_TIME_FORMAT ?= 24 -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_TIME_FORMAT,"The time format the msx will get set to.") +$(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_TIME_FORMAT,"The time format the msx will get set to.") MB_AUTOEXEC_DATE_FORMAT ?= DD/MM/YY -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_DATE_FORMAT,"The time format the msx will get set to.") +$(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_DATE_FORMAT,"The time format the msx will get set to.") MB_AUTOEXEC_PROMPT_FORMAT ?= %MB_USER%@%_CWD%* -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_PROMPT_FORMAT,"The prompt format for command 2.40 and higher.") +$(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_PROMPT_FORMAT,"The prompt format for command 2.40 and higher.") MB_AUTOEXEC_PROMPT_SPACE ?= on -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_PROMPT_SPACE,"When on adds an white space after the prompt format.") +$(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_PROMPT_SPACE,"When on adds an white space after the prompt format.") MB_AUTOEXEC_LOG_PREFIX ?= "Write autoexec for " -MB_DOC_FIRE_VARIABLE_DEEP += $(call mb_make_call,mb_doc_variable_deep,MB_AUTOEXEC_LOG_PREFIX,"Prefix of message to log when writing a default autoexec.") +$(call mb_make_call,mb_doc_variable_deep,MB_AUTOEXEC_LOG_PREFIX,"Prefix of message to log when writing a default autoexec.") define mb_autoexec_append_cmd echo "$(2)\r" >> $(1)/autoexec.bat endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_autoexec_append_cmd,"Appends an command."," ") +$(call mb_make_call,mb_doc_function,mb_autoexec_append_cmd,"Appends an command."," ") define mb_autoexec_append_echo $(call mb_make_call,mb_autoexec_append_cmd,$(1),echo $(subst >,>,$(2))) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_autoexec_append_echo,"Appends an echo message."," ") +$(call mb_make_call,mb_doc_function,mb_autoexec_append_echo,"Appends an echo message."," ") define mb_autoexec_append_rem $(call mb_make_call,mb_autoexec_append_cmd,$(1),rem $(2)) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_autoexec_append_rem,"Appends an script remark."," ") +$(call mb_make_call,mb_doc_function,mb_autoexec_append_rem,"Appends an script remark."," ") define mb_autoexec_append_show_gui $(call mb_make_call,mb_autoexec_append_cmd,$(1),omsxctl headless_show_gui) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_autoexec_append_show_gui,"Appends headless show gui command.","") +$(call mb_make_call,mb_doc_function,mb_autoexec_append_show_gui,"Appends headless show gui command.","") define mb_autoexec_append_stop_fail $(call mb_make_call,mb_autoexec_append_cmd,$(1),omsxctl fail_after 0) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_autoexec_append_stop_fail,"Appends stop automatic failure command.","") +$(call mb_make_call,mb_doc_function,mb_autoexec_append_stop_fail,"Appends stop automatic failure command.","") define mb_autoexec_append_exit $(if $(filter on,$(MB_FLIGHT_SCREEN)),$(call mb_make_call,mb_autoexec_append_save_screenshot,$(1))) $(call mb_make_call,mb_autoexec_append_cmd,$(1),omsxctl headless_exit) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_autoexec_append_exit,"Appends exit emulation command.","") +$(call mb_make_call,mb_doc_function,mb_autoexec_append_exit,"Appends exit emulation command.","") define mb_autoexec_append_plug_porta $(call mb_make_call,mb_autoexec_append_cmd,$(1),omsxctl boot_exec_plug_porta $(2)) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_autoexec_append_plug_porta,"Appends an plug device into joyporta action."," ") +$(call mb_make_call,mb_doc_function,mb_autoexec_append_plug_porta,"Appends an plug device into joyporta action."," ") define mb_autoexec_append_plug_portb $(call mb_make_call,mb_autoexec_append_cmd,$(1),omsxctl boot_exec_plug_portb $(2)) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_autoexec_append_plug_portb,"Appends an plug device into joyportb action."," ") +$(call mb_make_call,mb_doc_function,mb_autoexec_append_plug_portb,"Appends an plug device into joyportb action."," ") define mb_autoexec_append_save_screenshot $(call mb_make_call,mb_autoexec_append_cmd,$(1),omsxctl night_flight_save_screenshot $(2)) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_autoexec_append_save_screenshot,"Appends save screenshot command."," [prefix]") +$(call mb_make_call,mb_doc_function,mb_autoexec_append_save_screenshot,"Appends save screenshot command."," [prefix]") define mb_autoexec_append_save_video $(call mb_make_call,mb_autoexec_append_cmd,$(1),omsxctl night_flight_save_video $(2)) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_autoexec_append_save_video,"Appends save video command."," [prefix]") +$(call mb_make_call,mb_doc_function,mb_autoexec_append_save_video,"Appends save video command."," [prefix]") define mb_autoexec_append_safe_test @@ -135,7 +135,7 @@ define mb_autoexec_append_safe_test $(call mb_make_call,mb_autoexec_append_echo,$(1),mb::safe test $(2)) $(call mb_make_call,mb_autoexec_append_cmd,$(1),$(2)) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_autoexec_append_safe_test,"Appends running a safe test."," [timeout] [exit-code]") +$(call mb_make_call,mb_doc_function,mb_autoexec_append_safe_test,"Appends running a safe test."," [timeout] [exit-code]") define mb_autoexec_append_safe_cmd @@ -143,7 +143,7 @@ define mb_autoexec_append_safe_cmd $(call mb_make_call,mb_autoexec_append_echo,$(1),mb::safe command $(2)) $(call mb_make_call,mb_autoexec_append_cmd,$(1),$(2)) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_autoexec_append_safe_cmd,"Appends running a safe command."," [timeout] [exit-code]") +$(call mb_make_call,mb_doc_function,mb_autoexec_append_safe_cmd,"Appends running a safe command."," [timeout] [exit-code]") define _mb_autoexec_write_preboot @@ -178,5 +178,5 @@ define mb_autoexec_write_default $(if $(filter on,$(MB_AUTOEXEC_PROMPT_SPACE)),$(call mb_make_call,mb_autoexec_append_cmd,$(1),set PROMPT \"$(value MB_AUTOEXEC_PROMPT_FORMAT)$(MB_MAKE_SPACE)\")) $(if $(filter on,$(MB_AUTOEXEC_PROMPT_SPACE)),,$(call mb_make_call,mb_autoexec_append_cmd,$(1),,set PROMPT \"$(value MB_AUTOEXEC_PROMPT_FORMAT)\")) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_autoexec_write_default,"Write a default autoexec.bat file."," [fg-color] [bg-color]") +$(call mb_make_call,mb_doc_function,mb_autoexec_write_default,"Write a default autoexec.bat file."," [fg-color] [bg-color]") diff --git a/lib/make/mb_conv.mk b/lib/make/mb_conv.mk index dd1fec0..6f8877f 100644 --- a/lib/make/mb_conv.mk +++ b/lib/make/mb_conv.mk @@ -3,12 +3,12 @@ define mb_conv_unix2dos $(call mb_make_call,mb_make_echo_command,Convert to dos-EOL $(2)) unix2dos -q -n $(1) $(2) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_conv_unix2dos,"Converts an unix file to dos."," ") +$(call mb_make_call,mb_doc_function,mb_conv_unix2dos,"Converts an unix file to dos."," ") define mb_conv_dos2unix $(call mb_make_call,mb_make_echo_command,Convert to nix-EOL $(2)) dos2unix -q -n $(1) $(2) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_conv_dos2unix,"Converts an dos file to unix."," ") +$(call mb_make_call,mb_doc_function,mb_conv_dos2unix,"Converts an dos file to unix."," ") diff --git a/lib/make/mb_doc.mk b/lib/make/mb_doc.mk index 29bf0b1..92e5e50 100644 --- a/lib/make/mb_doc.mk +++ b/lib/make/mb_doc.mk @@ -1,57 +1,57 @@ MB_DOC_FIRE_VARIABLE_FLOW ?= "" -#*** Recursive variable: MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,mb_doc_variable_flow,MB_DOC_FIRE_VARIABLE_FLOW,"Flow builder of fire flow variable data.") - -MB_DOC_FIRE_HELP ?= "" -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,mb_doc_variable_flow,MB_DOC_FIRE_HELP,"Flow builder of firemake help data.") - -MB_DOC_FIRE_TARGET ?= "" -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,mb_doc_variable_flow,MB_DOC_FIRE_TARGET,"Flow builder of firemake target data.") - -MB_DOC_FIRE_TARGET_DEEP ?= "" -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,mb_doc_variable_flow,MB_DOC_FIRE_TARGET_DEEP,"Flow builder of firemake deep target data.") - -MB_DOC_FIRE_TARGET_RUN ?= "" -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,mb_doc_variable_flow,MB_DOC_FIRE_TARGET_RUN,"Flow builder of firemake run target data.") +#*** Recursive variable: MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,_mb_doc_variable4u_flow,MB_DOC_FIRE_VARIABLE_FLOW,"Flow builder of fire flow variable data.") MB_DOC_FIRE_VARIABLE ?= "" -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,mb_doc_variable_flow,MB_DOC_FIRE_VARIABLE,"Flow builder of firemake variable data.") +MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,_mb_doc_variable4u_flow,MB_DOC_FIRE_VARIABLE,"Flow builder of firemake variable data.") MB_DOC_FIRE_VARIABLE_DEEP ?= "" -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,mb_doc_variable_flow,MB_DOC_FIRE_VARIABLE_DEEP,"Flow builder of makefire deep variable data.") +MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,_mb_doc_variable4u_flow,MB_DOC_FIRE_VARIABLE_DEEP,"Flow builder of makefire deep variable data.") MB_DOC_FIRE_VARIABLE_ROCK ?= "" -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,mb_doc_variable_flow,MB_DOC_FIRE_VARIABLE_ROCK,"Flow builder of makefire rock variable data.") +MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,_mb_doc_variable4u_flow,MB_DOC_FIRE_VARIABLE_ROCK,"Flow builder of makefire rock variable data.") MB_DOC_FIRE_FUNCTION ?= "" -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,mb_doc_variable_flow,MB_DOC_FIRE_FUNCTION,"Flow builder of firemake function data.") +MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,_mb_doc_variable4u_flow,MB_DOC_FIRE_FUNCTION,"Flow builder of firemake function data.") MB_DOC_FIRE_FUNCTION_DEEP ?= "" -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,mb_doc_variable_flow,MB_DOC_FIRE_FUNCTION_DEEP,"Flow builder of firemake deep function data.") +MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,_mb_doc_variable4u_flow,MB_DOC_FIRE_FUNCTION_DEEP,"Flow builder of firemake deep function data.") MB_DOC_FIRE_FUNCTION_FLOW ?= "" -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,mb_doc_variable_flow,MB_DOC_FIRE_FUNCTION_FLOW,"Flow builder of firemake flow function data.") +MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,_mb_doc_variable4u_flow,MB_DOC_FIRE_FUNCTION_FLOW,"Flow builder of firemake flow function data.") + +MB_DOC_FIRE_TARGET ?= "" +MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,_mb_doc_variable4u_flow,MB_DOC_FIRE_TARGET,"Flow builder of firemake target data.") + +MB_DOC_FIRE_TARGET_DEEP ?= "" +MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,_mb_doc_variable4u_flow,MB_DOC_FIRE_TARGET_DEEP,"Flow builder of firemake deep target data.") + +MB_DOC_FIRE_TARGET_RUN ?= "" +MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,_mb_doc_variable4u_flow,MB_DOC_FIRE_TARGET_RUN,"Flow builder of firemake run target data.") + +MB_DOC_FIRE_TARGET_HELP ?= "" +MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,_mb_doc_variable4u_flow,MB_DOC_FIRE_TARGET_HELP,"Flow builder of firemake help data.") MB_DOC_XML_ROOT := firemake -MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_DOC_XML_ROOT,"XML root tag for firemake output.") +MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,_mb_doc_variable4u_rock,MB_DOC_XML_ROOT,"XML root tag for firemake output.") MB_DOC_XML_ATTR_NAME := name -MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_DOC_XML_ATTR_NAME,"XML name attribute for firemake output.") +MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,_mb_doc_variable4u_rock,MB_DOC_XML_ATTR_NAME,"XML name attribute for firemake output.") MB_DOC_XML_ATTR_LEVEL := level -MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_DOC_XML_ATTR_LEVEL,"XML level attribute for firemake output.") +MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,_mb_doc_variable4u_rock,MB_DOC_XML_ATTR_LEVEL,"XML level attribute for firemake output.") MB_DOC_XML_TAG_ARGS := arguments -MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_DOC_XML_TAG_ARGS,"XML arguments tag for firemake output.") +MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,_mb_doc_variable4u_rock,MB_DOC_XML_TAG_ARGS,"XML arguments tag for firemake output.") MB_DOC_XML_TAG_DESC := description -MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_DOC_XML_TAG_DESC,"XML description tag for firemake output.") +MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,_mb_doc_variable4u_rock,MB_DOC_XML_TAG_DESC,"XML description tag for firemake output.") MB_DOC_XML_TAG_VALUE := value -MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_DOC_XML_TAG_VALUE,"XML value tag for firemake output.") +MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,_mb_doc_variable4u_rock,MB_DOC_XML_TAG_VALUE,"XML value tag for firemake output.") MB_DOC_FORMAT ?= txt -MB_DOC_FIRE_VARIABLE_DEEP += $(call mb_make_call,mb_doc_variable_deep,MB_DOC_FORMAT,"Output format of documention$(MB_MAKE_COMMA) only 'txt' or 'xml' is supported.") +MB_DOC_FIRE_VARIABLE_DEEP += $(call mb_make_call,_mb_doc_variable4u_deep,MB_DOC_FORMAT,"Output format of documention$(MB_MAKE_COMMA) only 'txt' or 'xml' is supported.") define _mb_doc_variable_txt @@ -60,22 +60,31 @@ endef define _mb_doc_variable_xml \\n\\t$(call mb_make_call,mb_make_xml_open,variable,$(MB_DOC_XML_ATTR_NAME),$(2),$(MB_DOC_XML_ATTR_LEVEL),$(1))\\n\\t\\t$(call mb_make_call,mb_make_xml_tag_value,$(MB_DOC_XML_TAG_VALUE),$(subst >,?,$(4)))\\n\\t\\t$(call mb_make_call,mb_make_xml_tag_value,$(MB_DOC_XML_TAG_DESC),$(3))\\n\\t$(call mb_make_call,mb_make_xml_close,variable) endef -define mb_doc_variable - $(call mb_make_call,_mb_doc_variable_$(MB_DOC_FORMAT),build,$(1),$(2),$($(1))) -endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_doc_variable,"Prints formatted documention of an variable."," [desc]") -define mb_doc_variable_deep +define _mb_doc_variable4u_deep $(call mb_make_call,_mb_doc_variable_$(MB_DOC_FORMAT),deep,$(1),$(2),$($(1))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_doc_variable_deep,"Prints formatted documention of an deep variable."," [desc]") -define mb_doc_variable_rock +define _mb_doc_variable4u_rock $(call mb_make_call,_mb_doc_variable_$(MB_DOC_FORMAT),rock,$(1),$(2),$($(1))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_doc_variable_rock,"Prints formatted documention of an rock variable."," [desc]") -define mb_doc_variable_flow +define _mb_doc_variable4u_flow $(call mb_make_call,_mb_doc_variable_$(MB_DOC_FORMAT),flow,$(1),$(2),$(words $($(1)))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_doc_variable_flow,"Prints formatted documention of an flow variable."," [desc]") +define mb_doc_variable + $(eval MB_DOC_FIRE_VARIABLE += $$(call mb_make_call,_mb_doc_variable_$$(MB_DOC_FORMAT),build,$(1),$(2),$($(1)))) +endef +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_variable,"Prints formatted documention of an variable."," [desc]") +define mb_doc_variable_deep + $(eval MB_DOC_FIRE_VARIABLE_DEEP += $$(call mb_make_call,_mb_doc_variable4u_deep,$(1),$(2))) +endef +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_variable_deep,"Prints formatted documention of an deep variable."," [desc]") +define mb_doc_variable_rock + $(eval MB_DOC_FIRE_VARIABLE_ROCK += $$(call mb_make_call,_mb_doc_variable4u_rock,$(1),$(2))) +endef +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_variable_rock,"Prints formatted documention of an rock variable."," [desc]") +define mb_doc_variable_flow + $(eval MB_DOC_FIRE_VARIABLE_FLOW += $$(call mb_make_call,_mb_doc_variable4u_flow,$(1),$(2))) +endef +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_variable_flow,"Prints formatted documention of an flow variable."," [desc]") define _mb_doc_function_txt @@ -84,18 +93,24 @@ endef define _mb_doc_function_xml \\n\\t$(call mb_make_call,mb_make_xml_open,function,$(MB_DOC_XML_ATTR_NAME),$(2),$(MB_DOC_XML_ATTR_LEVEL),$(1))\\n\\t\\t$(call mb_make_call,mb_make_xml_tag_value,$(MB_DOC_XML_TAG_ARGS),$(4))\\n\\t\\t$(call mb_make_call,mb_make_xml_tag_value,$(MB_DOC_XML_TAG_DESC),$(3))\\n\\t$(call mb_make_call,mb_make_xml_close,function) endef -define mb_doc_function - $(call mb_make_call,_mb_doc_function_$(MB_DOC_FORMAT),build,$(1),$(2),$(3)) -endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_doc_function,"Prints formatted documention of an function."," [desc] [args]") -define mb_doc_function_deep +define _mb_doc_function4u_deep $(call mb_make_call,_mb_doc_function_$(MB_DOC_FORMAT),deep,$(1),$(2),$(3)) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_doc_function_deep,"Prints formatted documention of an deep function."," [desc] [args]") -define mb_doc_function_flow +define _mb_doc_function4u_flow $(call mb_make_call,_mb_doc_function_$(MB_DOC_FORMAT),flow,$(1),$(2),$(3)) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_doc_function_flow,"Prints formatted documention of an flow function."," [desc] [args]") +define mb_doc_function + $(eval MB_DOC_FIRE_FUNCTION += $$(call mb_make_call,_mb_doc_function_$$(MB_DOC_FORMAT),build,$(1),$(2),$(3))) +endef +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_function,"Prints formatted documention of an function."," [desc] [args]") +define mb_doc_function_deep + $(eval MB_DOC_FIRE_FUNCTION_DEEP += $$(call mb_make_call,_mb_doc_function4u_deep,$(1),$(2),$(3))) +endef +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_function_deep,"Prints formatted documention of an deep function."," [desc] [args]") +define mb_doc_function_flow + $(eval MB_DOC_FIRE_FUNCTION_FLOW += $$(call mb_make_call,_mb_doc_function4u_flow,$(1),$(2),$(3))) +endef +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_function_flow,"Prints formatted documention of an flow function."," [desc] [args]") define _mb_doc_target_txt @@ -105,28 +120,28 @@ define _mb_doc_target_xml \\n\\t$(call mb_make_call,mb_make_xml_open,target,$(MB_DOC_XML_ATTR_NAME),$(2),$(MB_DOC_XML_ATTR_LEVEL),$(1))$(if $3,\\n\\t\\t$(call mb_make_call,mb_make_xml_tag_value,$(MB_DOC_XML_TAG_DESC),$(3))\\n\\t$(call mb_make_call,mb_make_xml_close,target),\\n\\t$(call mb_make_call,mb_make_xml_close,target)) endef define mb_doc_target - $(call mb_make_call,_mb_doc_target_$(MB_DOC_FORMAT),build,$(1),$(2)) + $(eval MB_DOC_FIRE_TARGET += $$(call mb_make_call,_mb_doc_target_$$(MB_DOC_FORMAT),build,$(1),$(2))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_doc_target,"Prints formatted documention of an target."," [desc]") +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_target,"Prints formatted documention of an target."," [desc]") define mb_doc_target_deep - $(call mb_make_call,_mb_doc_target_$(MB_DOC_FORMAT),deep,$(1),$(2)) + $(eval MB_DOC_FIRE_TARGET_DEEP += $$(call mb_make_call,_mb_doc_target_$$(MB_DOC_FORMAT),deep,$(1),$(2))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_doc_target_deep,"Prints formatted documention of an deep target."," [desc]") +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_target_deep,"Prints formatted documention of an deep target."," [desc]") define mb_doc_target_help - $(call mb_make_call,_mb_doc_target_$(MB_DOC_FORMAT),help,$(1),$(2)) + $(eval MB_DOC_FIRE_TARGET_HELP += $$(call mb_make_call,_mb_doc_target_$(MB_DOC_FORMAT),help,$(1),$(2))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_doc_target_help,"Prints formatted documention of an help target."," [desc]") +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_target_help,"Prints formatted documention of an help target."," [desc]") define mb_doc_target_run - $(call mb_make_call,_mb_doc_target_$(MB_DOC_FORMAT),run,$(1),$(2)) + $(eval MB_DOC_FIRE_TARGET_RUN += $$(call mb_make_call,_mb_doc_target_$$(MB_DOC_FORMAT),run,$(1),$(2))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_doc_target_run,"Prints formatted documention of an run target."," [desc]") +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_target_run,"Prints formatted documention of an run target."," [desc]") define mb_doc_flow_help @help: $$(if $$(filter txt,$$(MB_DOC_FORMAT)),@echo "Welcome to the $$(if $(1),$(1),MSXBUILD) help system.\\n\\nFor detailed fire help use one of the following targets;\\n") $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_xml_open,$$(MB_DOC_XML_ROOT))) - @echo $$(MB_DOC_FIRE_HELP) + @echo $$(MB_DOC_FIRE_TARGET_HELP) $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_xml_close,$$(MB_DOC_XML_ROOT))) .PHONY: @help @@ -136,8 +151,8 @@ define mb_doc_flow_help $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) @echo $$(MB_DOC_FIRE_VARIABLE) $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) +$$(call mb_make_call,mb_doc_target_help,@help-variable,"Lists build variables.") .PHONY: @help-variable -MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@help-variable,"Lists build variables.") @help-variable-deep: @@ -145,8 +160,8 @@ MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@help-variable,"List $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) @echo $$(MB_DOC_FIRE_VARIABLE_DEEP) $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) +$$(call mb_make_call,mb_doc_target_help,@help-variable-deep,"Lists deep variables.") .PHONY: @help-variable-deep -MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@help-variable-deep,"Lists deep variables.") @help-variable-rock: @@ -154,8 +169,8 @@ MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@help-variable-deep, $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) @echo $$(MB_DOC_FIRE_VARIABLE_ROCK) $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) +$$(call mb_make_call,mb_doc_target_help,@help-variable-rock,"Lists rock variables.") .PHONY: @help-variable-rock -MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@help-variable-rock,"Lists rock variables.") @help-variable-flow: @@ -163,8 +178,8 @@ MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@help-variable-rock, $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) @echo $$(MB_DOC_FIRE_VARIABLE_FLOW) $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) +$$(call mb_make_call,mb_doc_target_help,@help-variable-flow,"Lists flow variables.") .PHONY: @help-variable-flow -MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@help-variable-flow,"Lists flow variables.") @help-function: @@ -172,8 +187,8 @@ MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@help-variable-flow, $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) @echo $$(MB_DOC_FIRE_FUNCTION) $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) +$$(call mb_make_call,mb_doc_target_help,@help-function,"Lists build functions.") .PHONY: @help-function -MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@help-function,"Lists build functions.") @help-function-deep: @@ -181,8 +196,8 @@ MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@help-function,"List $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) @echo $$(MB_DOC_FIRE_FUNCTION_DEEP) $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) +$$(call mb_make_call,mb_doc_target_help,@help-function-deep,"Lists deep functions.") .PHONY: @help-function-deep -MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@help-function-deep,"Lists deep functions.") @help-function-flow: @@ -190,8 +205,8 @@ MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@help-function-deep, $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) @echo $$(MB_DOC_FIRE_FUNCTION_FLOW) $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) +$$(call mb_make_call,mb_doc_target_help,@help-function-flow,"Lists flow functions.") .PHONY: @help-function-flow -MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@help-function-flow,"Lists flow functions.") @help-target: @@ -199,8 +214,8 @@ MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@help-function-flow, $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) @echo $$(MB_DOC_FIRE_TARGET) $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) +$$(call mb_make_call,mb_doc_target_help,@help-target,"Lists build targets.") .PHONY: @help-target -MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@help-target,"Lists build targets.") @help-target-deep: @@ -208,8 +223,8 @@ MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@help-target,"Lists $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) @echo $$(MB_DOC_FIRE_TARGET_DEEP) $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) +$$(call mb_make_call,mb_doc_target_help,@help-target-deep,"Lists deep targets.") .PHONY: @help-target-deep -MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@help-target-deep,"Lists deep targets.") @help-target-run: @@ -217,8 +232,8 @@ MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@help-target-deep,"L $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) @echo $$(MB_DOC_FIRE_TARGET_RUN) $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) +$$(call mb_make_call,mb_doc_target_help,@help-target-run,"Lists runnable targets.") .PHONY: @help-target-run -MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@help-target-run,"Lists runnable targets.") @help-all: @@ -244,16 +259,18 @@ MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@help-target-run,"Li @echo $$(MB_DOC_FIRE_TARGET_DEEP) $$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_make_echo_good,"Targets that run away\\n")) @echo $$(MB_DOC_FIRE_TARGET_RUN) + $$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_make_echo_good,"Targets main project help\\n")) + @echo $$(MB_DOC_FIRE_TARGET_HELP) $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) $$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_make_echo_good,OK)) +$$(call mb_make_call,mb_doc_target_help,@help-all,"Lists all documented information.") .PHONY: @help-all -MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@help-all,"Lists all documented information.") @help-firemake: MB_DOC_FORMAT=xml $$(MAKE) -s @help-all -MB_DOC_FIRE_HELP += $(call mb_make_call,mb_doc_target_help,@help-firemake,"Output all documention in XML for IDE integration.") +$$(call mb_make_call,mb_doc_target_help,@help-firemake,"Output all documention in XML for IDE integration.") .PHONY: @help-firemake endef -MB_DOC_FIRE_FUNCTION_FLOW += $(call mb_make_call,mb_doc_function_flow,mb_doc_flow_help,"Prints flow of fire help target.","[project-name]") +MB_DOC_FIRE_FUNCTION_FLOW += $(call mb_make_call,_mb_doc_function4u_flow,mb_doc_flow_help,"Prints flow of fire help target.","[project-name]") diff --git a/lib/make/mb_flight.mk b/lib/make/mb_flight.mk index 3739d3c..7704da1 100644 --- a/lib/make/mb_flight.mk +++ b/lib/make/mb_flight.mk @@ -1,21 +1,21 @@ MB_FLIGHT_SCREEN ?= off -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_FLIGHT_SCREEN,"Creates screenshots on exit of openMSX.") +$(call mb_make_call,mb_doc_variable,MB_FLIGHT_SCREEN,"Creates screenshots on exit of openMSX.") MB_FLIGHT_VIDEO ?= off -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_FLIGHT_VIDEO,"Create video of every build pipe session.") +$(call mb_make_call,mb_doc_variable,MB_FLIGHT_VIDEO,"Create video of every build pipe session.") MB_FLIGHT_PREFIX ?= msxbuild -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_FLIGHT_PREFIX,"Prefix for video and screenshot flight files.") +$(call mb_make_call,mb_doc_variable,MB_FLIGHT_PREFIX,"Prefix for video and screenshot flight files.") MB_FLIGHT_SEPERATOR ?= - -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_FLIGHT_SEPERATOR,"File and index number seperator for flight files.") +$(call mb_make_call,mb_doc_variable,MB_FLIGHT_SEPERATOR,"File and index number seperator for flight files.") MB_FLIGHT_RECORD_FLAG ?= -doublesize -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_FLIGHT_RECORD_FLAG,"Command parameter flag of the video recorder.") +$(call mb_make_call,mb_doc_variable,MB_FLIGHT_RECORD_FLAG,"Command parameter flag of the video recorder.") MB_FLIGHT_VIDEO_NAME ?= night-flight -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_FLIGHT_VIDEO_NAME,"Video file name of the final result merged video.") +$(call mb_make_call,mb_doc_variable,MB_FLIGHT_VIDEO_NAME,"Video file name of the final result merged video.") define mb_flight_video_merge @@ -24,7 +24,7 @@ define mb_flight_video_merge ffmpeg -v quiet -y -f concat -i $(1)/$(MB_FLIGHT_VIDEO_NAME).lst -c copy $(1)/$(MB_FLIGHT_VIDEO_NAME).avi $(call mb_make_call,mb_make_echo_good,Flight video completed) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_flight_video_merge,"Merged all flight videos to one.","") +$(call mb_make_call,mb_doc_function,mb_flight_video_merge,"Merged all flight videos to one.","") define mb_flight_proj_flow_video @@ -36,7 +36,7 @@ define mb_flight_proj_flow_video $$(call mb_make_call,_mb_proj_flow_step_before) MB_OPENMSX_HEADLESS=off MB_FLIGHT_VIDEO=on $$(MAKE) -s @@flight-video-build $$(call mb_make_call,_mb_proj_flow_step_done) -MB_DOC_FIRE_HELP += $(call mb_make_call,mb_doc_target_help,@flight-video-build,"Runs @clean and @build with recorder and merges flight videos to one.") +$$(call mb_make_call,mb_doc_target_help,@flight-video-build,"Runs @clean and @build with recorder and merges flight videos to one.") .PHONY: @flight-video-build @@ -48,7 +48,7 @@ MB_DOC_FIRE_HELP += $(call mb_make_call,mb_doc_target_help,@flight-video-build," $$(call mb_make_call,_mb_proj_flow_step_before) MB_OPENMSX_HEADLESS=off MB_FLIGHT_VIDEO=on $$(MAKE) -s @@flight-video-test $$(call mb_make_call,_mb_proj_flow_step_done) -MB_DOC_FIRE_HELP += $(call mb_make_call,mb_doc_target_help,@flight-video-test,"Runs @clean and @test with recorder and merges flight videos to one.") +$$(call mb_make_call,mb_doc_target_help,@flight-video-test,"Runs @clean and @test with recorder and merges flight videos to one.") .PHONY: @flight-video-test @@ -60,8 +60,8 @@ MB_DOC_FIRE_HELP += $(call mb_make_call,mb_doc_target_help,@flight-video-test,"R $$(call mb_make_call,_mb_proj_flow_step_before) MB_OPENMSX_HEADLESS=off MB_FLIGHT_VIDEO=on $$(MAKE) -s @@flight-video-package-qa $$(call mb_make_call,_mb_proj_flow_step_done) -MB_DOC_FIRE_HELP += $(call mb_make_call,mb_doc_target_help,@flight-video-package-qa,"Runs @clean and @package-qa with recorder and merges flight videos to one.") +$$(call mb_make_call,mb_doc_target_help,@flight-video-package-qa,"Runs @clean and @package-qa with recorder and merges flight videos to one.") .PHONY: @flight-video-package-qa endef -MB_DOC_FIRE_FUNCTION_FLOW += $(call mb_make_call,mb_doc_function_flow,mb_flight_proj_flow_video,"Prints flow for adding flight video targets in project build cycle.","") +$(call mb_make_call,mb_doc_function_flow,mb_flight_proj_flow_video,"Prints flow for adding flight video targets in project build cycle.","") diff --git a/lib/make/mb_make.mk b/lib/make/mb_make.mk index 46dc946..18f5e39 100644 --- a/lib/make/mb_make.mk +++ b/lib/make/mb_make.mk @@ -1,135 +1,135 @@ MB_MAKE_COMMA := , -MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_MAKE_COMMA,"Expanded special char; comma.") +$(call mb_make_call,mb_doc_variable_rock,MB_MAKE_COMMA,"Expanded special char; comma.") MB_MAKE_SPACE := $(subst ,, ) -MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_MAKE_SPACE,"Expanded special char; space.") +$(call mb_make_call,mb_doc_variable_rock,MB_MAKE_SPACE,"Expanded special char; space.") MB_MAKE_EQUALS := = -MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_MAKE_EQUALS,"Expanded special char; equals.") +$(call mb_make_call,mb_doc_variable_rock,MB_MAKE_EQUALS,"Expanded special char; equals.") MB_MAKE_BRACKET_RL := "(" -MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_MAKE_BRACKET_RL,"Expanded special char; bracket round left.") +$(call mb_make_call,mb_doc_variable_rock,MB_MAKE_BRACKET_RL,"Expanded special char; bracket round left.") MB_MAKE_BRACKET_RR := ")" -MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_MAKE_BRACKET_RR,"Expanded special char; bracket round right.") +$(call mb_make_call,mb_doc_variable_rock,MB_MAKE_BRACKET_RR,"Expanded special char; bracket round right.") MB_MAKE_BRACKET_SL := "[" -MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_MAKE_BRACKET_SL,"Expanded special char; bracket square left.") +$(call mb_make_call,mb_doc_variable_rock,MB_MAKE_BRACKET_SL,"Expanded special char; bracket square left.") MB_MAKE_BRACKET_SR := "]" -MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_MAKE_BRACKET_SR,"Expanded special char; bracket square right.") +$(call mb_make_call,mb_doc_variable_rock,MB_MAKE_BRACKET_SR,"Expanded special char; bracket square right.") MB_MAKE_BRACKET_CL := "{" -MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_MAKE_BRACKET_CL,"Expanded special char; bracket curly left.") +$(call mb_make_call,mb_doc_variable_rock,MB_MAKE_BRACKET_CL,"Expanded special char; bracket curly left.") MB_MAKE_BRACKET_CR := "}" -MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_MAKE_BRACKET_CR,"Expanded special char; bracket curly right.") +$(call mb_make_call,mb_doc_variable_rock,MB_MAKE_BRACKET_CR,"Expanded special char; bracket curly right.") MB_MAKE_GT := ">" -MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_MAKE_GT,"Expanded special char; greater than.") +$(call mb_make_call,mb_doc_variable_rock,MB_MAKE_GT,"Expanded special char; greater than.") MB_MAKE_LT := "<" -MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_MAKE_LT,"Expanded special char; lesser than.") +$(call mb_make_call,mb_doc_variable_rock,MB_MAKE_LT,"Expanded special char; lesser than.") MB_MAKE_CDATA_START := "" -MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_MAKE_CDATA_END,"Expanded special char; XML cdata end.") +$(call mb_make_call,mb_doc_variable_rock,MB_MAKE_CDATA_END,"Expanded special char; XML cdata end.") MB_MAKE_ECHO_CRAYON ?= "===" -MB_DOC_FIRE_VARIABLE_DEEP += $(call mb_make_call,mb_doc_variable_deep,MB_MAKE_ECHO_CRAYON,"Crayon marker for result step output echo's.") +$(call mb_make_call,mb_doc_variable_deep,MB_MAKE_ECHO_CRAYON,"Crayon marker for result step output echo's.") define mb_make_rwildcard $(foreach d,$(wildcard $1*),$(call mb_make_rwildcard,$d/,$2) $(filter $(subst *,%,$2),$d)) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_make_rwildcard,"Recursive wildcard search."," ") +$(call mb_make_call,mb_doc_function_deep,mb_make_rwildcard,"Recursive wildcard search."," ") define mb_make_call $(if $(filter file,$(origin $(1))),$(call $(1),$(2),$(3),$(4),$(5),$(6),$(7),$(8),$(9)),$(error $(1) is not defined)) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_make_call,"Checked origin call function wrapper."," [args...]") +$(call mb_make_call,mb_doc_function_deep,mb_make_call,"Checked origin call function wrapper."," [args...]") define mb_make_call_eval $(eval $(call mb_make_call,$(1),$(2),$(3),$(4),$(5),$(6),$(7),$(8),$(9))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_make_call_eval,"Eval and origin checked flow injector."," [args...]") +$(call mb_make_call,mb_doc_function_deep,mb_make_call_eval,"Eval and origin checked flow injector."," [args...]") define mb_make_lowercase $(subst A,a,$(subst B,b,$(subst C,c,$(subst D,d,$(subst E,e,$(subst F,f,$(subst G,g,$(subst H,h,$(subst I,i,$(subst J,j,$(subst K,k,$(subst L,l,$(subst M,m,$(subst N,n,$(subst O,o,$(subst P,p,$(subst Q,q,$(subst R,r,$(subst S,s,$(subst T,t,$(subst U,u,$(subst V,v,$(subst W,w,$(subst X,x,$(subst Y,y,$(subst Z,z,$(1))))))))))))))))))))))))))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_make_lowercase,"Converts ascii string to lowercase.","") +$(call mb_make_call,mb_doc_function_deep,mb_make_lowercase,"Converts ascii string to lowercase.","") define mb_make_uppercase $(subst a,A,$(subst b,B,$(subst c,C,$(subst d,D,$(subst e,E,$(subst f,F,$(subst g,G,$(subst h,H,$(subst i,I,$(subst j,J,$(subst k,K,$(subst l,L,$(subst m,M,$(subst n,N,$(subst o,O,$(subst p,P,$(subst q,Q,$(subst r,R,$(subst s,S,$(subst t,T,$(subst u,U,$(subst v,V,$(subst w,W,$(subst x,X,$(subst y,Y,$(subst z,Z,$(1))))))))))))))))))))))))))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_make_uppercase,"Converts ascii string to uppercase.","") +$(call mb_make_call,mb_doc_function_deep,mb_make_uppercase,"Converts ascii string to uppercase.","") define mb_make_xml_open $(MB_MAKE_LT)$(1)$(if $(2), $(2)=\"$(3)\")$(if $(4), $(4)=\"$(5)\")$(MB_MAKE_GT) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_make_xml_open,"Print xml open tag."," [attr1-name] [attr1-value] [attr2-name] [attr2-value]") +$(call mb_make_call,mb_doc_function_deep,mb_make_xml_open,"Print xml open tag."," [attr1-name] [attr1-value] [attr2-name] [attr2-value]") define mb_make_xml_close $(MB_MAKE_LT)/$(1)$(MB_MAKE_GT) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_make_xml_close,"Print xml close tag.","") +$(call mb_make_call,mb_doc_function_deep,mb_make_xml_close,"Print xml close tag.","") define mb_make_xml_value $(MB_MAKE_CDATA_START)$(subst $(MB_MAKE_CDATA_START),,$(subst $(MB_MAKE_CDATA_END),,$(1)))$(MB_MAKE_CDATA_END) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_make_xml_value,"Print xml value in cdata wrapper.","") +$(call mb_make_call,mb_doc_function_deep,mb_make_xml_value,"Print xml value in cdata wrapper.","") define mb_make_xml_tag_value $(if $(2),$(call mb_make_call,mb_make_xml_open,$(1))$(call mb_make_call,mb_make_xml_value,$(2))$(call mb_make_call,mb_make_xml_close,$(1))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_make_xml_tag_value,"Print xml tag with value inside."," [value]") +$(call mb_make_call,mb_doc_function_deep,mb_make_xml_tag_value,"Print xml tag with value inside."," [value]") define mb_make_flow_0module include $$(call mb_make_call,mb_make_rwildcard,$(1),*/0module.mk) endef -MB_DOC_FIRE_FUNCTION_FLOW += $(call mb_make_call,mb_doc_function_flow,mb_make_flow_0module,"Prints flow of recursive 0module.mk include.","") +$(call mb_make_call,mb_doc_function_flow,mb_make_flow_0module,"Prints flow of recursive 0module.mk include.","") define mb_make_echo_good $(if $(filter -1,$(MB_OS_COLORS)),@echo $(MB_MAKE_ECHO_CRAYON) $(1),$(MB_OS_ECHO) -e "\x1B[32m$(MB_MAKE_ECHO_CRAYON)$$1\x1B[39m $(1)") endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_make_echo_good,"Echo's an message to stdout with 'green' crayon.","") +$(call mb_make_call,mb_doc_function_deep,mb_make_echo_good,"Echo's an message to stdout with 'green' crayon.","") define mb_make_echo_fail $(if $(filter -1,$(MB_OS_COLORS)),@echo $(MB_MAKE_ECHO_CRAYON) $(1),$(MB_OS_ECHO) -e "\x1B[31m$(MB_MAKE_ECHO_CRAYON)$$1\x1B[39m $(1)") endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_make_echo_fail,"Echo's an message to stdout with 'red' crayon.","") +$(call mb_make_call,mb_doc_function_deep,mb_make_echo_fail,"Echo's an message to stdout with 'red' crayon.","") define mb_make_echo_command $(if $(filter -1,$(MB_OS_COLORS)),@echo $(MB_MAKE_ECHO_CRAYON) $(1),$(MB_OS_ECHO) -e "\x1B[34m$(MB_MAKE_ECHO_CRAYON)$$1\x1B[39m $(1)") endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_make_echo_command,"Echo's an message to stdout with 'blue' crayon.","") +$(call mb_make_call,mb_doc_function_deep,mb_make_echo_command,"Echo's an message to stdout with 'blue' crayon.","") define mb_make_echo_phase $(if $(filter -1,$(MB_OS_COLORS)),@echo $(MB_MAKE_ECHO_CRAYON) $(1),$(MB_OS_ECHO) -e "\x1B[35m$(MB_MAKE_ECHO_CRAYON)$$1\x1B[39m $(1)") endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_make_echo_phase,"Echo's an message to stdout with 'magenta' crayon.","") +$(call mb_make_call,mb_doc_function_deep,mb_make_echo_phase,"Echo's an message to stdout with 'magenta' crayon.","") define mb_make_echo_assert $(if $(filter -1,$(MB_OS_COLORS)),@echo $(MB_MAKE_ECHO_CRAYON) $(1),$(MB_OS_ECHO) -e "\x1B[33m$(MB_MAKE_ECHO_CRAYON)$$1\x1B[39m $(1)") endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_make_echo_assert,"Echo's an message to stdout with 'yellow' crayon.","") +$(call mb_make_call,mb_doc_function_deep,mb_make_echo_assert,"Echo's an message to stdout with 'yellow' crayon.","") # Last color: Cyan: \u001b[36m diff --git a/lib/make/mb_msxhub.mk b/lib/make/mb_msxhub.mk index 2fb150d..46ecebf 100644 --- a/lib/make/mb_msxhub.mk +++ b/lib/make/mb_msxhub.mk @@ -1,9 +1,9 @@ MB_MSXHUB_API ?= https://msxhub.com/api -MB_DOC_FIRE_VARIABLE_DEEP += $(call mb_make_call,mb_doc_variable_deep,MB_MSXHUB_API,"Resource identifier for msxhub package rest api.") +$(call mb_make_call,mb_doc_variable_deep,MB_MSXHUB_API,"Resource identifier for msxhub package rest api.") MB_MSXHUB_CACHE ?= $(MB_OS_CACHE)/msxbuild/msxhub -MB_DOC_FIRE_VARIABLE_DEEP += $(call mb_make_call,mb_doc_variable_deep,MB_MSXHUB_CACHE,"Cache storage location.") +$(call mb_make_call,mb_doc_variable_deep,MB_MSXHUB_CACHE,"Cache storage location.") define _mb_msxhub_file_fetch @@ -18,7 +18,7 @@ define mb_msxhub_file $(if $(wildcard $(MB_MSXHUB_CACHE)/$(2)),,$(call mb_make_call,_mb_msxhub_file_fetch,$(MB_MSXHUB_API)/$(2))) $(if $(wildcard $(1)/$(call mb_make_call,mb_make_lowercase,$(notdir $(2)))),,$(call mb_make_call,mb_os_file_copy,$(MB_MSXHUB_CACHE)/$(2),$(1)/$(call mb_make_call,mb_make_lowercase,$(notdir $(2))))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxhub_file,"Installs msxsub packages from slug into dir."," ") +$(call mb_make_call,mb_doc_function_deep,mb_msxhub_file,"Installs msxsub packages from slug into dir."," ") # @@ -28,21 +28,21 @@ define mb_msxhub_get_msxdos1_boot $(call mb_make_call,mb_msxhub_file,$(1),MSXDOS1/1.03-2/get/MSXDOS1/MSXDOS.SYS) $(call mb_make_call,mb_msxhub_file,$(1),MSXDOS1/1.03-2/get/MSXDOS1/COMMAND.COM) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxhub_get_msxdos1_boot,"Installs msxdos1 boot files into dir.","") +$(call mb_make_call,mb_doc_function_deep,mb_msxhub_get_msxdos1_boot,"Installs msxdos1 boot files into dir.","") define mb_msxhub_get_msxdos2_boot $(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/MSXDOS2.SYS) $(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/COMMAND2.COM) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxhub_get_msxdos2_boot,"Installs msxdos2 boot files into dir.","") +$(call mb_make_call,mb_doc_function_deep,mb_msxhub_get_msxdos2_boot,"Installs msxdos2 boot files into dir.","") define mb_msxhub_get_nextor_boot $(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/NEXTOR.SYS) $(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/COMMAND2.COM) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxhub_get_nextor_boot,"Installs nextor boot files into dir.","") +$(call mb_make_call,mb_doc_function_deep,mb_msxhub_get_nextor_boot,"Installs nextor boot files into dir.","") # @@ -57,7 +57,7 @@ define mb_msxhub_get_msxdos2_utils $(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/XDIR.COM) $(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/KMODE.COM) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxhub_get_msxdos2_utils,"Installs msxdos2 util files into dir.","") +$(call mb_make_call,mb_doc_function,mb_msxhub_get_msxdos2_utils,"Installs msxdos2 util files into dir.","") define mb_msxhub_get_nextor_utils @@ -75,7 +75,7 @@ define mb_msxhub_get_nextor_utils $(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/NEXBOOT.COM) $(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/CONCLUS.COM) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxhub_get_nextor_utils,"Installs nextor util files into dir.","") +$(call mb_make_call,mb_doc_function,mb_msxhub_get_nextor_utils,"Installs nextor util files into dir.","") # @@ -87,7 +87,7 @@ define mb_msxhub_get_macro80 $(call mb_make_call,mb_msxhub_file,$(1),MACRO80/2.0-1/get/MACRO80/LIB80.COM) $(call mb_make_call,mb_msxhub_file,$(1),MACRO80/2.0-1/get/MACRO80/M80.COM) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxhub_get_macro80,"Installs macro80 package into dir.","") +$(call mb_make_call,mb_doc_function,mb_msxhub_get_macro80,"Installs macro80 package into dir.","") define mb_msxhub_get_z80asmuk @@ -101,7 +101,7 @@ define mb_msxhub_get_z80asmuk $(call mb_make_call,mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/ZSMPP.COM) $(call mb_make_call,mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/HEXTOBIN.COM) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxhub_get_z80asmuk,"Installs z80asmuk package into dir.","") +$(call mb_make_call,mb_doc_function,mb_msxhub_get_z80asmuk,"Installs z80asmuk package into dir.","") define mb_msxhub_get_wbass2 @@ -109,14 +109,14 @@ define mb_msxhub_get_wbass2 $(call mb_make_call,mb_msxhub_file,$(1),WBASS2/2.0-1/get/WBASS2/wbass2.bin) $(call mb_make_call,mb_msxhub_file,$(1),WBASS2/2.0-1/get/WBASS2/wbass2.bas) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxhub_get_wbass2,"Installs wbass2 package into dir.","") +$(call mb_make_call,mb_doc_function,mb_msxhub_get_wbass2,"Installs wbass2 package into dir.","") define mb_msxhub_get_konpass $(call mb_make_call,mb_msxhub_file,$(1),KONPASS/1.3.0-1/get/KONPASS/KONPASS.COM) $(call mb_make_call,mb_msxhub_file,$(1),KONPASS/1.3.0-1/get/KONPASS/KONPASS.DAT) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxhub_get_konpass,"Installs konpass package into dir.","") +$(call mb_make_call,mb_doc_function,mb_msxhub_get_konpass,"Installs konpass package into dir.","") # @@ -130,37 +130,37 @@ define mb_msxhub_get_pmarc $(call mb_make_call,mb_msxhub_file,$(1),PMARC/2.0-1/get/PMARC/PMSET.COM) $(call mb_make_call,mb_msxhub_file,$(1),PMARC/2.0-1/get/PMARC/PMSFX2.COM) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxhub_get_pmarc,"Installs pmarc package into dir.","") +$(call mb_make_call,mb_doc_function,mb_msxhub_get_pmarc,"Installs pmarc package into dir.","") define mb_msxhub_get_lhpack $(call mb_make_call,mb_msxhub_file,$(1),LHPACK/1.00-1/get/LHPACK/lhpack.com) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxhub_get_lhpack,"Installs lhpack package into dir.","") +$(call mb_make_call,mb_doc_function,mb_msxhub_get_lhpack,"Installs lhpack package into dir.","") define mb_msxhub_get_lhext $(call mb_make_call,mb_msxhub_file,$(1),LHEXT/1.34-2/get/LHEXT/lhext.com) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxhub_get_lhext,"Installs lhext package into dir.","") +$(call mb_make_call,mb_doc_function,mb_msxhub_get_lhext,"Installs lhext package into dir.","") define mb_msxhub_get_gunzip $(call mb_make_call,mb_msxhub_file,$(1),GUNZIP/1.1-1/get/GUNZIP/gunzip.com) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxhub_get_gunzip,"Installs gunzip package into dir.","") +$(call mb_make_call,mb_doc_function,mb_msxhub_get_gunzip,"Installs gunzip package into dir.","") define mb_msxhub_get_tunzip $(call mb_make_call,mb_msxhub_file,$(1),TUNZIP/0.91-1/get/TUNZIP/tunzip.com) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxhub_get_tunzip,"Installs tunzip package into dir.","") +$(call mb_make_call,mb_doc_function,mb_msxhub_get_tunzip,"Installs tunzip package into dir.","") define mb_msxhub_get_popcom $(call mb_make_call,mb_msxhub_file,$(1),POPCOM/1.00-2/get/POPCOM/popcom.com) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxhub_get_popcom,"Installs popcom package into dir.","") +$(call mb_make_call,mb_doc_function,mb_msxhub_get_popcom,"Installs popcom package into dir.","") # @@ -169,43 +169,43 @@ MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxhub_get_popcom define mb_msxhub_get_make $(call mb_make_call,mb_msxhub_file,$(1),MAKE/2.00-1/get/MAKE/MAKE.COM) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxhub_get_make,"Installs make package into dir.","") +$(call mb_make_call,mb_doc_function,mb_msxhub_get_make,"Installs make package into dir.","") define mb_msxhub_get_adir $(call mb_make_call,mb_msxhub_file,$(1),ADIR/1.3-1/get/ADIR/adir.com) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxhub_get_adir,"Installs adir package into dir.","") +$(call mb_make_call,mb_doc_function,mb_msxhub_get_adir,"Installs adir package into dir.","") define mb_msxhub_get_turbo $(call mb_make_call,mb_msxhub_file,$(1),TURBO/2.1-1/get/TURBO/turbo.com) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxhub_get_turbo,"Installs turbo package into dir.","") +$(call mb_make_call,mb_doc_function,mb_msxhub_get_turbo,"Installs turbo package into dir.","") define mb_msxhub_get_baskom $(call mb_make_call,mb_msxhub_file,$(1),BASKOM/1.0-1/get/BASKOM/baskom.com) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxhub_get_baskom,"Installs baskom package into dir.","") +$(call mb_make_call,mb_doc_function,mb_msxhub_get_baskom,"Installs baskom package into dir.","") define mb_msxhub_get_binldr $(call mb_make_call,mb_msxhub_file,$(1),BINLDR/1.0.2-1/get/BINLDR/BINLDR.COM) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxhub_get_binldr,"Installs binldr package into dir.","") +$(call mb_make_call,mb_doc_function,mb_msxhub_get_binldr,"Installs binldr package into dir.","") define mb_msxhub_get_dmphex $(call mb_make_call,mb_msxhub_file,$(1),DMPHEX/1.00-1/get/DMPHEX/dmphex.com) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxhub_get_dmphex,"Installs dmphex package into dir.","") +$(call mb_make_call,mb_doc_function,mb_msxhub_get_dmphex,"Installs dmphex package into dir.","") define mb_msxhub_get_zd $(call mb_make_call,mb_msxhub_file,$(1),ZD/3.30-1/get/ZD/zd.com) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxhub_get_zd,"Installs zd package into dir.","") +$(call mb_make_call,mb_doc_function,mb_msxhub_get_zd,"Installs zd package into dir.","") define mb_msxhub_get_msxdos2t @@ -233,7 +233,7 @@ define mb_msxhub_get_msxdos2t $(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/VIEW.COM) $(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/WC.COM) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxhub_get_msxdos2t,"Installs msxdos2t package into dir.","") +$(call mb_make_call,mb_doc_function,mb_msxhub_get_msxdos2t,"Installs msxdos2t package into dir.","") # @@ -242,5 +242,5 @@ MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxhub_get_msxdos define mb_msxhub_get_gfxage $(call mb_make_call,mb_msxhub_file,$(1),GFXAGE/0.99-1/get/GFXAGE/gfxage.com) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxhub_get_gfxage,"Installs gfxage package into dir.","") +$(call mb_make_call,mb_doc_function,mb_msxhub_get_gfxage,"Installs gfxage package into dir.","") diff --git a/lib/make/mb_msxpipe.mk b/lib/make/mb_msxpipe.mk index 46574ed..eb6abaf 100644 --- a/lib/make/mb_msxpipe.mk +++ b/lib/make/mb_msxpipe.mk @@ -1,21 +1,21 @@ MB_MSXPIPE_COLOR_FG_SAFE_CMD ?= 116 -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_MSXPIPE_COLOR_FG_SAFE_CMD,"Foreground color of safe command session pipe.") +$(call mb_make_call,mb_doc_variable,MB_MSXPIPE_COLOR_FG_SAFE_CMD,"Foreground color of safe command session pipe.") MB_MSXPIPE_COLOR_BG_SAFE_CMD ?= 000 -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_MSXPIPE_COLOR_BG_SAFE_CMD,"Background color of safe command session pipe.") +$(call mb_make_call,mb_doc_variable,MB_MSXPIPE_COLOR_BG_SAFE_CMD,"Background color of safe command session pipe.") MB_MSXPIPE_COLOR_FG_SAFE_TEST ?= 511 -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_MSXPIPE_COLOR_FG_SAFE_TEST,"Foreground color of safe test session pipe.") +$(call mb_make_call,mb_doc_variable,MB_MSXPIPE_COLOR_FG_SAFE_TEST,"Foreground color of safe test session pipe.") MB_MSXPIPE_COLOR_BG_SAFE_TEST ?= 000 -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_MSXPIPE_COLOR_BG_SAFE_TEST,"Background color of safe test session pipe.") +$(call mb_make_call,mb_doc_variable,MB_MSXPIPE_COLOR_BG_SAFE_TEST,"Background color of safe test session pipe.") MB_MSXPIPE_COLOR_FG_RUN_GUI ?= 151 -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_MSXPIPE_COLOR_FG_RUN_GUI,"Foreground color of run gui session pipe.") +$(call mb_make_call,mb_doc_variable,MB_MSXPIPE_COLOR_FG_RUN_GUI,"Foreground color of run gui session pipe.") MB_MSXPIPE_COLOR_BG_RUN_GUI ?= 000 -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_MSXPIPE_COLOR_BG_RUN_GUI,"Background color of run gui session pipe.") +$(call mb_make_call,mb_doc_variable,MB_MSXPIPE_COLOR_BG_RUN_GUI,"Background color of run gui session pipe.") define mb_msxpipe_safe_cmd @@ -24,7 +24,7 @@ define mb_msxpipe_safe_cmd $(call mb_make_call,mb_autoexec_append_exit,$(1)) $(call mb_make_call,mb_openmsx_dosctl,$(1),$(3)) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxpipe_safe_cmd,"Runs openMSX and safely executes one command."," [machine]") +$(call mb_make_call,mb_doc_function,mb_msxpipe_safe_cmd,"Runs openMSX and safely executes one command."," [machine]") define mb_msxpipe_safe_test @@ -33,7 +33,7 @@ define mb_msxpipe_safe_test $(call mb_make_call,mb_autoexec_append_exit,$(1)) $(call mb_make_call,mb_openmsx_dosctl,$(1),$(3)) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxpipe_safe_test,"Runs openMSX and safely executes one test."," [machine]") +$(call mb_make_call,mb_doc_function,mb_msxpipe_safe_test,"Runs openMSX and safely executes one test."," [machine]") define _mb_msxpipe_run_gui @@ -51,11 +51,11 @@ endef define mb_msxpipe_run_gui $(call mb_make_call,_mb_msxpipe_run_gui,$(1),$(2),$(3)) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxpipe_run_gui,"Runs openMSX forever with renderer and optionals."," [cmd] [machine]") +$(call mb_make_call,mb_doc_function,mb_msxpipe_run_gui,"Runs openMSX forever with renderer and optionals."," [cmd] [machine]") define mb_msxpipe_run_gui_mouse $(call mb_make_call,_mb_msxpipe_run_gui,$(1),$(2),$(3),mouse) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_msxpipe_run_gui_mouse,"Runs openMSX forever with renderer and mouse and optionals."," [cmd] [machine]") +$(call mb_make_call,mb_doc_function,mb_msxpipe_run_gui_mouse,"Runs openMSX forever with renderer and mouse and optionals."," [cmd] [machine]") diff --git a/lib/make/mb_msxrom.mk b/lib/make/mb_msxrom.mk index ee17b9a..2a24165 100644 --- a/lib/make/mb_msxrom.mk +++ b/lib/make/mb_msxrom.mk @@ -1,10 +1,10 @@ # TODO: Convert pi MSX number data, the named pie slices to hyperdrive storage for distribution over red sea MB_MSXROM_API ?= https://msxrom.distributedrebirth.love/calc-pi/v19.1 -MB_DOC_FIRE_VARIABLE_DEEP += $(call mb_make_call,mb_doc_variable_deep,MB_MSXROM_API,"Resource identifier for msxrom pi pie named slices data.") +$(call mb_make_call,mb_doc_variable_deep,MB_MSXROM_API,"Resource identifier for msxrom pi pie named slices data.") MB_MSXROM_CACHE ?= $(MB_OS_CACHE)/msxbuild/msxrom -MB_DOC_FIRE_VARIABLE_DEEP += $(call mb_make_call,mb_doc_variable_deep,MB_MSXROM_CACHE,"Cache storage location.") +$(call mb_make_call,mb_doc_variable_deep,MB_MSXROM_CACHE,"Cache storage location.") define _mb_msxrom_file_fetch @@ -18,7 +18,7 @@ define mb_msxrom_file $(if $(wildcard $(MB_MSXROM_CACHE)/$(2)),,$(call mb_make_call,_mb_msxrom_file_fetch,$(MB_MSXROM_API)/$(2))) $(if $(wildcard $(1)/$(notdir $(2))),,$(call mb_make_call,mb_os_file_copy,$(MB_MSXROM_CACHE)/$(2),$(1)/$(notdir $(2)))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_file,"Installs msxroms from slug into an subdir."," ") +$(call mb_make_call,mb_doc_function_deep,mb_msxrom_file,"Installs msxroms from slug into an subdir."," ") define mb_msxrom_setup @@ -28,79 +28,79 @@ define mb_msxrom_setup $(if $(wildcard $(1)-omsx/share/systemroms/machines),,$(call mb_make_call,mb_os_dir_create,$(1)-omsx/share/systemroms/machines)) $(if $(wildcard $(1)-omsx/share/systemroms/extensions),,$(call mb_make_call,mb_os_dir_create,$(1)-omsx/share/systemroms/extensions)) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_setup,"Creates needed systemrom folders.","") +$(call mb_make_call,mb_doc_function_deep,mb_msxrom_setup,"Creates needed systemrom folders.","") define mb_msxrom_extension_ide $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/ide240.dat) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_ide,"Installs sunrise IDE classic rom.","") +$(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_ide,"Installs sunrise IDE classic rom.","") define mb_msxrom_extension_ide_nextor $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/Nextor-2.1.1.SunriseIDE.ROM) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_ide_nextor,"Installs sunrise IDE nextor rom.","") +$(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_ide_nextor,"Installs sunrise IDE nextor rom.","") define mb_msxrom_extension_scsi_novaxis $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/novaxis.rom) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_scsi_novaxis,"Installs Gouda SCSI novaxis rom.","") +$(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_scsi_novaxis,"Installs Gouda SCSI novaxis rom.","") define mb_msxrom_extension_msxdos22 $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/msxdos22.rom) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_msxdos22,"Installs msxdos 2.20 rom.","") +$(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_msxdos22,"Installs msxdos 2.20 rom.","") define mb_msxrom_extension_rs232 $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/rs232.rom) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_rs232,"Installs rs232 rom.","") +$(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_rs232,"Installs rs232 rom.","") define mb_msxrom_extension_fmpac $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/fmpac.rom) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_fmpac,"Installs fmpac rom.","") +$(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_fmpac,"Installs fmpac rom.","") define mb_msxrom_extension_fmpac_en $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/fmpac_en.rom) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_fmpac_en,"Installs fmpac english rom.","") +$(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_fmpac_en,"Installs fmpac english rom.","") define mb_msxrom_extension_moonsound $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,extensions/yrw801.rom) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_moonsound,"Installs moonsound rom.","") +$(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_moonsound,"Installs moonsound rom.","") define mb_msxrom_extension_basickun $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/extensions,machines/sanyo/phc-70fd2_basickun.rom) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_basickun,"Installs basickun compiler rom.","") +$(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_basickun,"Installs basickun compiler rom.","") define mb_msxrom_machine_Canon_V-20 $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/canon/v-20_basic-bios1.rom) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Canon_V-20,"Installs machine roms.","") +$(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Canon_V-20,"Installs machine roms.","") define mb_msxrom_machine_Mitsubishi_ML-F80 $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/mitsubishi/ml-f80_basic-bios1.rom) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Mitsubishi_ML-F80,"Installs machine roms.","") +$(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Mitsubishi_ML-F80,"Installs machine roms.","") define mb_msxrom_machine_Mitsubishi_ML-FX1 $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/mitsubishi/ml-fx1_basic-bios1.rom) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Mitsubishi_ML-FX1,"Installs machine roms.","") +$(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Mitsubishi_ML-FX1,"Installs machine roms.","") define mb_msxrom_machine_Mitsubishi_ML-G3_ES @@ -109,13 +109,13 @@ define mb_msxrom_machine_Mitsubishi_ML-G3_ES $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/mitsubishi/ml-g3_es_msx2sub.rom) $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/mitsubishi/ml-g3_es_rs232.rom) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Mitsubishi_ML-G3_ES,"Installs machine roms.","") +$(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Mitsubishi_ML-G3_ES,"Installs machine roms.","") define mb_msxrom_machine_Philips_VG_8000 $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/philips/vg8000_basic-bios1.rom) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Philips_VG_8000,"Installs machine roms.","") +$(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Philips_VG_8000,"Installs machine roms.","") define mb_msxrom_machine_Philips_VG_8230 @@ -123,7 +123,7 @@ define mb_msxrom_machine_Philips_VG_8230 $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/philips/vg8230_disk.rom) $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/philips/vg8230_msx2sub.rom) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Philips_VG_8230,"Installs machine roms.","") +$(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Philips_VG_8230,"Installs machine roms.","") define mb_msxrom_machine_Philips_NMS_8250 @@ -131,14 +131,14 @@ define mb_msxrom_machine_Philips_NMS_8250 $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/philips/nms8250_msx2sub.rom) $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/philips/nms8250_disk.rom) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Philips_NMS_8250,"Installs machine roms.","") +$(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Philips_NMS_8250,"Installs machine roms.","") define mb_msxrom_machine_Toshiba_HX-21 $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/toshiba/HX21-IC2.BIN) $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/toshiba/HX21-IC3.BIN) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Toshiba_HX-21,"Installs machine roms.","") +$(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Toshiba_HX-21,"Installs machine roms.","") define mb_msxrom_machine_Yamaha_AX200 @@ -146,7 +146,7 @@ define mb_msxrom_machine_Yamaha_AX200 $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/yamaha/ax200_arabic.rom) $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/yamaha/ax200_music.rom) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Yamaha_AX200,"Installs machine roms.","") +$(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Yamaha_AX200,"Installs machine roms.","") define mb_msxrom_machine_Yamaha_YIS-503IIIR @@ -155,7 +155,7 @@ define mb_msxrom_machine_Yamaha_YIS-503IIIR $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/yamaha/yis503iii_cpm.rom) $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/yamaha/yis503iii_net_2.rom) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Yamaha_YIS-503IIIR,"Installs machine roms.","") +$(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Yamaha_YIS-503IIIR,"Installs machine roms.","") define mb_msxrom_machine_Panasonic_FS-A1WSX @@ -167,7 +167,7 @@ define mb_msxrom_machine_Panasonic_FS-A1WSX $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/panasonic/fs-a1wsx_kanjifont.rom) $(call mb_make_call,mb_msxrom_file,$(1)-omsx/share/systemroms/machines,machines/panasonic/fs-a1wsx_msx2psub.rom) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Panasonic_FS-A1WSX,"Installs machine roms.","") +$(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Panasonic_FS-A1WSX,"Installs machine roms.","") define mb_msxrom_machine_Boosted_MSX2_EN @@ -176,7 +176,7 @@ define mb_msxrom_machine_Boosted_MSX2_EN $(call mb_make_call,mb_msxrom_extension_moonsound,$(1)) $(call mb_make_call,mb_msxrom_extension_basickun,$(1)) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Boosted_MSX2_EN,"Installs machine and extensions roms.","") +$(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Boosted_MSX2_EN,"Installs machine and extensions roms.","") define mb_msxrom_machine_Boosted_MSX2+_JP @@ -184,5 +184,5 @@ define mb_msxrom_machine_Boosted_MSX2+_JP $(call mb_make_call,mb_msxrom_extension_moonsound,$(1)) $(call mb_make_call,mb_msxrom_extension_basickun,$(1)) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Boosted_MSX2+_JP,"Installs machine and extensions roms.","") +$(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Boosted_MSX2+_JP,"Installs machine and extensions roms.","") diff --git a/lib/make/mb_openmsx.mk b/lib/make/mb_openmsx.mk index f223bfb..2907f4b 100644 --- a/lib/make/mb_openmsx.mk +++ b/lib/make/mb_openmsx.mk @@ -1,39 +1,39 @@ MB_OPENMSX_BOOT_TIMEOUT ?= 25 -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_OPENMSX_BOOT_TIMEOUT,"Timeout in seconds to guard for boot failures.") +$(call mb_make_call,mb_doc_variable,MB_OPENMSX_BOOT_TIMEOUT,"Timeout in seconds to guard for boot failures.") MB_OPENMSX_BOOT_OS ?= nextor -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_OPENMSX_BOOT_OS,"The default OS to run$(MB_MAKE_COMMA) valid values are; nextor$(MB_MAKE_COMMA)msxdos1$(MB_MAKE_COMMA)msxdos2") +$(call mb_make_call,mb_doc_variable,MB_OPENMSX_BOOT_OS,"The default OS to run$$(MB_MAKE_COMMA) valid values are; nextor$$(MB_MAKE_COMMA)msxdos1$$(MB_MAKE_COMMA)msxdos2") MB_OPENMSX_MACHINE ?= Philips_NMS_8250 -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_OPENMSX_MACHINE,"The default MSX machine to use.",$(MB_OPENMSX_MACHINE)) + $(call mb_make_call,mb_doc_variable,MB_OPENMSX_MACHINE,"The default MSX machine to use.",$(MB_OPENMSX_MACHINE)) MB_OPENMSX_MACHINE_RAM ?= ram1mb -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_OPENMSX_MACHINE_RAM,"Extra ram extension for machine allowed: off$(MB_MAKE_COMMA)ram16k$(MB_MAKE_COMMA)ram64k$(MB_MAKE_COMMA)ram512k$(MB_MAKE_COMMA)ram1mb$(MB_MAKE_COMMA)ram2mb$(MB_MAKE_COMMA)ram4mb") +$(call mb_make_call,mb_doc_variable,MB_OPENMSX_MACHINE_RAM,"Extra ram extension for machine allowed: off$$(MB_MAKE_COMMA)ram16k$$(MB_MAKE_COMMA)ram64k$$(MB_MAKE_COMMA)ram512k$$(MB_MAKE_COMMA)ram1mb$$(MB_MAKE_COMMA)ram2mb$$(MB_MAKE_COMMA)ram4mb") MB_OPENMSX_SPEED ?= $(if $(findstring turboR,$(MB_OPENMSX_MACHINE)),100,333) -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_OPENMSX_SPEED,"The throttled MSX emulation speed.") +$(call mb_make_call,mb_doc_variable,MB_OPENMSX_SPEED,"The throttled MSX emulation speed.") MB_OPENMSX_ARGS ?= -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_OPENMSX_ARGS,"Extra arguments to invoke openMSX with.") +$(call mb_make_call,mb_doc_variable,MB_OPENMSX_ARGS,"Extra arguments to invoke openMSX with.") MB_OPENMSX_HDD_SIZE ?= 4m -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_OPENMSX_HDD_SIZE,"The default fire-hdd disk image size.") +$(call mb_make_call,mb_doc_variable,MB_OPENMSX_HDD_SIZE,"The default fire-hdd disk image size.") MB_OPENMSX_SCALE_FACTOR ?= 3 -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_OPENMSX_SCALE_FACTOR,"The gui scale factor to display the emulation.") +$(call mb_make_call,mb_doc_variable,MB_OPENMSX_SCALE_FACTOR,"The gui scale factor to display the emulation.") MB_OPENMSX_THROTTLE ?= off -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_OPENMSX_THROTTLE,"An on/off flag to control throttling globally.") +$(call mb_make_call,mb_doc_variable,MB_OPENMSX_THROTTLE,"An on/off flag to control throttling globally.") MB_OPENMSX_HEADLESS ?= on -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_OPENMSX_HEADLESS,"Controls if openMSX starts headless.") +$(call mb_make_call,mb_doc_variable,MB_OPENMSX_HEADLESS,"Controls if openMSX starts headless.") MB_OPENMSX_RENDERER ?= SDLGL-PP -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_OPENMSX_RENDERER,"The gui renderer used to display the window head with.") +$(call mb_make_call,mb_doc_variable,MB_OPENMSX_RENDERER,"The gui renderer used to display the window head with.") MB_OPENMSX_LOG_PREFIX ?= "Start openMSX! for " -MB_DOC_FIRE_VARIABLE_DEEP += $(call mb_make_call,mb_doc_variable_deep,MB_OPENMSX_LOG_PREFIX,"Prefix of startup message of openMSX.") +$(call mb_make_call,mb_doc_variable_deep,MB_OPENMSX_LOG_PREFIX,"Prefix of startup message of openMSX.") # Kills valuable info on build server, thus keep off until issues openMSX are solved, rm this variale ASP; MB_OPENMSX_STDOUT_IGNORE ?= off @@ -58,7 +58,7 @@ define mb_openmsx_setup $(call mb_make_call,mb_msxrom_extension_ide_nextor,$(1)) $(call mb_make_call,mb_msxrom_machine_$(2),$(1)) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_openmsx_setup,"Setup openMSX local build home folder for machine."," ") +$(call mb_make_call,mb_doc_function_deep,mb_openmsx_setup,"Setup openMSX local build home folder for machine."," ") define _mb_openmsx_run @@ -111,5 +111,5 @@ define mb_openmsx_dosctl $(if $(wildcard $(1)/command.com),,$(if $(wildcard $(1)/command2.com),,$(call mb_make_call,mb_msxhub_get_$(MB_OPENMSX_BOOT_OS)_boot,$(1)))) $(call mb_make_call,_mb_openmsx_run,$(1),$(if $(2),$(2),$(MB_OPENMSX_MACHINE)),$(if $(3),$(3),$(MB_OPENMSX_MACHINE_RAM))) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_openmsx_dosctl,"Starts openMSX with dos controller."," [machine] [mem-ext]") +$(call mb_make_call,mb_doc_function,mb_openmsx_dosctl,"Starts openMSX with dos controller."," [machine] [mem-ext]") diff --git a/lib/make/mb_os.mk b/lib/make/mb_os.mk index 4716cdf..ace8f35 100644 --- a/lib/make/mb_os.mk +++ b/lib/make/mb_os.mk @@ -25,38 +25,38 @@ else endif -MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_OS_RM,"Native OS delete command.") -MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_OS_RMDIR,"Native OS remove folder command.") -MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_OS_MKDIR,"Native OS create folder command.") -MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_OS_COPY,"Native OS copy command.") -MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_OS_ECHO,"Native OS echo command.") -MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_OS_STDOUT_IGNORE,"Native OS ignore stdout.") -MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_OS_STDERR_IGNORE,"Native OS ignore stderr.") -MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_OS_SEP,"Native OS path seperator.") -MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_OS_CACHE,"Native OS application cache folder.") -MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,MB_OS_COLORS,"Native OS terminal color count support.") +$(call mb_make_call,mb_doc_variable_rock,MB_OS_RM,"Native OS delete command.") +$(call mb_make_call,mb_doc_variable_rock,MB_OS_RMDIR,"Native OS remove folder command.") +$(call mb_make_call,mb_doc_variable_rock,MB_OS_MKDIR,"Native OS create folder command.") +$(call mb_make_call,mb_doc_variable_rock,MB_OS_COPY,"Native OS copy command.") +$(call mb_make_call,mb_doc_variable_rock,MB_OS_ECHO,"Native OS echo command.") +$(call mb_make_call,mb_doc_variable_rock,MB_OS_STDOUT_IGNORE,"Native OS ignore stdout.") +$(call mb_make_call,mb_doc_variable_rock,MB_OS_STDERR_IGNORE,"Native OS ignore stderr.") +$(call mb_make_call,mb_doc_variable_rock,MB_OS_SEP,"Native OS path seperator.") +$(call mb_make_call,mb_doc_variable_rock,MB_OS_CACHE,"Native OS application cache folder.") +$(call mb_make_call,mb_doc_variable_rock,MB_OS_COLORS,"Native OS terminal color count support.") define mb_os_dir_delete $(MB_OS_RMDIR) $(1) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_os_dir_delete,"Delete the full folder.","") +$(call mb_make_call,mb_doc_function,mb_os_dir_delete,"Delete the full folder.","") define mb_os_dir_create $(MB_OS_MKDIR) $(1) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_os_dir_create,"Creates an folder.","") +$(call mb_make_call,mb_doc_function,mb_os_dir_create,"Creates an folder.","") define mb_os_file_delete $(MB_OS_RM) $(1) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_os_file_delete,"Deletes an file.","") +$(call mb_make_call,mb_doc_function,mb_os_file_delete,"Deletes an file.","") define mb_os_file_copy $(MB_OS_COPY) $(1) $(2) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_os_file_copy,"Copy an file."," ") +$(call mb_make_call,mb_doc_function,mb_os_file_copy,"Copy an file."," ") diff --git a/lib/make/mb_proj.mk b/lib/make/mb_proj.mk index b0144be..26de6af 100644 --- a/lib/make/mb_proj.mk +++ b/lib/make/mb_proj.mk @@ -1,64 +1,64 @@ MB_PROJ_META_NAME ?= MSXBUILD -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_PROJ_META_NAME,"The project name.") +$(call mb_make_call,mb_doc_variable,MB_PROJ_META_NAME,"The project name.") MB_PROJ_META_DESCRIPTION ?= -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_PROJ_META_DESCRIPTION,"The project description.") +$(call mb_make_call,mb_doc_variable,MB_PROJ_META_DESCRIPTION,"The project description.") MB_PROJ_META_WEBSITE ?= -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_PROJ_META_WEBSITE,"The project website location.") +$(call mb_make_call,mb_doc_variable,MB_PROJ_META_WEBSITE,"The project website location.") MB_PROJ_STEP_BEFORE_PREFIX ?= "Before -- " -MB_DOC_FIRE_VARIABLE_DEEP += $(call mb_make_call,mb_doc_variable_deep,MB_PROJ_STEP_BEFORE_PREFIX,"Prefix for begin phase message.") +$(call mb_make_call,mb_doc_variable_deep,MB_PROJ_STEP_BEFORE_PREFIX,"Prefix for begin phase message.") MB_PROJ_STEP_AFTER_PREFIX ?= "After ---- " -MB_DOC_FIRE_VARIABLE_DEEP += $(call mb_make_call,mb_doc_variable_deep,MB_PROJ_STEP_AFTER_PREFIX,"Prefix for af phase message.") +$(call mb_make_call,mb_doc_variable_deep,MB_PROJ_STEP_AFTER_PREFIX,"Prefix for af phase message.") MB_PROJ_STEP_DONE_PREFIX ?= "Finished executing prime pi target " -MB_DOC_FIRE_VARIABLE_DEEP += $(call mb_make_call,mb_doc_variable_deep,MB_PROJ_STEP_DONE_PREFIX,"Prefix for af phase message.") +$(call mb_make_call,mb_doc_variable_deep,MB_PROJ_STEP_DONE_PREFIX,"Prefix for af phase message.") MB_PROJ_PHASE_PROCESS_DEPS ?= -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,mb_doc_variable_flow,MB_PROJ_PHASE_PROCESS_DEPS,"The targets to trigger by project @process target.") +$(call mb_make_call,mb_doc_variable_flow,MB_PROJ_PHASE_PROCESS_DEPS,"The targets to trigger by project @process target.") MB_PROJ_PHASE_COMPILE_DEPS ?= -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,mb_doc_variable_flow,MB_PROJ_PHASE_COMPILE_DEPS,"The targets to trigger by project @compile target.") +$(call mb_make_call,mb_doc_variable_flow,MB_PROJ_PHASE_COMPILE_DEPS,"The targets to trigger by project @compile target.") MB_PROJ_PHASE_LINK_DEPS ?= -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,mb_doc_variable_flow,MB_PROJ_PHASE_LINK_DEPS,"The targets to trigger by project @link target.") +$(call mb_make_call,mb_doc_variable_flow,MB_PROJ_PHASE_LINK_DEPS,"The targets to trigger by project @link target.") MB_PROJ_PHASE_BUILD_DEPS ?= -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,mb_doc_variable_flow,MB_PROJ_PHASE_BUILD_DEPS,"The targets to trigger by project @build target.") +$(call mb_make_call,mb_doc_variable_flow,MB_PROJ_PHASE_BUILD_DEPS,"The targets to trigger by project @build target.") MB_PROJ_PHASE_TEST_DEPS ?= -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,mb_doc_variable_flow,MB_PROJ_PHASE_TEST_DEPS,"The targets to trigger by project @test target.") +$(call mb_make_call,mb_doc_variable_flow,MB_PROJ_PHASE_TEST_DEPS,"The targets to trigger by project @test target.") MB_PROJ_PHASE_PACKAGE_DEPS ?= -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,mb_doc_variable_flow,MB_PROJ_PHASE_PACKAGE_DEPS,"The targets to trigger by project @package target.") +$(call mb_make_call,mb_doc_variable_flow,MB_PROJ_PHASE_PACKAGE_DEPS,"The targets to trigger by project @package target.") MB_PROJ_PHASE_PACKAGE_QA_DEPS ?= -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,mb_doc_variable_flow,MB_PROJ_PHASE_PACKAGE_QA_DEPS,"The targets to trigger by project @package-qa target.") +$(call mb_make_call,mb_doc_variable_flow,MB_PROJ_PHASE_PACKAGE_QA_DEPS,"The targets to trigger by project @package-qa target.") MB_PROJ_PHASE_PACKAGE_DEPLOY_DEPS ?= -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,mb_doc_variable_flow,MB_PROJ_PHASE_PACKAGE_QA_DEPLOY,"The targets to trigger by project @package-deploy target.") +$(call mb_make_call,mb_doc_variable_flow,MB_PROJ_PHASE_PACKAGE_QA_DEPLOY,"The targets to trigger by project @package-deploy target.") define mb_proj_module_path_src $(1)/$(subst /,,$(subst $(1),,$(dir $(lastword $(MAKEFILE_LIST))))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_proj_module_path_src,"Convert include location folder as src folder.","") +$(call mb_make_call,mb_doc_function_deep,mb_proj_module_path_src,"Convert include location folder as src folder.","") define mb_proj_module_path_bin $(2)/$(subst /,,$(subst $(1),,$(dir $(lastword $(MAKEFILE_LIST))))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_proj_module_path_src,"Convert include location folder as bin folder."," ") +$(call mb_make_call,mb_doc_function_deep,mb_proj_module_path_src,"Convert include location folder as bin folder."," ") define mb_proj_module_local_deps $(foreach dep,$(2),$(1)/$(notdir $(dep))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,mb_doc_function_deep,mb_proj_module_deps,"Convert other files to local deps."," ") +$(call mb_make_call,mb_doc_function_deep,mb_proj_module_deps,"Convert other files to local deps."," ") @@ -89,7 +89,7 @@ define mb_proj_flow_setup @clean: @@clean $$(call mb_make_call,_mb_proj_run_clean,$(1)) $$(call mb_make_call,_mb_proj_flow_step_done) -MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@clean,"Clean's the project build folders.") +$$(call mb_make_call,mb_doc_target_help,@clean,"Clean's the project build folders.") .PHONY: @clean @@ -101,7 +101,7 @@ MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@clean,"Clean's the @init: @@init $$(call mb_make_call,_mb_proj_run_init,$(1)) $$(call mb_make_call,_mb_proj_flow_step_done) -MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@init,"Create the project output folders.") +$$(call mb_make_call,mb_doc_target_help,@init,"Create the project output folders.") .PHONY: @init @@ -112,7 +112,7 @@ MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@init,"Create the pr @process: @@process $$(MB_PROJ_PHASE_PROCESS_DEPS) $$(call mb_make_call,_mb_proj_flow_step_done) -MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@process,"Process sources before compiling.") +$$(call mb_make_call,mb_doc_target_help,@process,"Process sources before compiling.") .PHONY: @process @@ -123,7 +123,7 @@ MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@process,"Process so @compile: @@compile $$(MB_PROJ_PHASE_COMPILE_DEPS) $$(call mb_make_call,_mb_proj_flow_step_done) -MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@compile,"Compiles all project sources.") +$$(call mb_make_call,mb_doc_target_help,@compile,"Compiles all project sources.") .PHONY: @compile @@ -134,7 +134,7 @@ MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@compile,"Compiles a @link: @@link $$(MB_PROJ_PHASE_LINK_DEPS) $$(call mb_make_call,_mb_proj_flow_step_done) -MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@link,"Link all project intermediate files.") +$$(call mb_make_call,mb_doc_target_help,@link,"Link all project intermediate files.") .PHONY: @link @@ -145,7 +145,7 @@ MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@link,"Link all proj @build: @@build $$(MB_PROJ_PHASE_BUILD_DEPS) $$(call mb_make_call,_mb_proj_flow_step_done) -MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@build,"Build all project artifacts.") +$$(call mb_make_call,mb_doc_target_help,@build,"Build all project artifacts.") .PHONY: @build @@ -156,7 +156,7 @@ MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@build,"Build all pr @test: @@test $$(MB_PROJ_PHASE_TEST_DEPS) $$(call mb_make_call,_mb_proj_flow_step_done) -MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@test,"Run all assertion tests.") +$$(call mb_make_call,mb_doc_target_help,@test,"Run all assertion tests.") .PHONY: @test @@ -167,7 +167,7 @@ MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@test,"Run all asser @package: @@package $$(MB_PROJ_PHASE_PACKAGE_DEPS) $$(call mb_make_call,_mb_proj_flow_step_done) -MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@package,"Create all packages of project.") +$$(call mb_make_call,mb_doc_target_help,@package,"Create all packages of project.") .PHONY: @package @@ -178,7 +178,7 @@ MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@package,"Create all @package-qa: @@package-qa $$(MB_PROJ_PHASE_PACKAGE_QA_DEPS) $$(call mb_make_call,_mb_proj_flow_step_done) -MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@package-qa,"Run all packages QA of project.") +$$(call mb_make_call,mb_doc_target_help,@package-qa,"Run all packages QA of project.") .PHONY: @package-qa @@ -189,62 +189,62 @@ MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@package-qa,"Run all @package-deploy: @@package-deploy $$(MB_PROJ_PHASE_PACKAGE_DEPLOY_DEPS) $$(call mb_make_call,_mb_proj_flow_step_done) -MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@package-deploy,"Deploy all the packages.") +$$(call mb_make_call,mb_doc_target_help,@package-deploy,"Deploy all the packages.") .PHONY: @package-deploy endef -MB_DOC_FIRE_FUNCTION_FLOW += $(call mb_make_call,mb_doc_function_flow,mb_proj_flow_setup,"Prints flow of abstract project build cycle.","") +$(call mb_make_call,mb_doc_function_flow,mb_proj_flow_setup,"Prints flow of abstract project build cycle.","") define mb_proj_flow_setup_jp @クリーン: @clean $$(call mb_make_call,_mb_proj_flow_step_done) -MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@クリーン,"プロジェクトのビルドフォルダーをクリーンアップします。") +$$(call mb_make_call,mb_doc_target_help,@クリーン,"プロジェクトのビルドフォルダーをクリーンアップします。") .PHONY: @クリーン @初期化する: @init $$(call mb_make_call,_mb_proj_flow_step_done) -MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@初期化する,"モジュール出力フォルダーをセットアップして検証します。") +$$(call mb_make_call,mb_doc_target_help,@初期化する,"モジュール出力フォルダーをセットアップして検証します。") .PHONY: @@初期化する @プロセス: @初期化する @process $$(call mb_make_call,_mb_proj_flow_step_done) -MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@プロセス,"コンパイル前にソースを処理します。") +$$(call mb_make_call,mb_doc_target_help,@プロセス,"コンパイル前にソースを処理します。") .PHONY: @プロセス @コンパイル: @プロセス @compile $$(call mb_make_call,_mb_proj_flow_step_done) -MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@コンパイル,"すべてのプロジェクト ソースをコンパイルします。") +$$(call mb_make_call,mb_doc_target_help,@コンパイル,"すべてのプロジェクト ソースをコンパイルします。") .PHONY: @コンパイル @リンク: @コンパイル @link $$(call mb_make_call,_mb_proj_flow_step_done) -MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@リンク,"すべてのプロジェクト中間ファイルをリンクします。") +$$(call mb_make_call,mb_doc_target_help,@リンク,"すべてのプロジェクト中間ファイルをリンクします。") .PHONY: @リンク @建てる: @リンク @build $$(call mb_make_call,_mb_proj_flow_step_done) -MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@建てる,"すべてのプロジェクト成果物をビルドします。") +$$(call mb_make_call,mb_doc_target_help,@建てる,"すべてのプロジェクト成果物をビルドします。") .PHONY: @建てる @テスト: @建てる @test $$(call mb_make_call,_mb_proj_flow_step_done) -MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@テスト,"すべてのアサーション テストを実行します。") +$$(call mb_make_call,mb_doc_target_help,@テスト,"すべてのアサーション テストを実行します。") .PHONY: @テスト @パッケージ: @テスト @package $$(call mb_make_call,_mb_proj_flow_step_done) -MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@パッケージ,"プロジェクトのパッケージを作成します。") +$$(call mb_make_call,mb_doc_target_help,@パッケージ,"プロジェクトのパッケージを作成します。") .PHONY: @パッケージ @パッケージの品質保証: @パッケージ @package-qa $$(call mb_make_call,_mb_proj_flow_step_done) -MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@パッケージの品質保証,"すべてのパッケージを実行します。プロジェクトの品質保証。") +$$(call mb_make_call,mb_doc_target_help,@パッケージの品質保証,"すべてのパッケージを実行します。プロジェクトの品質保証。") .PHONY: @パッケージの品質保証 @パッケージのデプロイ: @パッケージの品質保証 @package-deploy $$(call mb_make_call,_mb_proj_flow_step_done) -MB_DOC_FIRE_HELP += $$(call mb_make_call,mb_doc_target_help,@パッケージのデプロイ,"パッケージをデプロイします。") +$$(call mb_make_call,mb_doc_target_help,@パッケージのデプロイ,"パッケージをデプロイします。") .PHONY: @パッケージのデプロイ endef -MB_DOC_FIRE_FUNCTION_FLOW += $(call mb_make_call,mb_doc_function_flow,mb_proj_flow_setup_jp,"Prints flow for japanese wrapper of project build cycle.") +$(call mb_make_call,mb_doc_function_flow,mb_proj_flow_setup_jp,"Prints flow for japanese wrapper of project build cycle.") diff --git a/lib/make/mb_sdcc.mk b/lib/make/mb_sdcc.mk index 3ccdc89..b4f4161 100644 --- a/lib/make/mb_sdcc.mk +++ b/lib/make/mb_sdcc.mk @@ -1,18 +1,18 @@ MB_SDCC_FLAG_CPU ?= -mz80 -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_SDCC_FLAG_CPU,"The SDCC cpu target option.") +$(call mb_make_call,mb_doc_variable,MB_SDCC_FLAG_CPU,"The SDCC cpu target option.") MB_SDCC_FLAG_LD ?= --nostdinc -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_SDCC_FLAG_LD,"The SDCC linker option.") +$(call mb_make_call,mb_doc_variable,MB_SDCC_FLAG_LD,"The SDCC linker option.") MB_SDCC_ASZ80_FLAGS ?= -g -l -c -o -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_SDCC_ASZ80_FLAGS,"The SDCC z80 asm compiler flags.") +$(call mb_make_call,mb_doc_variable,MB_SDCC_ASZ80_FLAGS,"The SDCC z80 asm compiler flags.") MB_SDCC_CC_FLAGS ?= -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_SDCC_CC_FLAGS,"The SDCC C compiler flags.") +$(call mb_make_call,mb_doc_variable,MB_SDCC_CC_FLAGS,"The SDCC C compiler flags.") MB_SDCC_AR_FLAGS ?= -rc -MB_DOC_FIRE_VARIABLE += $(call mb_make_call,mb_doc_variable,MB_SDCC_AR_FLAGS,"The SDCC module archive flags.") +$(call mb_make_call,mb_doc_variable,MB_SDCC_AR_FLAGS,"The SDCC module archive flags.") # TODO: Add C + mixed support; @@ -24,68 +24,68 @@ define mb_sdcc_compile_asm $(call mb_make_call,mb_make_echo_command,SDCC Compile super $(2) from ASM) $(PATH_SDCC)/sdasz80 $(MB_SDCC_ASZ80_FLAGS) $(2) $(1) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_sdcc_compile_asm,"Compiles asm source code."," ") +$(call mb_make_call,mb_doc_function,mb_sdcc_compile_asm,"Compiles asm source code."," ") define mb_sdcc_link_asm_lib $(call mb_make_call,mb_make_echo_command,SDCC Link ASM lib $(2)) $(PATH_SDCC)/sdar $(MB_SDCC_AR_FLAGS) $(1) $(2) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_sdcc_link_asm_lib,"Link asm lib module."," ") +$(call mb_make_call,mb_doc_function,mb_sdcc_link_asm_lib,"Link asm lib module."," ") define mb_sdcc_link_asm $(call mb_make_call,mb_make_echo_command,SDCC Link megaocto $(2) at $(3)) $(PATH_SDCC)/sdcc $(MB_SDCC_FLAG_CPU) $(MB_SDCC_CC_FLAGS) --no-std-crt0 --code-loc $(3) -o $(2) $(1) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_sdcc_link_asm,"Links asm to custom code location."," ") +$(call mb_make_call,mb_doc_function,mb_sdcc_link_asm,"Links asm to custom code location."," ") define mb_sdcc_link_asm_0000 $(call mb_make_call,mb_sdcc_link_asm,$(1),$(2),0x0000)rule endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_sdcc_link_asm_0000,"Links asm to 0x0000."," ") +$(call mb_make_call,mb_doc_function,mb_sdcc_link_asm_0000,"Links asm to 0x0000."," ") define mb_sdcc_link_asm_0100 $(call mb_make_call,mb_sdcc_link_asm,$(1),$(2),0x0100) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_sdcc_link_asm_0100,"Links asm to 0x0100."," ") +$(call mb_make_call,mb_doc_function,mb_sdcc_link_asm_0100,"Links asm to 0x0100."," ") define mb_sdcc_link_asm_1000 $(call mb_make_call,mb_sdcc_link_asm,$(1),$(2),0x1000) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_sdcc_link_asm_1000,"Links asm to 0x1000."," ") +$(call mb_make_call,mb_doc_function,mb_sdcc_link_asm_1000,"Links asm to 0x1000."," ") define mb_sdcc_link_asm_4000 $(call mb_make_call,mb_sdcc_link_asm,$(1),$(2),0x4000) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_sdcc_link_asm_4000,"Links asm to 0x4000."," ") +$(call mb_make_call,mb_doc_function,mb_sdcc_link_asm_4000,"Links asm to 0x4000."," ") define mb_sdcc_link_asm_8000 $(call mb_make_call,mb_sdcc_link_asm,$(1),$(2),0x8000) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_sdcc_link_asm_8000,"Links asm to 0x8000."," ") +$(call mb_make_call,mb_doc_function,mb_sdcc_link_asm_8000,"Links asm to 0x8000."," ") define mb_sdcc_link_asm_C000 $(call mb_make_call,mb_sdcc_link_asm,$(1),$(2),0xC000) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_sdcc_link_asm_C000,"Links asm to 0xC000."," ") +$(call mb_make_call,mb_doc_function,mb_sdcc_link_asm_C000,"Links asm to 0xC000."," ") define mb_sdcc_link_asm_bdos $(call mb_make_call,mb_sdcc_link_asm_0100,$(1),$(2)) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_sdcc_link_asm_bdos,"Links asm to BDOS."," ") +$(call mb_make_call,mb_doc_function,mb_sdcc_link_asm_bdos,"Links asm to BDOS."," ") define mb_sdcc_hex2bin $(call mb_make_call,mb_make_echo_command,SDCC sdobjcopy for $(2)) $(PATH_SDCC)/sdobjcopy -I ihex --output-target=binary $(1) $(2) endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_sdcc_hex2bin,"Converts an hex file to binary."," ") +$(call mb_make_call,mb_doc_function,mb_sdcc_hex2bin,"Converts an hex file to binary."," ") diff --git a/lib/make/mb_tool.mk b/lib/make/mb_tool.mk index 5f58601..c622ade 100644 --- a/lib/make/mb_tool.mk +++ b/lib/make/mb_tool.mk @@ -5,81 +5,81 @@ $(1): | @init $$(call mb_make_call,mb_os_dir_create,$(1)/utils) $$(call mb_make_call,mb_msxhub_get_macro80,$(1)/utils) $$(call mb_make_call,mb_msxhub_get_z80asmuk,$(1)/utils) -MB_DOC_FIRE_TARGET_DEEP += $$(call mb_make_call,mb_doc_target_deep,$(1)) +$$(call mb_make_call,mb_doc_target_deep,$(1)) .PHONY: $(1) $(1)/$(3).mac: $(2)/$(3).mac | $(1) $$(call mb_make_call,mb_conv_unix2dos,$(2)/$(3).mac,$(1)/$(3).mac) -MB_DOC_FIRE_TARGET_DEEP += $$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).mac) +$$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).mac) MB_PROJ_PHASE_PROCESS_DEPS += $(1)/$(3).mac $(1)/$(3).rel: $(1)/$(3).mac $$(call mb_make_call,mb_msxpipe_safe_cmd,$(1),m80 $$(MB_MAKE_EQUALS)$(3)/Z) -MB_DOC_FIRE_TARGET_DEEP += $$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).rel) +$$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).rel) MB_PROJ_PHASE_COMPILE_DEPS += $(1)/$(3).rel $(1)/$(3).hex: $(1)/$(3).rel $$(call mb_make_call,mb_msxpipe_safe_cmd,$(1),l80 $(3)$$(MB_MAKE_COMMA)$(3)/N/X/Y/E) -MB_DOC_FIRE_TARGET_DEEP += $$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).hex) +$$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).hex) MB_PROJ_PHASE_LINK_DEPS += $(1)/$(3).hex $(1)/$(3).com: $(1)/$(3).hex $$(call mb_make_call,mb_msxpipe_safe_cmd,$(1),hextocom $(3)) -MB_DOC_FIRE_TARGET_DEEP += $$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).com) +$$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).com) MB_PROJ_PHASE_BUILD_DEPS += $(1)/$(3).com $(1)/@build: $(1)/$(3).com -MB_DOC_FIRE_TARGET += $$(call mb_make_call,mb_doc_target,$(1)/@build,"Builds the $(1) module.") +$$(call mb_make_call,mb_doc_target,$(1)/@build,"Builds the $(1) module.") .PHONY: $(1)/@build $(1)/@run: $(1)/@build $$(call mb_make_call,mb_msxpipe_run_gui,$(1)) -MB_DOC_FIRE_TARGET_RUN += $$(call mb_make_call,mb_doc_target_run,$(1)/@run,"Run $(3).com manually with gui.") +$$(call mb_make_call,mb_doc_target_run,$(1)/@run,"Run $(3).com manually with gui.") .PHONY: $(1)/@run endef -MB_DOC_FIRE_FUNCTION_FLOW += $(call mb_make_call,mb_doc_function_flow,mb_tool_m80_flow_bdos_mono,"Prints flow of m80 toolchain bdos mono file module."," ") +$(call mb_make_call,mb_doc_function_flow,mb_tool_m80_flow_bdos_mono,"Prints flow of m80 toolchain bdos mono file module."," ") define mb_tool_sdcc_flow_bdos_mono $(1): | @init $$(call mb_make_call,mb_os_dir_create,$(1)) -MB_DOC_FIRE_TARGET_DEEP += $$(call mb_make_call,mb_doc_target_deep,$(1)) +$$(call mb_make_call,mb_doc_target_deep,$(1)) .PHONY: $(1) $(1)/$(3).rel: $(2)/$(3).asm | $(1) $$(call mb_make_call,mb_sdcc_compile_asm,$$<,$$@) -MB_DOC_FIRE_TARGET_DEEP += $$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).rel) +$$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).rel) MB_PROJ_PHASE_COMPILE_DEPS += $(1)/$(3).rel $(1)/$(3).hex: $(1)/$(3).rel $$(call mb_make_call,mb_sdcc_link_asm_bdos,$$<,$$@) -MB_DOC_FIRE_TARGET_DEEP += $$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).hex) +$$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).hex) MB_PROJ_PHASE_LINK_DEPS += $(1)/$(3).hex $(1)/$(3).com: $(1)/$(3).hex $$(call mb_make_call,mb_sdcc_hex2bin,$$<,$$@) -MB_DOC_FIRE_TARGET_DEEP += $$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).com) +$$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).com) MB_PROJ_PHASE_BUILD_DEPS += $(1)/$(3).com $(1)/@build: $(1)/$(3).com -MB_DOC_FIRE_TARGET += $$(call mb_make_call,mb_doc_target,$(1)/@build,"Builds the $(1) module.") +$$(call mb_make_call,mb_doc_target,$(1)/@build,"Builds the $(1) module.") .PHONY: $(1)/@build $(1)/@run: $(1)/@build $$(call mb_make_call,mb_msxpipe_run_gui,$(1)) -MB_DOC_FIRE_TARGET_RUN += $$(call mb_make_call,mb_doc_target_run,$(1)/@run,"Run $(3).com manually with gui.") +$$(call mb_make_call,mb_doc_target_run,$(1)/@run,"Run $(3).com manually with gui.") .PHONY: $(1)/@run endef -MB_DOC_FIRE_FUNCTION_FLOW += $(call mb_make_call,mb_doc_function_flow,mb_tool_sdcc_flow_bdos_mono,"Prints flow of sdcc toolchain bdos mono file module."," ") +$(call mb_make_call,mb_doc_function_flow,mb_tool_sdcc_flow_bdos_mono,"Prints flow of sdcc toolchain bdos mono file module."," ") diff --git a/lib/make/msxbuild.mk b/lib/make/msxbuild.mk index ccdb41a..ef7f139 100644 --- a/lib/make/msxbuild.mk +++ b/lib/make/msxbuild.mk @@ -28,10 +28,10 @@ include $(PATH_MSXBUILD)/lib/make/mb_os.mk # Below mb_doc.mk for white space... -MB_DOC_FIRE_VARIABLE_DEEP += $(call mb_make_call,mb_doc_variable_deep,PATH_SDCC,"Path where SDCC binaries are located.") -MB_DOC_FIRE_VARIABLE_DEEP += $(call mb_make_call,mb_doc_variable_deep,PATH_OPENMSX,"Path where openMSX binary is located.") -MB_DOC_FIRE_VARIABLE_DEEP += $(call mb_make_call,mb_doc_variable_deep,PATH_MSXBUILD,"Path where msxbuild lib folder is located.") -MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,mb_doc_variable_rock,PATH_MSXBUILD_REAL,"Resolved real path of msxbuild.") +$(call mb_make_call,mb_doc_variable_deep,PATH_SDCC,"Path where SDCC binaries are located.") +$(call mb_make_call,mb_doc_variable_deep,PATH_OPENMSX,"Path where openMSX binary is located.") +$(call mb_make_call,mb_doc_variable_deep,PATH_MSXBUILD,"Path where msxbuild lib folder is located.") +$(call mb_make_call,mb_doc_variable_rock,PATH_MSXBUILD_REAL,"Resolved real path of msxbuild.") @@ -40,5 +40,5 @@ define mb_create_dist $(call mb_make_call,mb_make_echo_command,Creating distribution archive $(2)) tar -czf $(2) -C $(1) `ls $(1)` endef -MB_DOC_FIRE_FUNCTION += $(call mb_make_call,mb_doc_function,mb_create_dist,"Create an distribution archive."," ") +$(call mb_make_call,mb_doc_function,mb_create_dist,"Create an distribution archive."," ") diff --git a/src/dist-qa-dos1/0module.mk b/src/dist-qa-dos1/0module.mk index 73b6e26..55798d4 100644 --- a/src/dist-qa-dos1/0module.mk +++ b/src/dist-qa-dos1/0module.mk @@ -15,7 +15,7 @@ $(DIST_QA_DOS1_BIN)/%: $(DIST_BIN)/% | $(DIST_QA_DOS1_BIN) $(DIST_DEPS) $(DIST_QA_DOS1_BIN)/@run: | $(DIST_QA_DOS1_DEPS) $(call mb_make_call,mb_msxpipe_run_gui,$(DIST_QA_DOS1_BIN)) -MB_DOC_FIRE_TARGET_RUN += $(call mb_make_call,mb_doc_target_run,$(DIST_QA_DOS1_BIN)/@run,"Run binaries on msxdos1 machine.") +$(call mb_make_call,mb_doc_target_run,$(DIST_QA_DOS1_BIN)/@run,"Run binaries on msxdos1 machine.") .PHONY: $(DIST_QA_DOS1_BIN)/@run @@ -26,7 +26,7 @@ $(DIST_QA_DOS1_BIN)/@assert: | $(DIST_QA_DOS1_DEPS) $(call mb_make_call,mb_autoexec_append_exit,$(DIST_QA_DOS1_BIN)) $(call mb_make_call,mb_openmsx_dosctl,$(DIST_QA_DOS1_BIN)) $(call mb_make_call,mb_make_call,mb_make_echo_assert,Assert succesfully $(DIST_QA_DOS1_BIN)/@assert) -MB_DOC_FIRE_TARGET += $(call mb_make_call,mb_doc_target,$(DIST_QA_DOS1_BIN)/@assert,"Assert binaries on msxdos1.") +$(call mb_make_call,mb_doc_target,$(DIST_QA_DOS1_BIN)/@assert,"Assert binaries on msxdos1.") MB_PROJ_PHASE_PACKAGE_QA_DEPS += $(DIST_QA_DOS1_BIN)/@assert .PHONY: $(DIST_QA_DOS1_BIN)/@assert diff --git a/src/dist-qa-dos2/0module.mk b/src/dist-qa-dos2/0module.mk index 59596c5..b09458e 100644 --- a/src/dist-qa-dos2/0module.mk +++ b/src/dist-qa-dos2/0module.mk @@ -15,7 +15,7 @@ $(DIST_QA_DOS2_BIN)/%: $(DIST_BIN)/% | $(DIST_QA_DOS2_BIN) $(DIST_DEPS) $(DIST_QA_DOS2_BIN)/@run: | $(DIST_QA_DOS2_DEPS) $(call mb_make_call,mb_msxpipe_run_gui,$(DIST_QA_DOS2_BIN)) -MB_DOC_FIRE_TARGET_RUN += $(call mb_make_call,mb_doc_target_run,$(DIST_QA_DOS2_BIN)/@run,"Run binaries on msxdos2 machine.") +$(call mb_make_call,mb_doc_target_run,$(DIST_QA_DOS2_BIN)/@run,"Run binaries on msxdos2 machine.") .PHONY: $(DIST_QA_DOS2_BIN)/@run @@ -30,7 +30,7 @@ $(DIST_QA_DOS2_BIN)/@assert: | $(DIST_QA_DOS2_DEPS) grep -q "M80: Hello world..." $(DIST_QA_DOS2_BIN)/ahello1.out grep -q "SDCC: Hello world..." $(DIST_QA_DOS2_BIN)/ahello2.out $(call mb_make_call,mb_make_echo_assert,Assert succesfully $(DIST_QA_DOS2_BIN)/@assert) -MB_DOC_FIRE_TARGET += $(call mb_make_call,mb_doc_target,$(DIST_QA_DOS2_BIN)/@assert,"Assert binaries on msxdos2.") +$(call mb_make_call,mb_doc_target,$(DIST_QA_DOS2_BIN)/@assert,"Assert binaries on msxdos2.") MB_PROJ_PHASE_PACKAGE_QA_DEPS += $(DIST_QA_DOS2_BIN)/@assert .PHONY: $(DIST_QA_DOS2_BIN)/@assert diff --git a/src/dist-qa-msx1/0module.mk b/src/dist-qa-msx1/0module.mk index 8b8807b..28f8652 100644 --- a/src/dist-qa-msx1/0module.mk +++ b/src/dist-qa-msx1/0module.mk @@ -17,7 +17,7 @@ $(DIST_QA_MSX1_BIN)/%: $(DIST_BIN)/% | $(DIST_QA_MSX1_BIN) $(DIST_DEPS) $(DIST_QA_MSX1_BIN)/@run: | $(DIST_QA_MSX1_DEPS) $(call mb_make_call,mb_msxpipe_run_gui,$(DIST_QA_MSX1_BIN),,$(DIST_QA_MSX1_MACHINE)) -MB_DOC_FIRE_TARGET_RUN += $(call mb_make_call,mb_doc_target_run,$(DIST_QA_MSX1_BIN)/@run,"Run binaries on MSX1 machine.") +$(call mb_make_call,mb_doc_target_run,$(DIST_QA_MSX1_BIN)/@run,"Run binaries on MSX1 machine.") .PHONY: $(DIST_QA_MSX1_BIN)/@run @@ -32,7 +32,7 @@ $(DIST_QA_MSX1_BIN)/@assert: | $(DIST_QA_MSX1_DEPS) grep -q "M80: Hello world..." $(DIST_QA_MSX1_BIN)/ahello1.out grep -q "SDCC: Hello world..." $(DIST_QA_MSX1_BIN)/ahello2.out $(call mb_make_call,mb_make_echo_assert,Assert succesfully $(DIST_QA_MSX1_BIN)/@assert) -MB_DOC_FIRE_TARGET += $(call mb_make_call,mb_doc_target,$(DIST_QA_MSX1_BIN)/@assert,"Assert binaries on MSX1 machine.") +$(call mb_make_call,mb_doc_target,$(DIST_QA_MSX1_BIN)/@assert,"Assert binaries on MSX1 machine.") MB_PROJ_PHASE_PACKAGE_QA_DEPS += $(DIST_QA_MSX1_BIN)/@assert .PHONY: $(DIST_QA_MSX1_BIN)/@assert diff --git a/src/dist-qa-msxhub/0module.mk b/src/dist-qa-msxhub/0module.mk index a80d3f6..0fefa8f 100644 --- a/src/dist-qa-msxhub/0module.mk +++ b/src/dist-qa-msxhub/0module.mk @@ -39,7 +39,7 @@ $(DIST_QA_MSXHUB_BIN)/%: $(DIST_BIN)/% | $(DIST_QA_MSXHUB_BIN) $(DIST_DEPS) $(DIST_QA_MSXHUB_BIN)/@run: | $(DIST_QA_MSXHUB_DEPS) $(call mb_make_call,mb_msxpipe_run_gui,$(DIST_QA_MSXHUB_BIN),,$(DIST_QA_MSXHUB_MACHINE)) -MB_DOC_FIRE_TARGET_RUN += $(call mb_make_call,mb_doc_target_run,$(DIST_QA_MSXHUB_BIN)/@run,"Run the dist with all msxhub packages.") +$(call mb_make_call,mb_doc_target_run,$(DIST_QA_MSXHUB_BIN)/@run,"Run the dist with all msxhub packages.") .PHONY: $(DIST_QA_MSXHUB_BIN)/@run @@ -58,7 +58,7 @@ $(DIST_QA_MSXHUB_BIN)/@assert: | $(DIST_QA_MSXHUB_DEPS) grep -q "KONPASS COM" $(DIST_QA_MSXHUB_BIN)/utils.out grep -q "MAKE COM" $(DIST_QA_MSXHUB_BIN)/utils.out $(call mb_make_call,mb_make_echo_assert,Assert succesfully $(DIST_QA_MSXHUB_BIN)/@assert) -MB_DOC_FIRE_TARGET += $(call mb_make_call,mb_doc_target,$(DIST_QA_MSXHUB_BIN)/@assert,"Asserts that all dist artifacts execute results.") +$(call mb_make_call,mb_doc_target,$(DIST_QA_MSXHUB_BIN)/@assert,"Asserts that all dist artifacts execute results.") MB_PROJ_PHASE_TEST_DEPS += $(DIST_QA_MSXHUB_BIN)/@assert .PHONY: $(DIST_QA_MSXHUB_BIN)/@assert diff --git a/src/dist/0module.mk b/src/dist/0module.mk index ee7062c..fbc783b 100644 --- a/src/dist/0module.mk +++ b/src/dist/0module.mk @@ -19,10 +19,10 @@ $(DIST_BIN)/ahello2.com: bin/ahello-sdcc/ahello.com | $(DIST_BIN) $(call mb_make_call,mb_os_file_copy,$<,$@) $(DIST_BIN)/@prepare: | $(DIST_DEPS) -MB_DOC_FIRE_TARGET += $(call mb_doc_target,$(DIST_BIN)/@prepare,"Prepare folder with binaries for distribution.") +$(call mb_make_call,mb_doc_target,$(DIST_BIN)/@prepare,"Prepare folder with binaries for distribution.") .PHONY: $(DIST_BIN)/@prepare $(DIST_OUT): | $(DIST_BIN)/@prepare $(call mb_make_call,mb_create_dist,$(DIST_BIN),$@) -MB_DOC_FIRE_TARGET += $(call mb_doc_target,$(DIST_OUT),"Build archive distribution artifact.") +$(call mb_make_call,mb_doc_target,$(DIST_OUT),"Build archive distribution artifact.") MB_PROJ_PHASE_PACKAGE_DEPS += $(DIST_OUT) diff --git a/src/make-on-msx/0module.mk b/src/make-on-msx/0module.mk index 353c5c7..1d2793f 100644 --- a/src/make-on-msx/0module.mk +++ b/src/make-on-msx/0module.mk @@ -9,42 +9,42 @@ $(MAKE_ON_MSX_BIN): | @init $(call mb_make_call,mb_msxhub_get_make,$(MAKE_ON_MSX_BIN)/utils) $(call mb_make_call,mb_msxhub_get_macro80,$(MAKE_ON_MSX_BIN)/utils) $(call mb_make_call,mb_msxhub_get_z80asmuk,$(MAKE_ON_MSX_BIN)/utils) -MB_DOC_FIRE_TARGET_DEEP += $(call mb_make_call,mb_doc_target_deep,$(MAKE_ON_MSX_BIN)) +$(call mb_make_call,mb_doc_target_deep,$(MAKE_ON_MSX_BIN)) .PHONY: $(MAKE_ON_MSX_BIN) $(MAKE_ON_MSX_BIN)/nfbsshot.mac: $(MAKE_ON_MSX_SRC)/nfbsshot.mac | $(MAKE_ON_MSX_BIN) $(call mb_make_call,mb_conv_unix2dos,$<,$@) -MB_DOC_FIRE_TARGET_DEEP += $(call mb_make_call,mb_doc_target_deep,$(MAKE_ON_MSX_BIN)/nfbsshot.mac) +$(call mb_make_call,mb_doc_target_deep,$(MAKE_ON_MSX_BIN)/nfbsshot.mac) MB_PROJ_PHASE_PROCESS_DEPS += $(MAKE_ON_MSX_BIN)/nfbsshot.mac $(MAKE_ON_MSX_BIN)/nfbsshot.mf: $(MAKE_ON_MSX_SRC)/nfbsshot.mf $(MAKE_ON_MSX_BIN)/nfbsshot.mac $(call mb_make_call,mb_conv_unix2dos,$<,$@) -MB_DOC_FIRE_TARGET_DEEP += $(call mb_make_call,mb_doc_target_deep,$(MAKE_ON_MSX_BIN)/nfbsshot.mf) +$(call mb_make_call,mb_doc_target_deep,$(MAKE_ON_MSX_BIN)/nfbsshot.mf) MB_PROJ_PHASE_PROCESS_DEPS += $(MAKE_ON_MSX_BIN)/nfbsshot.mf $(MAKE_ON_MSX_BIN)/build.bat: $(MAKE_ON_MSX_SRC)/build.bat $(MAKE_ON_MSX_BIN)/nfbsshot.mf $(call mb_make_call,mb_conv_unix2dos,$<,$@) -MB_DOC_FIRE_TARGET_DEEP += $(call mb_make_call,mb_doc_target_deep,$(MAKE_ON_MSX_BIN)/build.bat) +$(call mb_make_call,mb_doc_target_deep,$(MAKE_ON_MSX_BIN)/build.bat) MB_PROJ_PHASE_PROCESS_DEPS += $(MAKE_ON_MSX_BIN)/build.bat $(MAKE_ON_MSX_BIN)/nfbsshot.bin: $(MAKE_ON_MSX_BIN)/build.bat $(call mb_make_call,mb_msxpipe_safe_cmd,$(MAKE_ON_MSX_BIN),build shutdown) -MB_DOC_FIRE_TARGET_DEEP += $(call mb_make_call,mb_doc_target_deep,$(MAKE_ON_MSX_BIN)/nfbsshot.bin) +$(call mb_make_call,mb_doc_target_deep,$(MAKE_ON_MSX_BIN)/nfbsshot.bin) $(MAKE_ON_MSX_BIN)/@build: $(MAKE_ON_MSX_BIN)/nfbsshot.bin -MB_DOC_FIRE_TARGET += $(call mb_make_call,mb_doc_target,$(MAKE_ON_MSX_BIN)/@build,"Compiles binary with make on msx.") +$(call mb_make_call,mb_doc_target,$(MAKE_ON_MSX_BIN)/@build,"Compiles binary with make on msx.") MB_PROJ_PHASE_BUILD_DEPS +=$(MAKE_ON_MSX_BIN)/@build .PHONY: $(MAKE_ON_MSX_BIN)/@build $(MAKE_ON_MSX_BIN)/@run: $(MAKE_ON_MSX_BIN)/@build $(call mb_make_call,mb_msxpipe_run_gui,$(MAKE_ON_MSX_BIN)) -MB_DOC_FIRE_TARGET_RUN += $(call mb_make_call,mb_doc_target_run,$(MAKE_ON_MSX_BIN)/@run,"Run the make build manually.") +$(call mb_make_call,mb_doc_target_run,$(MAKE_ON_MSX_BIN)/@run,"Run the make build manually.") .PHONY: $(MAKE_ON_MSX_BIN)/@run diff --git a/src/mbboot80/0module.mk b/src/mbboot80/0module.mk index 762ccde..bd5e27c 100644 --- a/src/mbboot80/0module.mk +++ b/src/mbboot80/0module.mk @@ -9,6 +9,6 @@ $(call mb_make_call_eval,mb_assert_flow_bdos_grep,$(MBBOOT80_BIN),check-boot80,m $(MBBOOT80_BIN)/@release-local: | $(MBBOOT80_BIN)/@build $(call mb_make_call,mb_os_file_copy,$(MBBOOT80_BIN)/mbboot80.com,lib/emuctl/mbboot80.com) -MB_DOC_HELP_TARGET += $(call mb_make_call,mb_doc_target,$(MBBOOT80_BIN)/@release-local,"Updates binary copy in lib/emuctl folder.") +$(call mb_make_call,mb_doc_target,$(MBBOOT80_BIN)/@release-local,"Updates binary copy in lib/emuctl folder.") .PHONY: $(MBBOOT80_BIN)/@release-local