diff --git a/.forgejo/workflows/run-test-asserts.yaml b/.forgejo/workflows/run-test-asserts.yaml index 0286e81..fad0668 100644 --- a/.forgejo/workflows/run-test-asserts.yaml +++ b/.forgejo/workflows/run-test-asserts.yaml @@ -8,9 +8,8 @@ env: VERBOSE: off MB_OPENMSX_STDOUT_IGNORE: on MB_OPENMSX_STDERR_IGNORE: on - MB_AUTOEXEC_STARTUP_NOTE: ${{github.workflow}} MB_AUTOEXEC_EMBED_USER: GITHUB_ACTOR - MB_AUTOEXEC_EMBED_VARS: GITHUB_EVENT_NAME GITHUB_REF_NAME GITHUB_REF_TYPE GITHUB_REPOSITORY GITHUB_REPOSITORY_OWNER + MB_AUTOEXEC_EMBED_VARS: GITHUB_WORKFLOW GITHUB_JOB GITHUB_RUN_NUMBER GITHUB_EVENT_NAME GITHUB_REF_NAME GITHUB_REF_TYPE GITHUB_REPOSITORY GITHUB_REPOSITORY_OWNER GITHUB_SERVER_URL jobs: Test-Asserts: runs-on: self-hosted diff --git a/Makefile b/Makefile index 6403feb..d4175cf 100644 --- a/Makefile +++ b/Makefile @@ -3,12 +3,13 @@ # -# Configure make, can't use tabs here, than no indenting, -# as white space is not for typing multiple white spaces. +# Configure make to normal empty state MAKEFLAGS += --no-builtin-rules MAKEFLAGS += --no-builtin-variables .SUFFIXES: .PHONY: Makefile + +# Configure make verbose mode ifdef VERBOSE ifeq ("$(VERBOSE)", "off") .SILENT: @@ -107,13 +108,28 @@ $(call mb_make_call,mb_flight_proj_flow_video,$(PATH_BIN)) # Add japanese support ifdef LANG_JP -MB_MAKE_ECHO_CRAYON = "©Δ∞ 仙上主天" -MB_PROJ_META_NAME = "メッカシステム拡大建てる" -MB_PROJ_STEP_BEFORE_PREFIX = "フェーズ前 " -MB_PROJ_STEP_AFTER_PREFIX = "フェーズ後 " -MB_PROJ_STEP_DONE_PREFIX = "プライムパイターゲットの実行を終了しました " -MB_OPENMSX_LOG_PREFIX = "オープンメッカシステム拡張を開始しましょう!のために" -MB_AUTOEXEC_LOG_PREFIX = "自動実行の書き込み" +MB_I18N_ASSERT_SUCCESS = "アサート成功" +MB_I18N_AUTOEXEC_WRITE = "自動実行の書き込み" +MB_I18N_CONV_UNIX2DOS = "ディスクシステムの行末に変換" +MB_I18N_CONV_DOS2UNIX = "メインフレームラインエンドに変換" +MB_I18N_MAKE_CHECK_ARG1 = "引数 一 がありません。" +MB_I18N_MAKE_CHECK_ARG2 = "引数 二 がありません。" +MB_I18N_MAKE_CHECK_ARG3 = "引数 三 がありません。" +MB_I18N_MAKE_CHECK_ARG4 = "引数 四 がありません。" +MB_I18N_MAKE_CHECK_ARG5 = "引数 五 がありません。" +MB_I18N_MSXHUB_FILE_FETCH = "コンピューターハブファイルを取得する" +MB_I18N_MSXROM_FILE_FETCH = "読み取り専用メモリファイルを取得する" +MB_I18N_OPENMSX_STARTUP = "オープンメッカシステムを開始" +MB_I18N_OS_ECHO_CRAYON = "©Δ∞ 仙上主天" +MB_I18N_PROJ_STEP_BEFORE = "フェーズ前" +MB_I18N_PROJ_STEP_AFTER = "フェーズ後" +MB_I18N_PROJ_STEP_DONE = "プライムパイターゲットの実行を終了しました" +MB_I18N_PROJ_DIR_CREATE = "フォルダーの作成" +MB_I18N_PROJ_DIR_DELETE = "フォルダーの削除" +MB_I18N_SDCC_COMPILE = "標準マイクロコンパイラ" +MB_I18N_SDCC_ARLIB = "標準マイクロビルドライブラリ" +MB_I18N_SDCC_LINK = "標準マイクロリンクメッカ図書館" +MB_I18N_SDCC_OBJCOPY = "標準マイクロオブジェクトコピー" $(call mb_make_call,mb_proj_flow_setup_jp) endif diff --git a/lib/make/mb_assert.mk b/lib/make/mb_assert.mk index fe011f2..3b919f4 100644 --- a/lib/make/mb_assert.mk +++ b/lib/make/mb_assert.mk @@ -2,13 +2,13 @@ define __mb_assert_flow_grep_binary $(1)/@assert-$(2): $(1)/@build grep -q -U $(4) $(1)/$(3) - $$(call mb_make_call,mb_make_echo_assert,Assert succesfully @assert-$(2)) + $$(call mb_make_call,mb_os_echo_assert,$$(MB_I18N_ASSERT_SUCCESS) @assert-$(2)) $$(call mb_make_call,mb_doc_target,$(1)/@assert-$(2),"Asserts that $(3) output matches.") $$(call mb_make_call,mb_proj_grow_deps_phase_test,$(1)/@assert-$(2)) .PHONY: $(1)/@assert-$(2) endef define mb_assert_flow_grep_binary - $(call mb_make_call,mb_make_check_args_4,mb_assert_flow_grep_binary,$(1),$(2),$(3),$(4)) + $(call mb_make_call,mb_make_check_arg4,mb_assert_flow_grep_binary,$(1),$(2),$(3),$(4)) $(eval $(call mb_make_call,__mb_assert_flow_grep_binary,$(1),$(2),$(3),$(4))) endef $(call mb_make_call,mb_doc_function_flow,mb_assert_flow_grep_binary,"Prints flow of single binary grep assert."," ") @@ -20,13 +20,14 @@ $(1)-test-$(2)/@assert: $(1)/@build $$(call mb_make_call,mb_os_file_copy,$(1)/$(3).com,$(1)-test-$(2)) $$(call mb_make_call,mb_msxpipe_safe_test,$(1)-test-$(2),$(3) > $(3).out) grep -q $(4) $(1)-test-$(2)/$(3).out - $$(call mb_make_call,mb_make_echo_assert,Assert succesfully $(1)-test-$(2)/@assert) + $$(call mb_make_call,mb_os_echo_assert,$$(MB_I18N_ASSERT_SUCCESS) $(1)-test-$(2)/@assert) $$(call mb_make_call,mb_doc_target,$(1)-test-$(2)/@assert,"Asserts that $(3) output matches.") $$(call mb_make_call,mb_proj_grow_deps_phase_test,$(1)-test-$(2)/@assert) .PHONY: $(1)-test-$(2)/@assert endef define mb_assert_flow_bdos_grep - $(call mb_make_call,mb_make_check_args_4,mb_assert_flow_bdos_grep,$(1),$(2),$(3),$(4)) + $(call mb_make_call,mb_make_check_arg4,mb_assert_flow_bdos_grep,$(1),$(2),$(3),$(4)) $(eval $(call mb_make_call,__mb_assert_flow_bdos_grep,$(1),$(2),$(3),$(4))) endef $(call mb_make_call,mb_doc_function_flow,mb_assert_flow_bdos_grep,"Prints flow of single grep assert."," ") + diff --git a/lib/make/mb_autoexec.mk b/lib/make/mb_autoexec.mk index 2189237..34e692b 100644 --- a/lib/make/mb_autoexec.mk +++ b/lib/make/mb_autoexec.mk @@ -65,9 +65,6 @@ $(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_PROMPT_FORMAT,"The prompt format MB_AUTOEXEC_PROMPT_SPACE ?= on $(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_PROMPT_SPACE,"When on adds an white space after the prompt format.") -MB_AUTOEXEC_LOG_PREFIX ?= "Write autoexec for " -$(call mb_make_call,mb_doc_variable_deep,MB_AUTOEXEC_LOG_PREFIX,"Prefix of message to log when writing a default autoexec.") - define mb_autoexec_append_cmd echo "$(2)\r" >> $(1)/autoexec.bat @@ -158,21 +155,22 @@ endef define mb_autoexec_write_default - $(call mb_make_call,mb_make_echo_command,$(MB_AUTOEXEC_LOG_PREFIX)$@) + $(call mb_make_call,mb_os_echo_command,$(MB_I18N_AUTOEXEC_WRITE) $@) @echo -n "" > $(1)/autoexec.bat $(if $(wildcard $(1)/utils),$(call mb_make_call,_mb_autoexec_write_preboot,$(1),utils\,$(2),$(3)),$(call mb_make_call,_mb_autoexec_write_preboot,$(1),,$(2),$(3))) + $(if $(filter on,$(MB_AUTOEXEC_SHOW_HOST)),$(call mb_make_call,mb_autoexec_append_cmd,$(1),omsxctl boot_exec_show_host)) $(if $(MB_AUTOEXEC_STARTUP_NOTE),$(call mb_make_call,mb_autoexec_append_echo,$(1),mb::note $(MB_AUTOEXEC_STARTUP_NOTE))) $(if $(filter on,$(MB_FLIGHT_VIDEO)),$(call mb_make_call,mb_autoexec_append_save_video,$(1))) - $(if $(filter on,$(MB_AUTOEXEC_SHOW_HOST)),$(call mb_make_call,mb_autoexec_append_cmd,$(1),omsxctl boot_exec_show_host)) - echo -n "$(subst $(MB_MAKE_SPACE)set,set,$(foreach var,$(MB_AUTOEXEC_EMBED_VARS),set MSX_$(var)=$($(var))\r\n))" >> $(1)/autoexec.bat - $(call mb_make_call,mb_autoexec_append_cmd,$(1),set TIME=$(MB_AUTOEXEC_TIME_FORMAT)) - $(call mb_make_call,mb_autoexec_append_cmd,$(1),set DATE=$(MB_AUTOEXEC_DATE_FORMAT)) + echo -n "$(subst $(MB_MAKE_SPACE)set,set,$(foreach var,$(MB_AUTOEXEC_EMBED_VARS),set MSX_$(var)$(MB_MAKE_EQUALS)$($(var))\r\n))" >> $(1)/autoexec.bat + echo -n "$(subst $(MB_MAKE_SPACE)set,set,$(foreach var,$(MB_AUTOEXEC_EMBED_VARS),echo MSX_$(var)$(MB_MAKE_EQUALS)%MSX_$(var)%\r\n))" >> $(1)/autoexec.bat + $(call mb_make_call,mb_autoexec_append_cmd,$(1),set TIME$(MB_MAKE_EQUALS)$(MB_AUTOEXEC_TIME_FORMAT)) + $(call mb_make_call,mb_autoexec_append_cmd,$(1),set DATE$(MB_MAKE_EQUALS)$(MB_AUTOEXEC_DATE_FORMAT)) $(if $(filter on,$(MB_AUTOEXEC_SHOW_PATH)),$(call mb_make_call,mb_autoexec_append_cmd,$(1),echo mb::path %PATH%)) - $(call mb_make_call,mb_autoexec_append_cmd,$(1),set MB_SNAIL=$(notdir $@)) - $(call mb_make_call,mb_autoexec_append_cmd,$(1),set MB_USER=$($(MB_AUTOEXEC_EMBED_USER))) + $(call mb_make_call,mb_autoexec_append_cmd,$(1),set MB_SNAIL$(MB_MAKE_EQUALS)$(notdir $@)) + $(call mb_make_call,mb_autoexec_append_cmd,$(1),set MB_USER$(MB_MAKE_EQUALS)$($(MB_AUTOEXEC_EMBED_USER))) $(if $(filter on,$(MB_AUTOEXEC_SHOW_USER)),$(call mb_make_call,mb_autoexec_append_echo,$(1),mb::user %MB_USER%)) - $(call mb_make_call,mb_autoexec_append_cmd,$(1),set MB_SLIME=$(1)) - $(call mb_make_call,mb_autoexec_append_cmd,$(1),set MB_TARGET=$@) + $(call mb_make_call,mb_autoexec_append_cmd,$(1),set MB_SLIME$(MB_MAKE_EQUALS)$(1)) + $(call mb_make_call,mb_autoexec_append_cmd,$(1),set MB_TARGET$(MB_MAKE_EQUALS)$@) $(if $(filter on,$(MB_AUTOEXEC_SHOW_FOLDER)),$(call mb_make_call,mb_autoexec_append_echo,$(1),mb::bath %MB_SLIME%)) $(if $(filter on,$(MB_AUTOEXEC_SHOW_TARGET)),$(call mb_make_call,mb_autoexec_append_echo,$(1),mb::pipe %MB_TARGET%)) $(if $(filter on,$(MB_AUTOEXEC_PROMPT_SPACE)),$(call mb_make_call,mb_autoexec_append_cmd,$(1),set PROMPT \"$(value MB_AUTOEXEC_PROMPT_FORMAT)$(MB_MAKE_SPACE)\")) diff --git a/lib/make/mb_conv.mk b/lib/make/mb_conv.mk index 6f8877f..6c72df1 100644 --- a/lib/make/mb_conv.mk +++ b/lib/make/mb_conv.mk @@ -1,13 +1,13 @@ define mb_conv_unix2dos - $(call mb_make_call,mb_make_echo_command,Convert to dos-EOL $(2)) + $(call mb_make_call,mb_os_echo_command,$(MB_I18N_CONV_UNIX2DOS) $(2)) unix2dos -q -n $(1) $(2) endef $(call mb_make_call,mb_doc_function,mb_conv_unix2dos,"Converts an unix file to dos."," ") define mb_conv_dos2unix - $(call mb_make_call,mb_make_echo_command,Convert to nix-EOL $(2)) + $(call mb_make_call,mb_os_echo_command,$(MB_I18N_CONV_DOS2UNIX) $(2)) dos2unix -q -n $(1) $(2) endef $(call mb_make_call,mb_doc_function,mb_conv_dos2unix,"Converts an dos file to unix."," ") diff --git a/lib/make/mb_doc.mk b/lib/make/mb_doc.mk index 20e75bb..f345b95 100644 --- a/lib/make/mb_doc.mk +++ b/lib/make/mb_doc.mk @@ -11,6 +11,9 @@ MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,_mb_doc_variable4u_flow,MB_DOC_ MB_DOC_FIRE_VARIABLE_ROCK ?= "" MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,_mb_doc_variable4u_flow,MB_DOC_FIRE_VARIABLE_ROCK,"Flow builder of makefire rock variable data.") +MB_DOC_FIRE_VARIABLE_I18N ?= "" +MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,_mb_doc_variable4u_flow,MB_DOC_FIRE_VARIABLE_I18N,"Flow builder of makefire i18n variable data.") + MB_DOC_FIRE_FUNCTION ?= "" MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,_mb_doc_variable4u_flow,MB_DOC_FIRE_FUNCTION,"Flow builder of firemake function data.") @@ -69,22 +72,29 @@ endef define _mb_doc_variable4u_flow $(call mb_make_call,_mb_doc_variable_$(MB_DOC_FORMAT),flow,$(1),$(2),$(words $($(1)))) endef +define _mb_doc_variable4u_i18n + $(call mb_make_call,_mb_doc_variable_$(MB_DOC_FORMAT),i18n,$(1),$(2),$($(1))) +endef define mb_doc_variable $(eval MB_DOC_FIRE_VARIABLE += $$(call mb_make_call,_mb_doc_variable_$$(MB_DOC_FORMAT),build,$(1),$(2),$($(1)))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_variable,"Prints formatted documention of an variable."," [desc]") +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_variable,"Saves formatted documention of an variable."," [desc]") define mb_doc_variable_deep $(eval MB_DOC_FIRE_VARIABLE_DEEP += $$(call mb_make_call,_mb_doc_variable4u_deep,$(1),$(2))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_variable_deep,"Prints formatted documention of an deep variable."," [desc]") +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_variable_deep,"Saves formatted documention of an deep variable."," [desc]") define mb_doc_variable_rock $(eval MB_DOC_FIRE_VARIABLE_ROCK += $$(call mb_make_call,_mb_doc_variable4u_rock,$(1),$(2))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_variable_rock,"Prints formatted documention of an rock variable."," [desc]") +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_variable_rock,"Saves formatted documention of an rock variable."," [desc]") define mb_doc_variable_flow $(eval MB_DOC_FIRE_VARIABLE_FLOW += $$(call mb_make_call,_mb_doc_variable4u_flow,$(1),$(2))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_variable_flow,"Prints formatted documention of an flow variable."," [desc]") +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_variable_flow,"Saves formatted documention of an flow variable."," [desc]") +define mb_doc_variable_i18n + $(eval MB_DOC_FIRE_VARIABLE_I18N += $$(call mb_make_call,_mb_doc_variable4u_i18n,$(1),$(2))) +endef +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_variable_i18n,"Saves formatted documention of an i18n variable."," [desc]") define _mb_doc_function_txt @@ -102,15 +112,15 @@ endef define mb_doc_function $(eval MB_DOC_FIRE_FUNCTION += $$(call mb_make_call,_mb_doc_function_$$(MB_DOC_FORMAT),build,$(1),$(2),$(3))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_function,"Prints formatted documention of an function."," [desc] [args]") +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_function,"Saves formatted documention of an function."," [desc] [args]") define mb_doc_function_deep $(eval MB_DOC_FIRE_FUNCTION_DEEP += $$(call mb_make_call,_mb_doc_function4u_deep,$(1),$(2),$(3))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_function_deep,"Prints formatted documention of an deep function."," [desc] [args]") +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_function_deep,"Saves formatted documention of an deep function."," [desc] [args]") define mb_doc_function_flow $(eval MB_DOC_FIRE_FUNCTION_FLOW += $$(call mb_make_call,_mb_doc_function4u_flow,$(1),$(2),$(3))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_function_flow,"Prints formatted documention of an flow function."," [desc] [args]") +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_function_flow,"Saves formatted documention of an flow function."," [desc] [args]") define _mb_doc_target_txt @@ -122,19 +132,19 @@ endef define mb_doc_target $(eval MB_DOC_FIRE_TARGET += $$(call mb_make_call,_mb_doc_target_$$(MB_DOC_FORMAT),build,$(1),$(2))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_target,"Prints formatted documention of an target."," [desc]") +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_target,"Saves formatted documention of an target."," [desc]") define mb_doc_target_deep $(eval MB_DOC_FIRE_TARGET_DEEP += $$(call mb_make_call,_mb_doc_target_$$(MB_DOC_FORMAT),deep,$(1),$(2))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_target_deep,"Prints formatted documention of an deep target."," [desc]") +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_target_deep,"Saves formatted documention of an deep target."," [desc]") define mb_doc_target_help $(eval MB_DOC_FIRE_TARGET_HELP += $$(call mb_make_call,_mb_doc_target_$(MB_DOC_FORMAT),help,$(1),$(2))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_target_help,"Prints formatted documention of an help target."," [desc]") +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_target_help,"Saves formatted documention of an help target."," [desc]") define mb_doc_target_run $(eval MB_DOC_FIRE_TARGET_RUN += $$(call mb_make_call,_mb_doc_target_$$(MB_DOC_FORMAT),run,$(1),$(2))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_target_run,"Prints formatted documention of an run target."," [desc]") +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_target_run,"Saves formatted documention of an run target."," [desc]") define __mb_doc_flow_help @@ -182,6 +192,15 @@ $$(call mb_make_call,mb_doc_target_help,@help-variable-flow,"Lists flow variable .PHONY: @help-variable-flow +@help-variable-i18n: + $$(if $$(filter txt,$$(MB_DOC_FORMAT)),@echo "Documention of the i18n make variables;\\n") + $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) + @echo $$(MB_DOC_FIRE_VARIABLE_I18N) + $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) +$$(call mb_make_call,mb_doc_target_help,@help-variable-i18n,"Lists i18n variables.") +.PHONY: @help-variable-i18n + + @help-function: $$(if $$(filter txt,$$(MB_DOC_FORMAT)),@echo "Documention of the make fire functions;\\n") $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) @@ -239,30 +258,32 @@ $$(call mb_make_call,mb_doc_target_help,@help-target-run,"Lists runnable targets @help-all: $$(if $$(filter txt,$$(MB_DOC_FORMAT)),@echo "Documention of all fire functions/variables/targets;\\n") $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT))) - $$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_make_echo_good,"Variables\\n")) + $$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_os_echo_good,"Variables\\n")) @echo $$(MB_DOC_FIRE_VARIABLE) - $$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_make_echo_good,"Variables in the deep\\n")) + $$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_os_echo_good,"Variables in the deep\\n")) @echo $$(MB_DOC_FIRE_VARIABLE_DEEP) - $$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_make_echo_good,"Variables hard as rock\\n")) + $$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_os_echo_good,"Variables hard as rock\\n")) @echo $$(MB_DOC_FIRE_VARIABLE_ROCK) - $$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_make_echo_good,"Variables defining flow\\n")) + $$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_os_echo_good,"Variables defining flow\\n")) @echo $$(MB_DOC_FIRE_VARIABLE_FLOW) - $$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_make_echo_good,"Functions\\n")) + $$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_os_echo_good,"Variables for i18n letters\\n")) + @echo $$(MB_DOC_FIRE_VARIABLE_I18N) + $$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_os_echo_good,"Functions\\n")) @echo $$(MB_DOC_FIRE_FUNCTION) - $$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_make_echo_good,"Functions in the deep\\n")) + $$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_os_echo_good,"Functions in the deep\\n")) @echo $$(MB_DOC_FIRE_FUNCTION_DEEP) - $$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_make_echo_good,"Functions building flow\\n")) + $$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_os_echo_good,"Functions building flow\\n")) @echo $$(MB_DOC_FIRE_FUNCTION_FLOW) - $$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_make_echo_good,"Targets\\n")) + $$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_os_echo_good,"Targets\\n")) @echo $$(MB_DOC_FIRE_TARGET) - $$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_make_echo_good,"Targets in the deep\\n")) + $$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_os_echo_good,"Targets in the deep\\n")) @echo $$(MB_DOC_FIRE_TARGET_DEEP) - $$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_make_echo_good,"Targets that run away\\n")) + $$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_os_echo_good,"Targets that run away\\n")) @echo $$(MB_DOC_FIRE_TARGET_RUN) - $$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_make_echo_good,"Targets main project help\\n")) + $$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_os_echo_good,"Targets main project help\\n")) @echo $$(MB_DOC_FIRE_TARGET_HELP) $$(if $$(filter xml,$$(MB_DOC_FORMAT)),@echo $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT))) - $$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_make_echo_good,OK)) + $$(if $$(filter txt,$$(MB_DOC_FORMAT)),$$(call mb_make_call,mb_os_echo_good,OK)) $$(call mb_make_call,mb_doc_target_help,@help-all,"Lists all documented information.") .PHONY: @help-all diff --git a/lib/make/mb_flight.mk b/lib/make/mb_flight.mk index c282fa6..c53ab42 100644 --- a/lib/make/mb_flight.mk +++ b/lib/make/mb_flight.mk @@ -19,11 +19,11 @@ $(call mb_make_call,mb_doc_variable,MB_FLIGHT_VIDEO_NAME,"Video file name of the define mb_flight_video_merge - $(call mb_make_call,mb_make_check_args_1,mb_flight_video_merge,$(1)) - $(call mb_make_call,mb_make_echo_good,Indexing flight videos) + $(call mb_make_call,mb_make_check_arg1,mb_flight_video_merge,$(1)) + $(call mb_make_call,mb_os_echo_good,Indexing flight videos) ls -1tr $(1)/*-omsx/videos/*.avi | awk -F "$(1)/" -v s="file '" -v e="'" '{print s$$2e}' > $(1)/$(MB_FLIGHT_VIDEO_NAME).lst ffmpeg -v quiet -y -f concat -i $(1)/$(MB_FLIGHT_VIDEO_NAME).lst -c copy $(1)/$(MB_FLIGHT_VIDEO_NAME).avi - $(call mb_make_call,mb_make_echo_good,Flight video completed) + $(call mb_make_call,mb_os_echo_good,Flight video completed) endef $(call mb_make_call,mb_doc_function,mb_flight_video_merge,"Merged all flight videos to one.","") @@ -65,7 +65,7 @@ $$(call mb_make_call,mb_doc_target_help,@flight-video-package-qa,"Runs @clean an .PHONY: @flight-video-package-qa endef define mb_flight_proj_flow_video - $(call mb_make_call,mb_make_check_args_1,mb_flight_proj_flow_video,$(1)) + $(call mb_make_call,mb_make_check_arg1,mb_flight_proj_flow_video,$(1)) $(eval $(call mb_make_call,__mb_flight_proj_flow_video,$(1))) endef $(call mb_make_call,mb_doc_function_flow,mb_flight_proj_flow_video,"Prints flow for adding flight video targets in project build cycle.","") diff --git a/lib/make/mb_i18n.mk b/lib/make/mb_i18n.mk new file mode 100644 index 0000000..57feaa3 --- /dev/null +++ b/lib/make/mb_i18n.mk @@ -0,0 +1,67 @@ + +MB_I18N_ASSERT_SUCCESS ?= "Assert successfull" +$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_ASSERT_SUCCESS,"Message for when assertion test is successfull.") + +MB_I18N_AUTOEXEC_WRITE ?= "Write autoexec for" +$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_AUTOEXEC_WRITE,"Message for when writing a default autoexec.") + +MB_I18N_CONV_UNIX2DOS ?= "Convert to dos-EOL" +$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_CONV_UNIX2DOS,"Message for when converting a file to dos.") + +MB_I18N_CONV_DOS2UNIX ?= "Convert to nix-EOL" +$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_CONV_DOS2UNIX,"Message for when converting a file to unix.") + +MB_I18N_MAKE_CHECK_ARG1 ?= "Argument 1 is missing." +$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_MAKE_CHECK_ARG1,"Error message when argument 1 is missing.") + +MB_I18N_MAKE_CHECK_ARG2 ?= "Argument 2 is missing." +$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_MAKE_CHECK_ARG2,"Error message when argument 2 is missing.") + +MB_I18N_MAKE_CHECK_ARG3 ?= "Argument 3 is missing." +$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_MAKE_CHECK_ARG3,"Error message when argument 3 is missing.") + +MB_I18N_MAKE_CHECK_ARG4 ?= "Argument 4 is missing." +$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_MAKE_CHECK_ARG4,"Error message when argument 4 is missing.") + +MB_I18N_MAKE_CHECK_ARG5 ?= "Argument 5 is missing." +$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_MAKE_CHECK_ARG5,"Error message when argument 5 is missing.") + +MB_I18N_MSXHUB_FILE_FETCH ?= "Fetch msxhub file" +$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_MSXHUB_FILE_FETCH,"Message for when fetching a msxhub file.") + +MB_I18N_MSXROM_FILE_FETCH ?= "Fetch msxrom file" +$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_MSXROM_FILE_FETCH,"Message for when fetching a msxrom file.") + +MB_I18N_OPENMSX_STARTUP ?= "Start openMSX! for" +$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_OPENMSX_STARTUP,"Message used on startup of openMSX.") + +MB_I18N_OS_ECHO_CRAYON ?= "===" +$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_OS_ECHO_CRAYON,"Crayon marker for result step output echo's.") + +MB_I18N_PROJ_STEP_BEFORE ?= "Before --" +$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_STEP_BEFORE,"Prefix for begin phase message.") + +MB_I18N_PROJ_STEP_AFTER ?= "After ----" +$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_STEP_AFTER,"Prefix for after phase message.") + +MB_I18N_PROJ_STEP_DONE ?= "Finished executing prime pi target" +$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_STEP_DONE,"Message for requested phase completed.") + +MB_I18N_PROJ_DIR_CREATE ?= "Creating folder" +$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_DIR_CREATE,"Message for creating a folder.") + +MB_I18N_PROJ_DIR_DELETE ?= "Removing folder" +$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_DIR_DELETE,"Message for deleting a folder.") + +MB_I18N_SDCC_COMPILE ?= "SDCC Compile super" +$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_SDCC_COMPILE,"Message for SDCC compiler run.") + +MB_I18N_SDCC_ARLIB ?= "SDCC Builder arlib" +$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_SDCC_ARLIB,"Message for SDCC lib archive tool.") + +MB_I18N_SDCC_LINK ?= "SDCC Linker MSXlib" +$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_SDCC_LINK,"Message for SDCC linker run.") + +MB_I18N_SDCC_OBJCOPY ?= "SDCC sdobjcopy for" +$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_SDCC_OBJCOPY,"Message for SDCC objcopy run.") + diff --git a/lib/make/mb_make.mk b/lib/make/mb_make.mk index 2c3fb4a..9226e9e 100644 --- a/lib/make/mb_make.mk +++ b/lib/make/mb_make.mk @@ -38,9 +38,6 @@ $(call mb_make_call,mb_doc_variable_rock,MB_MAKE_CDATA_START,"Expanded special c MB_MAKE_CDATA_END := "]]>" $(call mb_make_call,mb_doc_variable_rock,MB_MAKE_CDATA_END,"Expanded special char; XML cdata end.") -MB_MAKE_ECHO_CRAYON ?= "===" -$(call mb_make_call,mb_doc_variable_deep,MB_MAKE_ECHO_CRAYON,"Crayon marker for result step output echo's.") - define mb_make_rwildcard $(foreach d,$(wildcard $1*),$(call mb_make_rwildcard,$d/,$2) $(filter $(subst *,%,$2),$d)) @@ -94,80 +91,48 @@ define __mb_make_flow_0module include $$(call mb_make_call,mb_make_rwildcard,$(1),*/0module.mk) endef define mb_make_flow_0module - $(call mb_make_call,mb_make_check_args_1,mb_make_flow_0module,$(1)) + $(call mb_make_call,mb_make_check_arg1,mb_make_flow_0module,$(1)) $(eval $(call mb_make_call,__mb_make_flow_0module,$(1))) endef $(call mb_make_call,mb_doc_function_flow,mb_make_flow_0module,"Prints flow of recursive 0module.mk include.","") -define mb_make_check_args_1 -$(if $(2),,$(error $(1): Argument 1 missing)) +define mb_make_check_arg1 +$(if $(2),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG1))) endef -$(call mb_make_call,mb_doc_function_deep,mb_make_check_args_1,"Checks that a function has a given argument."," ") -define mb_make_check_args_2 -$(if $(2),,$(error $(1): Argument 1 missing)) -$(if $(3),,$(error $(1): Argument 2 missing)) +$(call mb_make_call,mb_doc_function_deep,mb_make_check_arg1,"Checks that a function has a given argument."," ") +define mb_make_check_arg2 +$(if $(2),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG1))) +$(if $(3),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG2))) endef -$(call mb_make_call,mb_doc_function_deep,mb_make_check_args_2,"Checks that a function has the given arguments."," ") -define mb_make_check_args_3 -$(if $(2),,$(error $(1): Argument 1 missing)) -$(if $(3),,$(error $(1): Argument 2 missing)) -$(if $(4),,$(error $(1): Argument 3 missing)) +$(call mb_make_call,mb_doc_function_deep,mb_make_check_arg2,"Checks that a function has the given arguments."," ") +define mb_make_check_arg3 +$(if $(2),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG1))) +$(if $(3),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG2))) +$(if $(4),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG3))) endef -$(call mb_make_call,mb_doc_function_deep,mb_make_check_args_3,"Checks that a function has the given arguments."," ") -define mb_make_check_args_4 -$(if $(2),,$(error $(1): Argument 1 missing)) -$(if $(3),,$(error $(1): Argument 2 missing)) -$(if $(4),,$(error $(1): Argument 3 missing)) -$(if $(5),,$(error $(1): Argument 4 missing)) +$(call mb_make_call,mb_doc_function_deep,mb_make_check_arg3,"Checks that a function has the given arguments."," ") +define mb_make_check_arg4 +$(if $(2),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG1))) +$(if $(3),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG2))) +$(if $(4),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG3))) +$(if $(5),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG4))) endef -$(call mb_make_call,mb_doc_function_deep,mb_make_check_args_4,"Checks that a function has the given arguments."," ") -define mb_make_check_args_5 -$(if $(2),,$(error $(1): Argument 1 missing)) -$(if $(3),,$(error $(1): Argument 2 missing)) -$(if $(4),,$(error $(1): Argument 3 missing)) -$(if $(5),,$(error $(1): Argument 4 missing)) -$(if $(6),,$(error $(1): Argument 5 missing)) +$(call mb_make_call,mb_doc_function_deep,mb_make_check_arg4,"Checks that a function has the given arguments."," ") +define mb_make_check_arg5 +$(if $(2),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG1))) +$(if $(3),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG2))) +$(if $(4),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG3))) +$(if $(5),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG4))) +$(if $(6),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG5))) endef -$(call mb_make_call,mb_doc_function_deep,mb_make_check_args_5,"Checks that a function has the given arguments."," ") - - -define mb_make_echo_good -$(if $(filter -1,$(MB_OS_COLORS)),@echo $(MB_MAKE_ECHO_CRAYON) $(1),$(MB_OS_ECHO) -e "\x1B[32m$(MB_MAKE_ECHO_CRAYON)$$1\x1B[39m $(1)") -endef -$(call mb_make_call,mb_doc_function_deep,mb_make_echo_good,"Echo's an message to stdout with 'green' crayon.","") - - -define mb_make_echo_fail -$(if $(filter -1,$(MB_OS_COLORS)),@echo $(MB_MAKE_ECHO_CRAYON) $(1),$(MB_OS_ECHO) -e "\x1B[31m$(MB_MAKE_ECHO_CRAYON)$$1\x1B[39m $(1)") -endef -$(call mb_make_call,mb_doc_function_deep,mb_make_echo_fail,"Echo's an message to stdout with 'red' crayon.","") - - -define mb_make_echo_command -$(if $(filter -1,$(MB_OS_COLORS)),@echo $(MB_MAKE_ECHO_CRAYON) $(1),$(MB_OS_ECHO) -e "\x1B[34m$(MB_MAKE_ECHO_CRAYON)$$1\x1B[39m $(1)") -endef -$(call mb_make_call,mb_doc_function_deep,mb_make_echo_command,"Echo's an message to stdout with 'blue' crayon.","") - - -define mb_make_echo_phase -$(if $(filter -1,$(MB_OS_COLORS)),@echo $(MB_MAKE_ECHO_CRAYON) $(1),$(MB_OS_ECHO) -e "\x1B[35m$(MB_MAKE_ECHO_CRAYON)$$1\x1B[39m $(1)") -endef -$(call mb_make_call,mb_doc_function_deep,mb_make_echo_phase,"Echo's an message to stdout with 'magenta' crayon.","") - - -define mb_make_echo_assert -$(if $(filter -1,$(MB_OS_COLORS)),@echo $(MB_MAKE_ECHO_CRAYON) $(1),$(MB_OS_ECHO) -e "\x1B[33m$(MB_MAKE_ECHO_CRAYON)$$1\x1B[39m $(1)") -endef -$(call mb_make_call,mb_doc_function_deep,mb_make_echo_assert,"Echo's an message to stdout with 'yellow' crayon.","") - -# Last color: Cyan: \u001b[36m +$(call mb_make_call,mb_doc_function_deep,mb_make_check_arg5,"Checks that a function has the given arguments."," ") diff --git a/lib/make/mb_msxhub.mk b/lib/make/mb_msxhub.mk index 46ecebf..cc5bf8c 100644 --- a/lib/make/mb_msxhub.mk +++ b/lib/make/mb_msxhub.mk @@ -7,7 +7,7 @@ $(call mb_make_call,mb_doc_variable_deep,MB_MSXHUB_CACHE,"Cache storage location define _mb_msxhub_file_fetch - @echo === Fetch msxhub file + $(call mb_make_call,mb_os_echo_command,$(MB_I18N_MSXHUB_FILE_FETCH) $(subst $(MB_MSXHUB_API)/,,$(1))) $(if $(wildcard $(dir $(MB_MSXHUB_CACHE)/$(subst $(MB_MSXHUB_API)/,,$(1)))),,$(call mb_make_call,mb_os_dir_create,$(dir $(MB_MSXHUB_CACHE)/$(subst $(MB_MSXHUB_API)/,,$(1))))) $(if $(wildcard $(MB_MSXHUB_CACHE)/$(subst $(MB_MSXHUB_API)/,,$(1))),,wget -O $(MB_MSXHUB_CACHE)/$(subst $(MB_MSXHUB_API)/,,$(1)) $(1)) endef diff --git a/lib/make/mb_msxrom.mk b/lib/make/mb_msxrom.mk index 2a24165..f04ad3f 100644 --- a/lib/make/mb_msxrom.mk +++ b/lib/make/mb_msxrom.mk @@ -8,7 +8,7 @@ $(call mb_make_call,mb_doc_variable_deep,MB_MSXROM_CACHE,"Cache storage location define _mb_msxrom_file_fetch - @echo === Fetch msxrom file + $(call mb_make_call,mb_os_echo_command,$(MB_I18N_MSXROM_FILE_FETCH) $(subst $(MB_MSXROM_API)/,,$(1))) $(if $(wildcard $(dir $(MB_MSXROM_CACHE)/$(subst $(MB_MSXROM_API)/,,$(1)))),,$(call mb_make_call,mb_os_dir_create,$(dir $(MB_MSXROM_CACHE)/$(subst $(MB_MSXROM_API)/,,$(1))))) $(if $(wildcard $(MB_MSXROM_CACHE)/$(subst $(MB_MSXROM_API)/,,$(1))),,wget -O $(MB_MSXROM_CACHE)/$(subst $(MB_MSXROM_API)/,,$(1)) $(1)) endef diff --git a/lib/make/mb_openmsx.mk b/lib/make/mb_openmsx.mk index 2907f4b..6c68020 100644 --- a/lib/make/mb_openmsx.mk +++ b/lib/make/mb_openmsx.mk @@ -30,14 +30,13 @@ MB_OPENMSX_HEADLESS ?= on $(call mb_make_call,mb_doc_variable,MB_OPENMSX_HEADLESS,"Controls if openMSX starts headless.") MB_OPENMSX_RENDERER ?= SDLGL-PP -$(call mb_make_call,mb_doc_variable,MB_OPENMSX_RENDERER,"The gui renderer used to display the window head with.") +$(call mb_make_call,mb_doc_variable_deep,MB_OPENMSX_RENDERER,"The gui renderer used to display the window head with.") -MB_OPENMSX_LOG_PREFIX ?= "Start openMSX! for " -$(call mb_make_call,mb_doc_variable_deep,MB_OPENMSX_LOG_PREFIX,"Prefix of startup message of openMSX.") - -# Kills valuable info on build server, thus keep off until issues openMSX are solved, rm this variale ASP; MB_OPENMSX_STDOUT_IGNORE ?= off +$(call mb_make_call,mb_doc_variable_deep,MB_OPENMSX_STDOUT_IGNORE,"Kills logging...rm after issues openMSX are solved.") + MB_OPENMSX_STDERR_IGNORE ?= off +$(call mb_make_call,mb_doc_variable_deep,MB_OPENMSX_STDERR_IGNORE,"Kills logging...rm after issues openMSX are solved.") define mb_openmsx_setup @@ -62,7 +61,7 @@ $(call mb_make_call,mb_doc_function_deep,mb_openmsx_setup,"Setup openMSX local b define _mb_openmsx_run - $(call mb_make_call,mb_make_echo_command,$(MB_OPENMSX_LOG_PREFIX)$@ on $(2)) + $(call mb_make_call,mb_os_echo_command,$(MB_I18N_OPENMSX_STARTUP) $@ - $(2)) $(if $(wildcard $(1)-omsx/persistent/fire-hdd/untitled1/sync),,$(call mb_make_call,mb_os_dir_create,$(1)-omsx/persistent/fire-hdd/untitled1/sync)) BOOT_WATCHDOG=$(MB_OPENMSX_BOOT_TIMEOUT) \ FIRE_HDD_PATH="$(1)" \ diff --git a/lib/make/mb_os.mk b/lib/make/mb_os.mk index ace8f35..ceff9f1 100644 --- a/lib/make/mb_os.mk +++ b/lib/make/mb_os.mk @@ -60,3 +60,34 @@ define mb_os_file_copy endef $(call mb_make_call,mb_doc_function,mb_os_file_copy,"Copy an file."," ") + +define mb_os_echo_good +$(if $(filter -1,$(MB_OS_COLORS)),@echo $(MB_I18N_OS_ECHO_CRAYON) $(1),$(MB_OS_ECHO) -e "\x1B[32m$(MB_I18N_OS_ECHO_CRAYON)$$1\x1B[39m $(1)") +endef +$(call mb_make_call,mb_doc_function_deep,mb_os_echo_good,"Echo's an message to stdout with 'green' crayon.","") + + +define mb_os_echo_fail +$(if $(filter -1,$(MB_OS_COLORS)),@echo $(MB_I18N_OS_ECHO_CRAYON) $(1),$(MB_OS_ECHO) -e "\x1B[31m$(MB_I18N_OS_ECHO_CRAYON)$$1\x1B[39m $(1)") +endef +$(call mb_make_call,mb_doc_function_deep,mb_os_echo_fail,"Echo's an message to stdout with 'red' crayon.","") + + +define mb_os_echo_command +$(if $(filter -1,$(MB_OS_COLORS)),@echo $(MB_I18N_OS_ECHO_CRAYON) $(1),$(MB_OS_ECHO) -e "\x1B[34m$(MB_I18N_OS_ECHO_CRAYON)$$1\x1B[39m $(1)") +endef +$(call mb_make_call,mb_doc_function_deep,mb_os_echo_command,"Echo's an message to stdout with 'blue' crayon.","") + + +define mb_os_echo_phase +$(if $(filter -1,$(MB_OS_COLORS)),@echo $(MB_I18N_OS_ECHO_CRAYON) $(1),$(MB_OS_ECHO) -e "\x1B[35m$(MB_I18N_OS_ECHO_CRAYON)$$1\x1B[39m $(1)") +endef +$(call mb_make_call,mb_doc_function_deep,mb_os_echo_phase,"Echo's an message to stdout with 'magenta' crayon.","") + + +define mb_os_echo_assert +$(if $(filter -1,$(MB_OS_COLORS)),@echo $(MB_I18N_OS_ECHO_CRAYON) $(1),$(MB_OS_ECHO) -e "\x1B[33m$(MB_I18N_OS_ECHO_CRAYON)$$1\x1B[39m $(1)") +endef +$(call mb_make_call,mb_doc_function_deep,mb_os_echo_assert,"Echo's an message to stdout with 'yellow' crayon.","") + +# Last color: Cyan: \u001b[36m diff --git a/lib/make/mb_proj.mk b/lib/make/mb_proj.mk index fc710ed..4ac99c6 100644 --- a/lib/make/mb_proj.mk +++ b/lib/make/mb_proj.mk @@ -8,15 +8,6 @@ $(call mb_make_call,mb_doc_variable,MB_PROJ_META_DESCRIPTION,"The project descri MB_PROJ_META_WEBSITE ?= $(call mb_make_call,mb_doc_variable,MB_PROJ_META_WEBSITE,"The project website location.") -MB_PROJ_STEP_BEFORE_PREFIX ?= "Before -- " -$(call mb_make_call,mb_doc_variable_deep,MB_PROJ_STEP_BEFORE_PREFIX,"Prefix for begin phase message.") - -MB_PROJ_STEP_AFTER_PREFIX ?= "After ---- " -$(call mb_make_call,mb_doc_variable_deep,MB_PROJ_STEP_AFTER_PREFIX,"Prefix for af phase message.") - -MB_PROJ_STEP_DONE_PREFIX ?= "Finished executing prime pi target " -$(call mb_make_call,mb_doc_variable_deep,MB_PROJ_STEP_DONE_PREFIX,"Prefix for af phase message.") - MB_PROJ_PHASE_PROCESS_DEPS ?= $(call mb_make_call,mb_doc_variable_flow,MB_PROJ_PHASE_PROCESS_DEPS,"The targets to trigger by project @process target.") @@ -110,18 +101,18 @@ $(call mb_make_call,mb_doc_function_deep,mb_proj_grow_deps_phase_package_deploy, define _mb_proj_flow_step_before -$(call mb_make_call,mb_make_echo_phase,$(MB_PROJ_STEP_BEFORE_PREFIX)$@) +$(call mb_make_call,mb_os_echo_phase,$(MB_I18N_PROJ_STEP_BEFORE) $@) endef define _mb_proj_flow_step_done -$(call mb_make_call,mb_make_echo_phase,$(MB_PROJ_STEP_AFTER_PREFIX)$@) -$(if $(filter $@,$(MAKECMDGOALS)),$(call mb_make_call,mb_make_echo_good,$(MB_PROJ_STEP_DONE_PREFIX)$@)) +$(call mb_make_call,mb_os_echo_phase,$(MB_I18N_PROJ_STEP_AFTER) $@) +$(if $(filter $@,$(MAKECMDGOALS)),$(call mb_make_call,mb_os_echo_good,$(MB_I18N_PROJ_STEP_DONE) $@)) endef define _mb_proj_run_clean -$(foreach folder,$(1),$(if $(wildcard $(folder)),$(call mb_make_call,mb_make_echo_command,Removing folder $(folder));)) +$(foreach folder,$(1),$(if $(wildcard $(folder)),$(call mb_make_call,mb_os_echo_command,$(MB_I18N_PROJ_DIR_DELETE) $(folder));)) $(foreach folder,$(1),$(if $(wildcard $(folder)),$(call mb_make_call,mb_os_dir_delete,$(folder)))) endef define _mb_proj_run_init -$(foreach folder,$(1),$(if $(wildcard $(folder)),,$(call mb_make_call,mb_make_echo_command,Creating folder $(folder));)) +$(foreach folder,$(1),$(if $(wildcard $(folder)),,$(call mb_make_call,mb_os_echo_command,$(MB_I18N_PROJ_DIR_CREATE) $(folder));)) $(foreach folder,$(1),$(if $(wildcard $(folder)),,$(call mb_make_call,mb_os_dir_create,$(folder)))) endef @@ -235,12 +226,12 @@ $$(call mb_make_call,mb_doc_target_help,@package-qa,"Run all packages QA of proj @package-deploy: @@package-deploy $$(MB_PROJ_PHASE_PACKAGE_DEPLOY_DEPS) - $$(call mb_make_call,__mb_proj_flow_step_done) + $$(call mb_make_call,_mb_proj_flow_step_done) $$(call mb_make_call,mb_doc_target_help,@package-deploy,"Deploy all the packages.") .PHONY: @package-deploy endef define mb_proj_flow_setup - $(call mb_make_call,mb_make_check_args_1,mb_proj_flow_setup,$(1)) + $(call mb_make_call,mb_make_check_arg1,mb_proj_flow_setup,$(1)) $(eval $(call mb_make_call,__mb_proj_flow_setup,$(1))) endef $(call mb_make_call,mb_doc_function_flow,mb_proj_flow_setup,"Prints flow of abstract project build cycle.","") diff --git a/lib/make/mb_sdcc.mk b/lib/make/mb_sdcc.mk index b4f4161..fee6756 100644 --- a/lib/make/mb_sdcc.mk +++ b/lib/make/mb_sdcc.mk @@ -1,18 +1,18 @@ MB_SDCC_FLAG_CPU ?= -mz80 -$(call mb_make_call,mb_doc_variable,MB_SDCC_FLAG_CPU,"The SDCC cpu target option.") +$(call mb_make_call,mb_doc_variable_deep,MB_SDCC_FLAG_CPU,"The SDCC cpu target option.") MB_SDCC_FLAG_LD ?= --nostdinc -$(call mb_make_call,mb_doc_variable,MB_SDCC_FLAG_LD,"The SDCC linker option.") +$(call mb_make_call,mb_doc_variable_deep,MB_SDCC_FLAG_LD,"The SDCC linker option.") MB_SDCC_ASZ80_FLAGS ?= -g -l -c -o -$(call mb_make_call,mb_doc_variable,MB_SDCC_ASZ80_FLAGS,"The SDCC z80 asm compiler flags.") +$(call mb_make_call,mb_doc_variable_deep,MB_SDCC_ASZ80_FLAGS,"The SDCC z80 asm compiler flags.") MB_SDCC_CC_FLAGS ?= -$(call mb_make_call,mb_doc_variable,MB_SDCC_CC_FLAGS,"The SDCC C compiler flags.") +$(call mb_make_call,mb_doc_variable_deep,MB_SDCC_CC_FLAGS,"The SDCC C compiler flags.") MB_SDCC_AR_FLAGS ?= -rc -$(call mb_make_call,mb_doc_variable,MB_SDCC_AR_FLAGS,"The SDCC module archive flags.") +$(call mb_make_call,mb_doc_variable_deep,MB_SDCC_AR_FLAGS,"The SDCC module archive flags.") # TODO: Add C + mixed support; @@ -21,28 +21,28 @@ $(call mb_make_call,mb_doc_variable,MB_SDCC_AR_FLAGS,"The SDCC module archive fl define mb_sdcc_compile_asm - $(call mb_make_call,mb_make_echo_command,SDCC Compile super $(2) from ASM) + $(call mb_make_call,mb_os_echo_command,$(MB_I18N_SDCC_COMPILE) $(2) - ASM) $(PATH_SDCC)/sdasz80 $(MB_SDCC_ASZ80_FLAGS) $(2) $(1) endef $(call mb_make_call,mb_doc_function,mb_sdcc_compile_asm,"Compiles asm source code."," ") -define mb_sdcc_link_asm_lib - $(call mb_make_call,mb_make_echo_command,SDCC Link ASM lib $(2)) +define mb_sdcc_arlib_asm + $(call mb_make_call,mb_os_echo_command,$(MB_I18N_SDCC_ARLIB) $(2)) $(PATH_SDCC)/sdar $(MB_SDCC_AR_FLAGS) $(1) $(2) endef -$(call mb_make_call,mb_doc_function,mb_sdcc_link_asm_lib,"Link asm lib module."," ") +$(call mb_make_call,mb_doc_function,mb_sdcc_arlib_asm,"Link asm lib module."," ") define mb_sdcc_link_asm - $(call mb_make_call,mb_make_echo_command,SDCC Link megaocto $(2) at $(3)) + $(call mb_make_call,mb_os_echo_command,$(MB_I18N_SDCC_LINK) $(2) - ASM @ $(3)) $(PATH_SDCC)/sdcc $(MB_SDCC_FLAG_CPU) $(MB_SDCC_CC_FLAGS) --no-std-crt0 --code-loc $(3) -o $(2) $(1) endef $(call mb_make_call,mb_doc_function,mb_sdcc_link_asm,"Links asm to custom code location."," ") define mb_sdcc_link_asm_0000 - $(call mb_make_call,mb_sdcc_link_asm,$(1),$(2),0x0000)rule + $(call mb_make_call,mb_sdcc_link_asm,$(1),$(2),0x0000) endef $(call mb_make_call,mb_doc_function,mb_sdcc_link_asm_0000,"Links asm to 0x0000."," ") @@ -83,9 +83,9 @@ endef $(call mb_make_call,mb_doc_function,mb_sdcc_link_asm_bdos,"Links asm to BDOS."," ") -define mb_sdcc_hex2bin - $(call mb_make_call,mb_make_echo_command,SDCC sdobjcopy for $(2)) - $(PATH_SDCC)/sdobjcopy -I ihex --output-target=binary $(1) $(2) +define mb_sdcc_objcopy + $(call mb_make_call,mb_os_echo_command,$(MB_I18N_SDCC_OBJCOPY) $(2)) + $(PATH_SDCC)/sdobjcopy -I ihex --output-target$(MB_MAKE_EQUALS)binary $(1) $(2) endef -$(call mb_make_call,mb_doc_function,mb_sdcc_hex2bin,"Converts an hex file to binary."," ") +$(call mb_make_call,mb_doc_function,mb_sdcc_objcopy,"Converts an hex file to binary."," ") diff --git a/lib/make/mb_tool.mk b/lib/make/mb_tool.mk index 14ace00..ceb27b0 100644 --- a/lib/make/mb_tool.mk +++ b/lib/make/mb_tool.mk @@ -12,25 +12,24 @@ $$(call mb_make_call,mb_doc_target_deep,$(1)) $(1)/$(3).mac: $(2)/$(3).mac | $(1) $$(call mb_make_call,mb_conv_unix2dos,$(2)/$(3).mac,$(1)/$(3).mac) $$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).mac) -MB_PROJ_PHASE_PROCESS_DEPS += $(1)/$(3).mac - +$$(call mb_make_call,mb_proj_grow_deps_phase_process,$(1)/$(3).mac) $(1)/$(3).rel: $(1)/$(3).mac $$(call mb_make_call,mb_msxpipe_safe_cmd,$(1),m80 $$(MB_MAKE_EQUALS)$(3)/Z) $$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).rel) -MB_PROJ_PHASE_COMPILE_DEPS += $(1)/$(3).rel +$$(call mb_make_call,mb_proj_grow_deps_phase_compile,$(1)/$(3).rel) $(1)/$(3).hex: $(1)/$(3).rel $$(call mb_make_call,mb_msxpipe_safe_cmd,$(1),l80 $(3)$$(MB_MAKE_COMMA)$(3)/N/X/Y/E) $$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).hex) -MB_PROJ_PHASE_LINK_DEPS += $(1)/$(3).hex +$$(call mb_make_call,mb_proj_grow_deps_phase_link,$(1)/$(3).hex) $(1)/$(3).com: $(1)/$(3).hex $$(call mb_make_call,mb_msxpipe_safe_cmd,$(1),hextocom $(3)) $$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).com) -MB_PROJ_PHASE_BUILD_DEPS += $(1)/$(3).com +$$(call mb_make_call,mb_proj_grow_deps_phase_build,$(1)/$(3).com) $(1)/@build: $(1)/$(3).com @@ -44,7 +43,7 @@ $$(call mb_make_call,mb_doc_target_run,$(1)/@run,"Run $(3).com manually with gui .PHONY: $(1)/@run endef define mb_tool_m80_flow_bdos_mono - $(call mb_make_call,mb_make_check_args_3,mb_tool_m80_flow_bdos_mono,$(1),$(2),$(3)) + $(call mb_make_call,mb_make_check_arg3,mb_tool_m80_flow_bdos_mono,$(1),$(2),$(3)) $(eval $(call mb_make_call,__mb_tool_m80_flow_bdos_mono,$(1),$(2),$(3))) endef $(call mb_make_call,mb_doc_function_flow,mb_tool_m80_flow_bdos_mono,"Prints flow of m80 toolchain bdos mono file module."," ") @@ -60,19 +59,19 @@ $$(call mb_make_call,mb_doc_target_deep,$(1)) $(1)/$(3).rel: $(2)/$(3).asm | $(1) $$(call mb_make_call,mb_sdcc_compile_asm,$$<,$$@) $$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).rel) -MB_PROJ_PHASE_COMPILE_DEPS += $(1)/$(3).rel +$$(call mb_make_call,mb_proj_grow_deps_phase_compile,$(1)/$(3).rel) $(1)/$(3).hex: $(1)/$(3).rel $$(call mb_make_call,mb_sdcc_link_asm_bdos,$$<,$$@) $$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).hex) -MB_PROJ_PHASE_LINK_DEPS += $(1)/$(3).hex +$$(call mb_make_call,mb_proj_grow_deps_phase_link,$(1)/$(3).hex) $(1)/$(3).com: $(1)/$(3).hex - $$(call mb_make_call,mb_sdcc_hex2bin,$$<,$$@) + $$(call mb_make_call,mb_sdcc_objcopy,$$<,$$@) $$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).com) -MB_PROJ_PHASE_BUILD_DEPS += $(1)/$(3).com +$$(call mb_make_call,mb_proj_grow_deps_phase_build,$(1)/$(3).com) $(1)/@build: $(1)/$(3).com @@ -86,7 +85,7 @@ $$(call mb_make_call,mb_doc_target_run,$(1)/@run,"Run $(3).com manually with gui .PHONY: $(1)/@run endef define mb_tool_sdcc_flow_bdos_mono - $(call mb_make_call,mb_make_check_args_3,mb_tool_sdcc_flow_bdos_mono,$(1),$(2),$(3)) + $(call mb_make_call,mb_make_check_arg3,mb_tool_sdcc_flow_bdos_mono,$(1),$(2),$(3)) $(eval $(call mb_make_call,__mb_tool_sdcc_flow_bdos_mono,$(1),$(2),$(3))) endef $(call mb_make_call,mb_doc_function_flow,mb_tool_sdcc_flow_bdos_mono,"Prints flow of sdcc toolchain bdos mono file module."," ") diff --git a/lib/make/msxbuild.mk b/lib/make/msxbuild.mk index ef7f139..a7c5cd2 100644 --- a/lib/make/msxbuild.mk +++ b/lib/make/msxbuild.mk @@ -24,6 +24,7 @@ include $(PATH_MSXBUILD)/lib/make/mb_autoexec.mk include $(PATH_MSXBUILD)/lib/make/mb_assert.mk include $(PATH_MSXBUILD)/lib/make/mb_tool.mk include $(PATH_MSXBUILD)/lib/make/mb_proj.mk +include $(PATH_MSXBUILD)/lib/make/mb_i18n.mk include $(PATH_MSXBUILD)/lib/make/mb_os.mk @@ -37,7 +38,7 @@ $(call mb_make_call,mb_doc_variable_rock,PATH_MSXBUILD_REAL,"Resolved real path define mb_create_dist - $(call mb_make_call,mb_make_echo_command,Creating distribution archive $(2)) + $(call mb_make_call,mb_os_echo_command,Creating distribution archive $(2)) tar -czf $(2) -C $(1) `ls $(1)` endef $(call mb_make_call,mb_doc_function,mb_create_dist,"Create an distribution archive."," ") diff --git a/src/dist-qa-dos1/0module.mk b/src/dist-qa-dos1/0module.mk index 4e02f1c..d5547e4 100644 --- a/src/dist-qa-dos1/0module.mk +++ b/src/dist-qa-dos1/0module.mk @@ -25,7 +25,7 @@ $(DIST_QA_DOS1_BIN)/@assert: | $(DIST_QA_DOS1_DEPS) $(call mb_make_call,mb_autoexec_append_safe_test,$(DIST_QA_DOS1_BIN),ahello2) $(call mb_make_call,mb_autoexec_append_exit,$(DIST_QA_DOS1_BIN)) $(call mb_make_call,mb_openmsx_dosctl,$(DIST_QA_DOS1_BIN)) - $(call mb_make_call,mb_make_call,mb_make_echo_assert,Assert succesfully $(DIST_QA_DOS1_BIN)/@assert) + $(call mb_make_call,mb_os_echo_assert,$(MB_I18N_ASSERT_SUCCESS) $(DIST_QA_DOS1_BIN)/@assert) $(call mb_make_call,mb_doc_target,$(DIST_QA_DOS1_BIN)/@assert,"Assert binaries on msxdos1.") $(call mb_make_call,mb_proj_grow_deps_phase_package_qa,$(DIST_QA_DOS1_BIN)/@assert) .PHONY: $(DIST_QA_DOS1_BIN)/@assert diff --git a/src/dist-qa-dos2/0module.mk b/src/dist-qa-dos2/0module.mk index 065f4f2..a469fe8 100644 --- a/src/dist-qa-dos2/0module.mk +++ b/src/dist-qa-dos2/0module.mk @@ -29,7 +29,7 @@ $(DIST_QA_DOS2_BIN)/@assert: | $(DIST_QA_DOS2_DEPS) $(call mb_make_call,mb_openmsx_dosctl,$(DIST_QA_DOS2_BIN)) grep -q "M80: Hello world..." $(DIST_QA_DOS2_BIN)/ahello1.out grep -q "SDCC: Hello world..." $(DIST_QA_DOS2_BIN)/ahello2.out - $(call mb_make_call,mb_make_echo_assert,Assert succesfully $(DIST_QA_DOS2_BIN)/@assert) + $(call mb_make_call,mb_os_echo_assert,$(MB_I18N_ASSERT_SUCCESS) $(DIST_QA_DOS2_BIN)/@assert) $(call mb_make_call,mb_doc_target,$(DIST_QA_DOS2_BIN)/@assert,"Assert binaries on msxdos2.") $(call mb_make_call,mb_proj_grow_deps_phase_package_qa,$(DIST_QA_DOS2_BIN)/@assert) .PHONY: $(DIST_QA_DOS2_BIN)/@assert diff --git a/src/dist-qa-msx1/0module.mk b/src/dist-qa-msx1/0module.mk index ba92123..275e64a 100644 --- a/src/dist-qa-msx1/0module.mk +++ b/src/dist-qa-msx1/0module.mk @@ -31,7 +31,7 @@ $(DIST_QA_MSX1_BIN)/@assert: | $(DIST_QA_MSX1_DEPS) $(call mb_make_call,mb_openmsx_dosctl,$(DIST_QA_MSX1_BIN),$(DIST_QA_MSX1_MACHINE)) grep -q "M80: Hello world..." $(DIST_QA_MSX1_BIN)/ahello1.out grep -q "SDCC: Hello world..." $(DIST_QA_MSX1_BIN)/ahello2.out - $(call mb_make_call,mb_make_echo_assert,Assert succesfully $(DIST_QA_MSX1_BIN)/@assert) + $(call mb_make_call,mb_os_echo_assert,$(MB_I18N_ASSERT_SUCCESS) $(DIST_QA_MSX1_BIN)/@assert) $(call mb_make_call,mb_doc_target,$(DIST_QA_MSX1_BIN)/@assert,"Assert binaries on MSX1 machine.") $(call mb_make_call,mb_proj_grow_deps_phase_package_qa,$(DIST_QA_MSX1_BIN)/@assert) .PHONY: $(DIST_QA_MSX1_BIN)/@assert diff --git a/src/dist-qa-msxhub/0module.mk b/src/dist-qa-msxhub/0module.mk index 4c3dfcd..35f17fe 100644 --- a/src/dist-qa-msxhub/0module.mk +++ b/src/dist-qa-msxhub/0module.mk @@ -57,7 +57,7 @@ $(DIST_QA_MSXHUB_BIN)/@assert: | $(DIST_QA_MSXHUB_DEPS) grep -q "SDCC: Hello world..." $(DIST_QA_MSXHUB_BIN)/ahello2.out grep -q "KONPASS COM" $(DIST_QA_MSXHUB_BIN)/utils.out grep -q "MAKE COM" $(DIST_QA_MSXHUB_BIN)/utils.out - $(call mb_make_call,mb_make_echo_assert,Assert succesfully $(DIST_QA_MSXHUB_BIN)/@assert) + $(call mb_make_call,mb_os_echo_assert,$(MB_I18N_ASSERT_SUCCESS) $(DIST_QA_MSXHUB_BIN)/@assert) $(call mb_make_call,mb_doc_target,$(DIST_QA_MSXHUB_BIN)/@assert,"Asserts that all dist artifacts execute results.") $(call mb_make_call,mb_proj_grow_deps_phase_test,$(DIST_QA_MSXHUB_BIN)/@assert) .PHONY: $(DIST_QA_MSXHUB_BIN)/@assert diff --git a/src/make-on-msx/0module.mk b/src/make-on-msx/0module.mk index 5cfe3a8..b34d5fa 100644 --- a/src/make-on-msx/0module.mk +++ b/src/make-on-msx/0module.mk @@ -48,7 +48,7 @@ $(call mb_make_call,mb_doc_target_run,$(MAKE_ON_MSX_BIN)/@run,"Run the make buil .PHONY: $(MAKE_ON_MSX_BIN)/@run -$(call mb_make_call_eval,mb_assert_flow_grep_binary,$(MAKE_ON_MSX_BIN),check-bin,nfbsshot.bin,screenshot) +$(call mb_make_call,mb_assert_flow_grep_binary,$(MAKE_ON_MSX_BIN),check-bin,nfbsshot.bin,screenshot) #$(MAKE_ON_MSX_BIN)/@assert-check-bin: $(MAKE_ON_MSX_BIN)/@build # grep -U "screenshot" $(MAKE_ON_MSX_BIN)/nfbsshot.bin #MB_DOC_FIRE_TARGET += $(call mb_make_call,mb_doc_target,$(MAKE_ON_MSX_BIN)/@assert-check-bin,"Asserts that binary contains screenshot string.")