diff --git a/Makefile b/Makefile index 6e73af9..7b9afbb 100644 --- a/Makefile +++ b/Makefile @@ -14,7 +14,7 @@ MB_PROJ_META_WEBSITE ?= https://code.distributedrebirth.love/arch-msx/msxbuild .DEFAULT_GOAL := @all -include Makelocal.mk include $(PATH_MSXBUILD)/msxbuild.mk -$(call mb_make_call,mb_setup_default,$(PATH_BIN),$(PATH_SRC)) +$(call mb-make-call,mb-setup-default,$(PATH_BIN),$(PATH_SRC)) # Optional: make faster and setup graph root .PHONY: Makefile diff --git a/lib/make/mb_env.mk b/lib/make/mb_env.mk index 706fe12..2db9be6 100644 --- a/lib/make/mb_env.mk +++ b/lib/make/mb_env.mk @@ -1,6 +1,6 @@ MB_ENV ?= on -$(call mb_make_call,mb_doc_variable,MB_ENV,"Enables VERBOSE and DEBUG variable parsing.") +$(call mb-make-call,mb-doc-variable,MB_ENV,"Enables VERBOSE and DEBUG variable parsing.") # diff --git a/lib/make/mb_flight.mk b/lib/make/mb_flight.mk index 8f1eed5..d56c281 100644 --- a/lib/make/mb_flight.mk +++ b/lib/make/mb_flight.mk @@ -1,88 +1,88 @@ MB_FLIGHT_SCREEN ?= off -$(call mb_make_call,mb_doc_variable,MB_FLIGHT_SCREEN,"Creates screenshots on exit of openMSX.") +$(call mb-make-call,mb-doc-variable,MB_FLIGHT_SCREEN,"Creates screenshots on exit of openMSX.") MB_FLIGHT_VIDEO ?= off -$(call mb_make_call,mb_doc_variable,MB_FLIGHT_VIDEO,"Create video of every build pipe session.") +$(call mb-make-call,mb-doc-variable,MB_FLIGHT_VIDEO,"Create video of every build pipe session.") MB_FLIGHT_PREFIX ?= msxbuild -$(call mb_make_call,mb_doc_variable,MB_FLIGHT_PREFIX,"Prefix for video and screenshot flight files.") +$(call mb-make-call,mb-doc-variable,MB_FLIGHT_PREFIX,"Prefix for video and screenshot flight files.") MB_FLIGHT_SEPERATOR ?= - -$(call mb_make_call,mb_doc_variable,MB_FLIGHT_SEPERATOR,"File and index number seperator for flight files.") +$(call mb-make-call,mb-doc-variable,MB_FLIGHT_SEPERATOR,"File and index number seperator for flight files.") MB_FLIGHT_RECORD_FLAG ?= -doublesize -$(call mb_make_call,mb_doc_variable,MB_FLIGHT_RECORD_FLAG,"Command parameter flag of the video recorder.") +$(call mb-make-call,mb-doc-variable,MB_FLIGHT_RECORD_FLAG,"Command parameter flag of the video recorder.") MB_FLIGHT_VIDEO_NAME ?= night-flight -$(call mb_make_call,mb_doc_variable,MB_FLIGHT_VIDEO_NAME,"Video file name of the final result merged video.") +$(call mb-make-call,mb-doc-variable,MB_FLIGHT_VIDEO_NAME,"Video file name of the final result merged video.") -define mb_flight_video_merge -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg1,mb_flight_video_merge,$(1)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_os_echo_command,Indexing flight videos) +define mb-flight-video-merge +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-arg1,$(0),$(1)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-os-echo_command,Indexing flight videos) $(MB_ᕽᕽᕽ   )find $(1) -type f -name '*.avi' -printf '%T@ %Tc %p\n' | sort -n | awk -F "$(1)/" -v s="file '" -v e="'" '{print s$$2e}' > $(1)/$(MB_FLIGHT_VIDEO_NAME).lst $(MB_ᕽᕽᕽ   )ffmpeg -v quiet -y -f concat -safe 0 -i $(1)/$(MB_FLIGHT_VIDEO_NAME).lst -c copy $(1)/$(MB_FLIGHT_VIDEO_NAME).avi -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_os_echo_remark,Flight video completed) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-os-echo-remark,Flight video completed) endef -$(call mb_make_call,mb_doc_function,mb_flight_video_merge,"Merged all flight videos to one.","") +$(call mb-make-call,mb-doc-function-deep,mb-flight-video-merge,"Merged all flight videos to one.","") -define __mb_flight_proj_flow_video +define __mb-flight-proj-flow-video .RECIPEPREFIX := $(MB_@RECIPE) @@flight-video-build: @build -$(MB_@RECIPE)$$(if $$(filter on,$$(MB_FLIGHT_VIDEO)),$$(call mb_make_call,mb_flight_video_merge,$(1))) +$(MB_@RECIPE)$$(if $$(filter on,$$(MB_FLIGHT_VIDEO)),$$(call mb-make-call,mb-flight-video-merge,$(1))) @flight-video-build: @clean -$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_before) +$(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-before) $(MB_@RECIPE)MB_OPENMSX_HEADLESS$(MB_MAKE_EQUALS)off MB_FLIGHT_VIDEO$(MB_MAKE_EQUALS)on $$(MAKE) -s @@flight-video-build -$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@flight-video-build,"Runs @clean and @build with recorder and merges flight videos to one.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@flight-video-build) +$(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@flight-video-build,"Runs @clean and @build with recorder and merges flight videos to one.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@flight-video-build) @@flight-video-test: @test -$(MB_@RECIPE)$$(if $$(filter on,$$(MB_FLIGHT_VIDEO)),$$(call mb_make_call,mb_flight_video_merge,$(1))) +$(MB_@RECIPE)$$(if $$(filter on,$$(MB_FLIGHT_VIDEO)),$$(call mb-make-call,mb-flight-video-merge,$(1))) @flight-video-test: @clean -$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_before) +$(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-before) $(MB_@RECIPE)MB_OPENMSX_HEADLESS$(MB_MAKE_EQUALS)off MB_FLIGHT_VIDEO$(MB_MAKE_EQUALS)on $$(MAKE) @@flight-video-test -$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@flight-video-test,"Runs @clean and @test with recorder and merges flight videos to one.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@flight-video-test) +$(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@flight-video-test,"Runs @clean and @test with recorder and merges flight videos to one.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@flight-video-test) @@flight-video-package-qa: @package-qa -$(MB_@RECIPE)$$(if $$(filter on,$$(MB_FLIGHT_VIDEO)),$$(call mb_make_call,mb_flight_video_merge,$(1))) +$(MB_@RECIPE)$$(if $$(filter on,$$(MB_FLIGHT_VIDEO)),$$(call mb-make-call,mb-flight-video-merge,$(1))) @flight-video-package-qa: @clean -$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_before) +$(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-before) $(MB_@RECIPE)MB_OPENMSX_HEADLESS$(MB_MAKE_EQUALS)off MB_FLIGHT_VIDEO$(MB_MAKE_EQUALS)on $$(MAKE) @@flight-video-package-qa -$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@flight-video-package-qa,"Runs @clean and @package-qa with recorder and merges flight videos to one.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@flight-video-package-qa) +$(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@flight-video-package-qa,"Runs @clean and @package-qa with recorder and merges flight videos to one.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@flight-video-package-qa) @@flight-video-all: @all -$(MB_@RECIPE)$$(if $$(filter on,$$(MB_FLIGHT_VIDEO)),$$(call mb_make_call,mb_flight_video_merge,$(1))) +$(MB_@RECIPE)$$(if $$(filter on,$$(MB_FLIGHT_VIDEO)),$$(call mb-make-call,mb-flight-video-merge,$(1))) @flight-video-all: @clean -$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_before) +$(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-before) $(MB_@RECIPE)MB_OPENMSX_HEADLESS$(MB_MAKE_EQUALS)off MB_FLIGHT_VIDEO$(MB_MAKE_EQUALS)on $$(MAKE) @@flight-video-all -$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@flight-video-all,"Runs @clean and @all with recorder and merges flight videos to one.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@flight-video-all) +$(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@flight-video-all,"Runs @clean and @all with recorder and merges flight videos to one.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@flight-video-all) .RECIPEPREFIX := $(MB_@RECIPEPREFIX) endef -define mb_flight_proj_flow_video -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg1,$(0),$(1)) -$(MB_ᕽᕽᕽ   )$(eval $(call mb_make_call,__$(0),$(1))) +define mb-flight-proj-flow-video +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-arg1,$(0),$(1)) +$(MB_ᕽᕽᕽ   )$(eval $(call mb-make-call,__$(0),$(1))) endef -$(call mb_make_call,mb_doc_function_flow,mb_flight_proj_flow_video,"Prints flow for adding flight video targets in project build cycle.","") +$(call mb-make-call,mb-doc-function-flow,mb-flight-proj-flow-video,"Prints flow for adding flight video targets in project build cycle.","") diff --git a/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_doc.mk b/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_doc.mk index 59aab60..da76830 100644 --- a/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_doc.mk +++ b/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_doc.mk @@ -1,166 +1,166 @@ MB_DOC_FIRE_VARIABLE_FLOW ?= \\n -#*** Recursive variable: MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,_mb_doc_variable4u_flow,MB_DOC_FIRE_VARIABLE_FLOW,"Flow builder of fire flow variable data.") +#*** Recursive variable: MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_VARIABLE_FLOW,"Flow builder of fire flow variable data.") MB_DOC_FIRE_VARIABLE ?= \\n -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,_mb_doc_variable4u_flow,MB_DOC_FIRE_VARIABLE,"Flow builder of firemake variable data.") +MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_VARIABLE,"Flow builder of firemake variable data.") MB_DOC_FIRE_VARIABLE_DEEP ?= \\n -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,_mb_doc_variable4u_flow,MB_DOC_FIRE_VARIABLE_DEEP,"Flow builder of makefire deep variable data.") +MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_VARIABLE_DEEP,"Flow builder of makefire deep variable data.") MB_DOC_FIRE_VARIABLE_ROCK ?= \\n -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,_mb_doc_variable4u_flow,MB_DOC_FIRE_VARIABLE_ROCK,"Flow builder of makefire rock variable data.") +MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_VARIABLE_ROCK,"Flow builder of makefire rock variable data.") MB_DOC_FIRE_VARIABLE_I18N ?= \\n -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,_mb_doc_variable4u_flow,MB_DOC_FIRE_VARIABLE_I18N,"Flow builder of makefire i18n variable data.") +MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_VARIABLE_I18N,"Flow builder of makefire i18n variable data.") MB_DOC_FIRE_FUNCTION ?= \\n -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,_mb_doc_variable4u_flow,MB_DOC_FIRE_FUNCTION,"Flow builder of firemake function data.") +MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_FUNCTION,"Flow builder of firemake function data.") MB_DOC_FIRE_FUNCTION_DEEP ?= \\n -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,_mb_doc_variable4u_flow,MB_DOC_FIRE_FUNCTION_DEEP,"Flow builder of firemake deep function data.") +MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_FUNCTION_DEEP,"Flow builder of firemake deep function data.") MB_DOC_FIRE_FUNCTION_FLOW ?= \\n -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,_mb_doc_variable4u_flow,MB_DOC_FIRE_FUNCTION_FLOW,"Flow builder of firemake flow function data.") +MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_FUNCTION_FLOW,"Flow builder of firemake flow function data.") MB_DOC_FIRE_TARGET ?= \\n -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,_mb_doc_variable4u_flow,MB_DOC_FIRE_TARGET,"Flow builder of firemake target data.") +MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_TARGET,"Flow builder of firemake target data.") MB_DOC_FIRE_TARGET_DEEP ?= \\n -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,_mb_doc_variable4u_flow,MB_DOC_FIRE_TARGET_DEEP,"Flow builder of firemake deep target data.") +MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_TARGET_DEEP,"Flow builder of firemake deep target data.") MB_DOC_FIRE_TARGET_RUN ?= \\n -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,_mb_doc_variable4u_flow,MB_DOC_FIRE_TARGET_RUN,"Flow builder of firemake run target data.") +MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_TARGET_RUN,"Flow builder of firemake run target data.") MB_DOC_FIRE_TARGET_MODULE ?= \\n -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,_mb_doc_variable4u_flow,MB_DOC_FIRE_TARGET_MODULE,"Flow builder of firemake module target data.") +MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_TARGET_MODULE,"Flow builder of firemake module target data.") MB_DOC_FIRE_TARGET_ASSERT ?= \\n -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,_mb_doc_variable4u_flow,MB_DOC_FIRE_TARGET_ASSERT,"Flow builder of firemake assert target data.") +MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_TARGET_ASSERT,"Flow builder of firemake assert target data.") MB_DOC_FIRE_TARGET_HELP ?= \\n -MB_DOC_FIRE_VARIABLE_FLOW += $(call mb_make_call,_mb_doc_variable4u_flow,MB_DOC_FIRE_TARGET_HELP,"Flow builder of firemake help data.") +MB_DOC_FIRE_VARIABLE_FLOW += $(call mb-make-call,_mb-doc-variable4u-flow,MB_DOC_FIRE_TARGET_HELP,"Flow builder of firemake help data.") MB_DOC_XML_ROOT := firemake -MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,_mb_doc_variable4u_rock,MB_DOC_XML_ROOT,"XML root tag for firemake output.") +MB_DOC_FIRE_VARIABLE_ROCK += $(call mb-make-call,_mb-doc-variable4u-rock,MB_DOC_XML_ROOT,"XML root tag for firemake output.") MB_DOC_XML_ATTR_NAME := name -MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,_mb_doc_variable4u_rock,MB_DOC_XML_ATTR_NAME,"XML name attribute for firemake output.") +MB_DOC_FIRE_VARIABLE_ROCK += $(call mb-make-call,_mb-doc-variable4u-rock,MB_DOC_XML_ATTR_NAME,"XML name attribute for firemake output.") MB_DOC_XML_ATTR_LEVEL := level -MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,_mb_doc_variable4u_rock,MB_DOC_XML_ATTR_LEVEL,"XML level attribute for firemake output.") +MB_DOC_FIRE_VARIABLE_ROCK += $(call mb-make-call,_mb-doc-variable4u-rock,MB_DOC_XML_ATTR_LEVEL,"XML level attribute for firemake output.") MB_DOC_XML_TAG_ARGS := arguments -MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,_mb_doc_variable4u_rock,MB_DOC_XML_TAG_ARGS,"XML arguments tag for firemake output.") +MB_DOC_FIRE_VARIABLE_ROCK += $(call mb-make-call,_mb-doc-variable4u-rock,MB_DOC_XML_TAG_ARGS,"XML arguments tag for firemake output.") MB_DOC_XML_TAG_DESC := description -MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,_mb_doc_variable4u_rock,MB_DOC_XML_TAG_DESC,"XML description tag for firemake output.") +MB_DOC_FIRE_VARIABLE_ROCK += $(call mb-make-call,_mb-doc-variable4u-rock,MB_DOC_XML_TAG_DESC,"XML description tag for firemake output.") MB_DOC_XML_TAG_VALUE := value -MB_DOC_FIRE_VARIABLE_ROCK += $(call mb_make_call,_mb_doc_variable4u_rock,MB_DOC_XML_TAG_VALUE,"XML value tag for firemake output.") +MB_DOC_FIRE_VARIABLE_ROCK += $(call mb-make-call,_mb-doc-variable4u-rock,MB_DOC_XML_TAG_VALUE,"XML value tag for firemake output.") # rename now internal: _MB_DOC_FORMAT MB_DOC_FORMAT ?= txt -#MB_DOC_FIRE_VARIABLE_DEEP += $(call mb_make_call,_mb_doc_variable4u_deep,MB_DOC_FORMAT,"Output format of documention$(MB_MAKE_COMMA) only 'txt' or 'xml' is supported.") +#MB_DOC_FIRE_VARIABLE_DEEP += $(call mb-make-call,_mb-doc-variable4u-deep,MB_DOC_FORMAT,"Output format of documention$(MB_MAKE_COMMA) only 'txt' or 'xml' is supported.") define _escape $(MB_ᕽᕽᕽ   )$(subst <,"<",$(subst >,">",$(subst \\n,\\\n,$(subst ','"'"',$(1))))) endef -define _mb_doc_variable_txt -$(MB_ᕽᕽᕽ   )"* "$(2)$(MB_MAKE_EQUALS)$(call mb_make_call,_escape,$(4))\\n$(if $(3),\\t$(3)\\n)\\n +define _mb-doc-variable-txt +$(MB_ᕽᕽᕽ   )"* "$(2)$(MB_MAKE_EQUALS)$(call mb-make-call,_escape,$(4))\\n$(if $(3),\\t$(3)\\n)\\n endef -define _mb_doc_variable_xml -$(MB_ᕽᕽᕽ   )\\n\\t$(call mb_make_call,mb_make_xml_open,variable,$(MB_DOC_XML_ATTR_NAME),$(2),$(MB_DOC_XML_ATTR_LEVEL),$(1))\\n\\t\\t$(call mb_make_call,mb_make_xml_tag_value,$(MB_DOC_XML_TAG_VALUE),$(4))\\n\\t\\t$(call mb_make_call,mb_make_xml_tag_value,$(MB_DOC_XML_TAG_DESC),$(3))\\n\\t$(call mb_make_call,mb_make_xml_close,variable) +define _mb-doc-variable-xml +$(MB_ᕽᕽᕽ   )\\n\\t$(call mb-make-call,mb-make-xml-open,variable,$(MB_DOC_XML_ATTR_NAME),$(2),$(MB_DOC_XML_ATTR_LEVEL),$(1))\\n\\t\\t$(call mb-make-call,mb-make-xml-tag-value,$(MB_DOC_XML_TAG_VALUE),$(4))\\n\\t\\t$(call mb-make-call,mb-make-xml-tag-value,$(MB_DOC_XML_TAG_DESC),$(3))\\n\\t$(call mb-make-call,mb-make-xml-close,variable) endef -define _mb_doc_variable4u_deep -$(MB_ᕽᕽᕽ   )$(call mb_make_call,_mb_doc_variable_$(MB_DOC_FORMAT),deep,$(1),$(2),$($(1))) +define _mb-doc-variable4u-deep +$(MB_ᕽᕽᕽ   )$(call mb-make-call,_mb-doc-variable-$(MB_DOC_FORMAT),deep,$(1),$(2),$($(1))) endef -define _mb_doc_variable4u_rock -$(MB_ᕽᕽᕽ   )$(call mb_make_call,_mb_doc_variable_$(MB_DOC_FORMAT),rock,$(1),$(2),$($(1))) +define _mb-doc-variable4u-rock +$(MB_ᕽᕽᕽ   )$(call mb-make-call,_mb-doc-variable-$(MB_DOC_FORMAT),rock,$(1),$(2),$($(1))) endef -define _mb_doc_variable4u_flow -$(MB_ᕽᕽᕽ   )$(call mb_make_call,_mb_doc_variable_$(MB_DOC_FORMAT),flow,$(1),$(2),$(words $($(1)))) +define _mb-doc-variable4u-flow +$(MB_ᕽᕽᕽ   )$(call mb-make-call,_mb-doc-variable-$(MB_DOC_FORMAT),flow,$(1),$(2),$(words $($(1)))) endef -define _mb_doc_variable4u_i18n -$(MB_ᕽᕽᕽ   )$(call mb_make_call,_mb_doc_variable_$(MB_DOC_FORMAT),i18n,$(1),$(2),$($(1))) +define _mb-doc-variable4u-i18n +$(MB_ᕽᕽᕽ   )$(call mb-make-call,_mb-doc-variable-$(MB_DOC_FORMAT),i18n,$(1),$(2),$($(1))) endef -define mb_doc_variable -$(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_VARIABLE += $$(call mb_make_call,_mb_doc_variable_$$(MB_DOC_FORMAT),build,$(1),$(2),$($(1)))) +define mb-doc-variable +$(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_VARIABLE += $$(call mb-make-call,_mb-doc-variable-$$(MB_DOC_FORMAT),build,$(1),$(2),$($(1)))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_variable,"Saves formatted documention of an variable."," [desc]") -define mb_doc_variable_deep -$(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_VARIABLE_DEEP += $$(call mb_make_call,_mb_doc_variable4u_deep,$(1),$(2))) +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb-make-call,_mb-doc-function4u-deep,mb-doc-variable,"Saves formatted documention of an variable."," [desc]") +define mb-doc-variable-deep +$(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_VARIABLE_DEEP += $$(call mb-make-call,_mb-doc-variable4u-deep,$(1),$(2))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_variable_deep,"Saves formatted documention of an deep variable."," [desc]") -define mb_doc_variable_rock -$(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_VARIABLE_ROCK += $$(call mb_make_call,_mb_doc_variable4u_rock,$(1),$(2))) +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb-make-call,_mb-doc-function4u-deep,mb-doc-variable-deep,"Saves formatted documention of an deep variable."," [desc]") +define mb-doc-variable-rock +$(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_VARIABLE_ROCK += $$(call mb-make-call,_mb-doc-variable4u-rock,$(1),$(2))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_variable_rock,"Saves formatted documention of an rock variable."," [desc]") -define mb_doc_variable_flow -$(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_VARIABLE_FLOW += $$(call mb_make_call,_mb_doc_variable4u_flow,$(1),$(2))) +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb-make-call,_mb-doc-function4u-deep,mb-doc-variable-rock,"Saves formatted documention of an rock variable."," [desc]") +define mb-doc-variable-flow +$(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_VARIABLE_FLOW += $$(call mb-make-call,_mb-doc-variable4u-flow,$(1),$(2))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_variable_flow,"Saves formatted documention of an flow variable."," [desc]") -define mb_doc_variable_i18n -$(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_VARIABLE_I18N += $$(call mb_make_call,_mb_doc_variable4u_i18n,$(1),$(2))) +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb-make-call,_mb-doc-function4u-deep,mb-doc-variable-flow,"Saves formatted documention of an flow variable."," [desc]") +define mb-doc-variable-i18n +$(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_VARIABLE_I18N += $$(call mb-make-call,_mb-doc-variable4u-i18n,$(1),$(2))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_variable_i18n,"Saves formatted documention of an i18n variable."," [desc]") +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb-make-call,_mb-doc-function4u-deep,mb-doc-variable-i18n,"Saves formatted documention of an i18n variable."," [desc]") -define _mb_doc_function_txt +define _mb-doc-function-txt $(MB_ᕽᕽᕽ   )"* "$(2)$(if $(4), $(4))$(if $(3),\\n\\t$(3)\\n)\\n endef -define _mb_doc_function_xml -$(MB_ᕽᕽᕽ   )\\n\\t$(call mb_make_call,mb_make_xml_open,function,$(MB_DOC_XML_ATTR_NAME),$(2),$(MB_DOC_XML_ATTR_LEVEL),$(1))\\n\\t\\t$(call mb_make_call,mb_make_xml_tag_value,$(MB_DOC_XML_TAG_ARGS),$(4))\\n\\t\\t$(call mb_make_call,mb_make_xml_tag_value,$(MB_DOC_XML_TAG_DESC),$(3))\\n\\t$(call mb_make_call,mb_make_xml_close,function) +define _mb-doc-function-xml +$(MB_ᕽᕽᕽ   )\\n\\t$(call mb-make-call,mb-make-xml-open,function,$(MB_DOC_XML_ATTR_NAME),$(2),$(MB_DOC_XML_ATTR_LEVEL),$(1))\\n\\t\\t$(call mb-make-call,mb-make-xml-tag-value,$(MB_DOC_XML_TAG_ARGS),$(4))\\n\\t\\t$(call mb-make-call,mb-make-xml-tag-value,$(MB_DOC_XML_TAG_DESC),$(3))\\n\\t$(call mb-make-call,mb-make-xml-close,function) endef -define _mb_doc_function4u_deep -$(MB_ᕽᕽᕽ   )$(call mb_make_call,_mb_doc_function_$(MB_DOC_FORMAT),deep,$(1),$(2),$(3)) +define _mb-doc-function4u-deep +$(MB_ᕽᕽᕽ   )$(call mb-make-call,_mb-doc-function-$(MB_DOC_FORMAT),deep,$(1),$(2),$(3)) endef -define _mb_doc_function4u_flow -$(MB_ᕽᕽᕽ   )$(call mb_make_call,_mb_doc_function_$(MB_DOC_FORMAT),flow,$(1),$(2),$(3)) +define _mb-doc-function4u-flow +$(MB_ᕽᕽᕽ   )$(call mb-make-call,_mb-doc-function-$(MB_DOC_FORMAT),flow,$(1),$(2),$(3)) endef -define mb_doc_function -$(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_FUNCTION += $$(call mb_make_call,_mb_doc_function_$$(MB_DOC_FORMAT),build,$(1),$(2),$(3))) +define mb-doc-function +$(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_FUNCTION += $$(call mb-make-call,_mb-doc-function-$$(MB_DOC_FORMAT),build,$(1),$(2),$(3))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_function,"Saves formatted documention of an function."," [desc] [args]") -define mb_doc_function_deep -$(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_FUNCTION_DEEP += $$(call mb_make_call,_mb_doc_function4u_deep,$(1),$(2),$(3))) +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb-make-call,_mb-doc-function4u-deep,mb-doc-function,"Saves formatted documention of an function."," [desc] [args]") +define mb-doc-function-deep +$(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_FUNCTION_DEEP += $$(call mb-make-call,_mb-doc-function4u-deep,$(1),$(2),$(3))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_function_deep,"Saves formatted documention of an deep function."," [desc] [args]") -define mb_doc_function_flow -$(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_FUNCTION_FLOW += $$(call mb_make_call,_mb_doc_function4u_flow,$(1),$(2),$(3))) +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb-make-call,_mb-doc-function4u-deep,mb-doc-function-deep,"Saves formatted documention of an deep function."," [desc] [args]") +define mb-doc-function-flow +$(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_FUNCTION_FLOW += $$(call mb-make-call,_mb-doc-function4u-flow,$(1),$(2),$(3))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_function_flow,"Saves formatted documention of an flow function."," [desc] [args]") +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb-make-call,_mb-doc-function4u-deep,mb-doc-function-flow,"Saves formatted documention of an flow function."," [desc] [args]") -define _mb_doc_target_txt +define _mb-doc-target-txt $(MB_ᕽᕽᕽ   )"* "$(2)$(if $(3),\\n\\t$(3))\\n\\n endef -define _mb_doc_target_xml -$(MB_ᕽᕽᕽ   )\\n\\t$(call mb_make_call,mb_make_xml_open,target,$(MB_DOC_XML_ATTR_NAME),$(2),$(MB_DOC_XML_ATTR_LEVEL),$(1))$(if $3,\\n\\t\\t$(call mb_make_call,mb_make_xml_tag_value,$(MB_DOC_XML_TAG_DESC),$(3))\\n\\t$(call mb_make_call,mb_make_xml_close,target),\\n\\t$(call mb_make_call,mb_make_xml_close,target)) +define _mb-doc-target-xml +$(MB_ᕽᕽᕽ   )\\n\\t$(call mb-make-call,mb-make-xml-open,target,$(MB_DOC_XML_ATTR_NAME),$(2),$(MB_DOC_XML_ATTR_LEVEL),$(1))$(if $3,\\n\\t\\t$(call mb-make-call,mb-make-xml-tag-value,$(MB_DOC_XML_TAG_DESC),$(3))\\n\\t$(call mb-make-call,mb-make-xml-close,target),\\n\\t$(call mb-make-call,mb-make-xml-close,target)) endef -define mb_doc_target -$(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_TARGET += $$(call mb_make_call,_mb_doc_target_$$(MB_DOC_FORMAT),build,$(1),$(2))) +define mb-doc-target +$(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_TARGET += $$(call mb-make-call,_mb-doc-target-$$(MB_DOC_FORMAT),build,$(1),$(2))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_target,"Saves formatted documention of an target."," [desc]") -define mb_doc_target_deep -$(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_TARGET_DEEP += $$(call mb_make_call,_mb_doc_target_$$(MB_DOC_FORMAT),deep,$(1),$(2))) +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb-make-call,_mb-doc-function4u-deep,mb-doc-target,"Saves formatted documention of an target."," [desc]") +define mb-doc-target-deep +$(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_TARGET_DEEP += $$(call mb-make-call,_mb-doc-target-$$(MB_DOC_FORMAT),deep,$(1),$(2))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_target_deep,"Saves formatted documention of an deep target."," [desc]") -define mb_doc_target_help -$(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_TARGET_HELP += $$(call mb_make_call,_mb_doc_target_$$(MB_DOC_FORMAT),help,$(1),$(2))) +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb-make-call,_mb-doc-function4u-deep,mb-doc-target-deep,"Saves formatted documention of an deep target."," [desc]") +define mb-doc-target-help +$(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_TARGET_HELP += $$(call mb-make-call,_mb-doc-target-$$(MB_DOC_FORMAT),help,$(1),$(2))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_target_help,"Saves formatted documention of an help target."," [desc]") -define mb_doc_target_run -$(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_TARGET_RUN += $$(call mb_make_call,_mb_doc_target_$$(MB_DOC_FORMAT),run,$(1),$(2))) +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb-make-call,_mb-doc-function4u-deep,mb-doc-target-help,"Saves formatted documention of an help target."," [desc]") +define mb-doc-target-run +$(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_TARGET_RUN += $$(call mb-make-call,_mb-doc-target-$$(MB_DOC_FORMAT),run,$(1),$(2))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_target_run,"Saves formatted documention of an run target."," [desc]") -define mb_doc_target_module -$(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_TARGET_MODULE += $$(call mb_make_call,_mb_doc_target_$$(MB_DOC_FORMAT),module,$(1),$(2))) +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb-make-call,_mb-doc-function4u-deep,mb-doc-target_run,"Saves formatted documention of an run target."," [desc]") +define mb-doc-target-module +$(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_TARGET_MODULE += $$(call mb-make-call,_mb-doc-target-$$(MB_DOC_FORMAT),module,$(1),$(2))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_target_module,"Saves formatted documention of an module target."," [desc]") -define mb_doc_target_assert -$(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_TARGET_ASSERT += $$(call mb_make_call,_mb_doc_target_$$(MB_DOC_FORMAT),assert,$(1),$(2))) +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb-make-call,_mb-doc-function4u-deep,mb-doc-target-module,"Saves formatted documention of an module target."," [desc]") +define mb-doc-target-assert +$(MB_ᕽᕽᕽ   )$(eval MB_DOC_FIRE_TARGET_ASSERT += $$(call mb-make-call,_mb-doc-target-$$(MB_DOC_FORMAT),assert,$(1),$(2))) endef -MB_DOC_FIRE_FUNCTION_DEEP += $(call mb_make_call,_mb_doc_function4u_deep,mb_doc_target_assert,"Saves formatted documention of an assert target."," [desc]") +MB_DOC_FIRE_FUNCTION_DEEP += $(call mb-make-call,_mb-doc-function4u-deep,mb-doc-target-assert,"Saves formatted documention of an assert target."," [desc]") diff --git a/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make.mk b/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make.mk index 59e66d5..d066529 100644 --- a/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make.mk +++ b/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make.mk @@ -1,58 +1,58 @@ MB_MAKE_COMMA := , -$(call mb_make_call,mb_doc_variable_rock,MB_MAKE_COMMA,"Expanded special char; comma.") +$(call mb-make-call,mb-doc-variable-rock,MB_MAKE_COMMA,"Expanded special char; comma.") MB_MAKE_SPACE := $(subst ,, ) -$(call mb_make_call,mb_doc_variable_rock,MB_MAKE_SPACE,"Expanded special char; space.") +$(call mb-make-call,mb-doc-variable-rock,MB_MAKE_SPACE,"Expanded special char; space.") MB_MAKE_EQUALS := = -$(call mb_make_call,mb_doc_variable_rock,MB_MAKE_EQUALS,"Expanded special char; equals.") +$(call mb-make-call,mb-doc-variable-rock,MB_MAKE_EQUALS,"Expanded special char; equals.") MB_MAKE_APPEND := +$(MB_MAKE_EQUALS) -$(call mb_make_call,mb_doc_variable_rock,MB_MAKE_APPEND,"Expanded special char; +equals.") +$(call mb-make-call,mb-doc-variable-rock,MB_MAKE_APPEND,"Expanded special char; +equals.") MB_MAKE_HASH := \# -$(call mb_make_call,mb_doc_variable_rock,MB_MAKE_HASH,"Expanded special char; hash.") +$(call mb-make-call,mb-doc-variable-rock,MB_MAKE_HASH,"Expanded special char; hash.") MB_MAKE_COLON := : -$(call mb_make_call,mb_doc_variable_rock,MB_MAKE_COLON,"Expanded special char; colon.") +$(call mb-make-call,mb-doc-variable-rock,MB_MAKE_COLON,"Expanded special char; colon.") MB_MAKE_DOLLAR := $$ -$(call mb_make_call,mb_doc_variable_rock,MB_MAKE_DOLLAR,"Expanded special char; dollar.") +$(call mb-make-call,mb-doc-variable-rock,MB_MAKE_DOLLAR,"Expanded special char; dollar.") -define mb_make_target_phony +define mb-make-target-phony .PHONY: $(1) endef -$(call mb_make_call,mb_doc_function_deep,mb_make_flag_phony,"Marks an target as phony.","") +$(call mb-make-call,mb-doc-function-deep,mb-make-flag-phony,"Marks an target as phony.","") -define mb_make_newline +define mb-make-newline endef -$(call mb_make_call,mb_doc_function_deep,mb_make_newline,"Prints a newline character.") +$(call mb-make-call,mb-doc-function-deep,mb-make-newline,"Prints a newline character.") -define mb_make_space2comma +define mb-make-space2comma $(MB_ᕽᕽᕽ   )$(subst $(MB_MAKE_SPACE),$(MB_MAKE_COMMA),$1) endef -$(call mb_make_call,mb_doc_function_deep,mb_make_space2comma,"Replaces all spaces witth comma's.") +$(call mb-make-call,mb-doc-function-deep,mb-make-space2comma,"Replaces all spaces witth comma's.") -define mb_make_wildcard_treewalker -$(MB_ᕽᕽᕽ   )$(foreach _dir,$(wildcard $(1)*),$(call mb_make_wildcard_treewalker,$(_dir)/,$(2)) $(filter $(subst *,%,$(2)),$(_dir))) +define mb-make-wildcard-treewalker +$(MB_ᕽᕽᕽ   )$(foreach _dir,$(wildcard $(1)*),$(call mb-make-wildcard-treewalker,$(_dir)/,$(2)) $(filter $(subst *,%,$(2)),$(_dir))) endef -$(call mb_make_call,mb_doc_function_deep,mb_make_wildcard_treewalker,"Recursive wildcard search."," ") +$(call mb-make-call,mb-doc-function-deep,mb-make-wildcard-treewalker,"Recursive wildcard search."," ") -define mb_make_lowercase +define mb-make-lowercase $(MB_ᕽᕽᕽ   )$(subst A,a,$(subst B,b,$(subst C,c,$(subst D,d,$(subst E,e,$(subst F,f,$(subst G,g,$(subst H,h,$(subst I,i,$(subst J,j,$(subst K,k,$(subst L,l,$(subst M,m,$(subst N,n,$(subst O,o,$(subst P,p,$(subst Q,q,$(subst R,r,$(subst S,s,$(subst T,t,$(subst U,u,$(subst V,v,$(subst W,w,$(subst X,x,$(subst Y,y,$(subst Z,z,$(1))))))))))))))))))))))))))) endef -$(call mb_make_call,mb_doc_function_deep,mb_make_lowercase,"Converts ascii string to lowercase.","") +$(call mb-make-call,mb-doc-function-deep,mb-make-lowercase,"Converts ascii string to lowercase.","") -define mb_make_uppercase +define mb-make-uppercase $(MB_ᕽᕽᕽ   )$(subst a,A,$(subst b,B,$(subst c,C,$(subst d,D,$(subst e,E,$(subst f,F,$(subst g,G,$(subst h,H,$(subst i,I,$(subst j,J,$(subst k,K,$(subst l,L,$(subst m,M,$(subst n,N,$(subst o,O,$(subst p,P,$(subst q,Q,$(subst r,R,$(subst s,S,$(subst t,T,$(subst u,U,$(subst v,V,$(subst w,W,$(subst x,X,$(subst y,Y,$(subst z,Z,$(1))))))))))))))))))))))))))) endef -$(call mb_make_call,mb_doc_function_deep,mb_make_uppercase,"Converts ascii string to uppercase.","") +$(call mb-make-call,mb-doc-function-deep,mb-make-uppercase,"Converts ascii string to uppercase.","") diff --git a/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_call.mk b/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_call.mk index dd977e7..5f8c4bf 100644 --- a/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_call.mk +++ b/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_call.mk @@ -12,14 +12,14 @@ MB_MAKE_CALL_DEBUG ?= off 8 := 9 := -define _mb_make_call_debug -$(MB_ᕽᕽᕽ   )$(if $(filter off,$(MB_MAKE_CALL_DEBUG)),,$(if $(findstring mb_doc_,$(1)),,$(warning DEBUG $(1) ($(2),$(3),$(4),$(5),$(6),$(7),$(8),$(9))))) +define _mb-make-call-debug +$(MB_ᕽᕽᕽ   )$(if $(filter off,$(MB_MAKE_CALL_DEBUG)),,$(if $(findstring mb-doc-,$(1)),,$(warning DEBUG $(1) ($(2),$(3),$(4),$(5),$(6),$(7),$(8),$(9))))) endef -define mb_make_call -$(MB_ᕽᕽᕽ   )$(call _mb_make_call_debug,$(1),$(2),$(3),$(4),$(5),$(6),$(7),$(8),$(9))$(if $(filter file,$(origin $(1))),$(call $(1),$(2),$(3),$(4),$(5),$(6),$(7),$(8),$(9)),$(error $(1) is not defined)) +define mb-make-call +$(MB_ᕽᕽᕽ   )$(call _mb-make-call-debug,$(1),$(2),$(3),$(4),$(5),$(6),$(7),$(8),$(9))$(if $(filter file,$(origin $(1))),$(call $(1),$(2),$(3),$(4),$(5),$(6),$(7),$(8),$(9)),$(error $(1) is not defined)) endef -$(call mb_make_call,mb_doc_function_deep,mb_make_call,"Checked origin call function wrapper."," [args...]") +$(call mb-make-call,mb-doc-function-deep,mb-make-call,"Checked origin call function wrapper."," [args...]") # Wait until function is parsed... -$(call mb_make_call,mb_doc_variable_deep,MB_MAKE_CALL_DEBUG,"If on prints debug trace of all make calls.") +$(call mb-make-call,mb-doc-variable-deep,MB_MAKE_CALL_DEBUG,"If on prints debug trace of all make calls.") diff --git a/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_check.mk b/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_check.mk index e784370..ed20a0a 100644 --- a/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_check.mk +++ b/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_check.mk @@ -1,52 +1,52 @@ -define mb_make_check_variable +define mb-make-check-variable $(MB_ᕽᕽᕽ   )$(if $($(1)),,$(error $(1): Is empty)) endef -$(call mb_make_call,mb_doc_function_deep,mb_make_check_variable,"Checks that a variable is not empty.","") +$(call mb-make-call,mb-doc-function-deep,mb-make-check-variable,"Checks that a variable is not empty.","") -define mb_make_check_value_valid +define mb-make-check-value-valid $(MB_ᕽᕽᕽ   )$(if $(filter $(2),$(3)),,$(error $(1): $(2) Is not valid, options are: $(3))) endef -$(call mb_make_call,mb_doc_function_deep,mb_make_check_value_valid,"Checks that a value is valid."," ") +$(call mb-make-call,mb-doc-function-deep,mb-make-check-value-valid,"Checks that a value is valid."," ") -define mb_make_check_arg1 +define mb-make-check-arg1 $(MB_ᕽᕽᕽ   )$(if $(2),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG1))) endef -$(call mb_make_call,mb_doc_function_deep,mb_make_check_arg1,"Checks that a function has a given argument."," ") +$(call mb-make-call,mb-doc-function-deep,mb-make-check-arg1,"Checks that a function has a given argument."," ") -define mb_make_check_arg2 +define mb-make-check-arg2 $(MB_ᕽᕽᕽ   )$(if $(2),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG1))) \ $(MB_ᕽᕽᕽ   )$(if $(3),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG2))) endef -$(call mb_make_call,mb_doc_function_deep,mb_make_check_arg2,"Checks that a function has the given arguments."," ") +$(call mb-make-call,mb-doc-function-deep,mb-make-check-arg2,"Checks that a function has the given arguments."," ") -define mb_make_check_arg3 +define mb-make-check-arg3 $(MB_ᕽᕽᕽ   )$(if $(2),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG1))) \ $(MB_ᕽᕽᕽ   )$(if $(3),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG2))) \ $(MB_ᕽᕽᕽ   )$(if $(4),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG3))) endef -$(call mb_make_call,mb_doc_function_deep,mb_make_check_arg3,"Checks that a function has the given arguments."," ") +$(call mb-make-call,mb-doc-function-deep,mb-make-check-arg3,"Checks that a function has the given arguments."," ") -define mb_make_check_arg4 +define mb-make-check-arg4 $(MB_ᕽᕽᕽ   )$(if $(2),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG1))) \ $(MB_ᕽᕽᕽ   )$(if $(3),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG2))) \ $(MB_ᕽᕽᕽ   )$(if $(4),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG3))) \ $(MB_ᕽᕽᕽ   )$(if $(5),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG4))) endef -$(call mb_make_call,mb_doc_function_deep,mb_make_check_arg4,"Checks that a function has the given arguments."," ") +$(call mb-make-call,mb-doc-function-deep,mb-make-check-arg4,"Checks that a function has the given arguments."," ") -define mb_make_check_arg5 +define mb-make-check-arg5 $(MB_ᕽᕽᕽ   )$(if $(2),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG1))) \ $(MB_ᕽᕽᕽ   )$(if $(3),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG2))) \ $(MB_ᕽᕽᕽ   )$(if $(4),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG3))) \ $(MB_ᕽᕽᕽ   )$(if $(5),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG4))) \ $(MB_ᕽᕽᕽ   )$(if $(6),,$(error $(1): $(MB_I18N_MAKE_CHECK_ARG5))) endef -$(call mb_make_call,mb_doc_function_deep,mb_make_check_arg5,"Checks that a function has the given arguments."," ") +$(call mb-make-call,mb-doc-function-deep,mb-make-check-arg5,"Checks that a function has the given arguments."," ") diff --git a/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_module.mk b/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_module.mk index 1d44f1a..9907877 100644 --- a/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_module.mk +++ b/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_module.mk @@ -1,18 +1,18 @@ -define mb_make_module_path_src +define mb-make-module-path-src $(MB_ᕽᕽᕽ   )$(1)$(abspath $(subst $(1),,$(dir $(lastword $(MAKEFILE_LIST))))) endef -$(call mb_make_call,mb_doc_function_deep,mb_make_module_path_src,"Convert current location folder as src folder.","") +$(call mb-make-call,mb-doc-function-deep,mb-make-module-path-src,"Convert current location folder as src folder.","") -define mb_make_module_path_bin +define mb-make-module-path-bin $(MB_ᕽᕽᕽ   )$(2)$(abspath $(subst $(1),,$(dir $(lastword $(MAKEFILE_LIST))))) endef -$(call mb_make_call,mb_doc_function_deep,mb_make_module_path_src,"Convert current location folder as bin folder."," ") +$(call mb-make-call,mb-doc-function-deep,mb-make-module-path-src,"Convert current location folder as bin folder."," ") -define mb_make_module_local_deps +define mb-make-module-local-deps $(MB_ᕽᕽᕽ   )$(foreach _dep,$(2),$(1)/$(notdir $(_dep))) endef -$(call mb_make_call,mb_doc_function_deep,mb_make_module_deps,"Convert other files to local deps."," ") +$(call mb-make-call,mb-doc-function-deep,mb-make-module-deps,"Convert other files to local deps."," ") diff --git a/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_xml.mk b/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_xml.mk index 24080f9..4cd554a 100644 --- a/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_xml.mk +++ b/lib/make/सिस्टमₚᵣₐᵧToੴ/mb_make_xml.mk @@ -1,43 +1,43 @@ MB_MAKE_XML_LT := < -$(call mb_make_call,mb_doc_variable_rock,MB_MAKE_XML_LT,"Expanded special char; lesser than.") +$(call mb-make-call,mb-doc-variable-rock,MB_MAKE_XML_LT,"Expanded special char; lesser than.") MB_MAKE_XML_GT := > -$(call mb_make_call,mb_doc_variable_rock,MB_MAKE_XML_GT,"Expanded special char; greater than.") +$(call mb-make-call,mb-doc-variable-rock,MB_MAKE_XML_GT,"Expanded special char; greater than.") MB_MAKE_XML_CDATA_START := -$(call mb_make_call,mb_doc_variable_rock,MB_MAKE_XML_CDATA_END,"Expanded special char; XML cdata end.") +$(call mb-make-call,mb-doc-variable-rock,MB_MAKE_XML_CDATA_END,"Expanded special char; XML cdata end.") -define _escape_xml_attr +define _escape-xml-attr $(MB_ᕽᕽᕽ   )$(subst <,\u0026lt\u003B,$(subst >,\u003Cgt\u003B,$(subst $(MB_MAKE_XML_CDATA_START),,$(subst $(MB_MAKE_XML_CDATA_END),,$(1))))) endef -define mb_make_xml_open -$(MB_ᕽᕽᕽ   )"$(MB_MAKE_XML_LT)"$(1)$(if $(2), $(2)$(MB_MAKE_EQUALS)\"$(call mb_make_call,_escape_xml_value,$(3))\")$(if $(4), $(4)$(MB_MAKE_EQUALS)\"$(call mb_make_call,_escape_xml_attr,$(5))\")"$(MB_MAKE_XML_GT)" +define mb-make-xml-open +$(MB_ᕽᕽᕽ   )"$(MB_MAKE_XML_LT)"$(1)$(if $(2), $(2)$(MB_MAKE_EQUALS)\"$(call mb-make-call,_escape-xml-attr,$(3))\")$(if $(4), $(4)$(MB_MAKE_EQUALS)\"$(call mb-make-call,_escape-xml-attr,$(5))\")"$(MB_MAKE_XML_GT)" endef -$(call mb_make_call,mb_doc_function_deep,mb_make_xml_open,"Print xml open tag."," [attr1-name] [attr1-value] [attr2-name] [attr2-value]") +$(call mb-make-call,mb-doc-function-deep,mb-make-xml-open,"Print xml open tag."," [attr1-name] [attr1-value] [attr2-name] [attr2-value]") -define mb_make_xml_close +define mb-make-xml-close $(MB_ᕽᕽᕽ   )"$(MB_MAKE_XML_LT)"/$(1)"$(MB_MAKE_XML_GT)" endef -$(call mb_make_call,mb_doc_function_deep,mb_make_xml_close,"Print xml close tag.","") +$(call mb-make-call,mb-doc-function-deep,mb-make-xml-close,"Print xml close tag.","") -define _escape_xml_value +define _escape-xml-value $(MB_ᕽᕽᕽ   )$(subst <,\u003C,$(subst >,\u003E,$(subst $(MB_MAKE_XML_CDATA_START),,$(subst $(MB_MAKE_XML_CDATA_END),,$(1))))) endef -define mb_make_xml_value -$(MB_ᕽᕽᕽ   )"$(MB_MAKE_XML_CDATA_START)"$(call mb_make_call,_escape_xml_value,$(1))"$(MB_MAKE_XML_CDATA_END)" +define mb-make-xml-value +$(MB_ᕽᕽᕽ   )"$(MB_MAKE_XML_CDATA_START)"$(call mb-make-call,_escape-xml-value,$(1))"$(MB_MAKE_XML_CDATA_END)" endef -$(call mb_make_call,mb_doc_function_deep,mb_make_xml_value,"Print xml value in cdata wrapper.","") +$(call mb-make-call,mb-doc-function-deep,mb-make-xml-value,"Print xml value in cdata wrapper.","") -define mb_make_xml_tag_value -$(MB_ᕽᕽᕽ   )$(if $(2),$(call mb_make_call,mb_make_xml_open,$(1))$(call mb_make_call,mb_make_xml_value,$(2))$(call mb_make_call,mb_make_xml_close,$(1))) +define mb-make-xml-tag-value +$(MB_ᕽᕽᕽ   )$(if $(2),$(call mb-make-call,mb-make-xml-open,$(1))$(call mb-make-call,mb-make-xml-value,$(2))$(call mb-make-call,mb-make-xml-close,$(1))) endef -$(call mb_make_call,mb_doc_function_deep,mb_make_xml_tag_value,"Print xml tag with value inside."," [value]") +$(call mb-make-call,mb-doc-function-deep,mb-make-xml-tag-value,"Print xml tag with value inside."," [value]") diff --git a/lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_conv.mk b/lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_conv.mk index 8000274..692ed4b 100644 --- a/lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_conv.mk +++ b/lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_conv.mk @@ -1,16 +1,16 @@ -define mb_conv_unix2dos -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg2,mb_conv_unix2dos,$(1),$(2)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_os_echo_command,$(MB_I18N_CONV_UNIX2DOS) $(2)) +define mb-conv-unix2dos +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-arg2,$(0),$(1),$(2)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-os-echo-command,$(MB_I18N_CONV_UNIX2DOS) $(2)) $(MB_ᕽᕽᕽ   )unix2dos -q -n $(1) $(2) endef -$(call mb_make_call,mb_doc_function,mb_conv_unix2dos,"Converts an unix file to dos."," ") +$(call mb-make-call,mb-doc-function,mb-conv-unix2dos,"Converts an unix file to dos."," ") -define mb_conv_dos2unix -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg2,mb_conv_dos2unix,$(1),$(2)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_os_echo_command,$(MB_I18N_CONV_DOS2UNIX) $(2)) +define mb-conv-dos2unix +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-arg2,mb-conv-dos2unix,$(1),$(2)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-os-echo-command,$(MB_I18N_CONV_DOS2UNIX) $(2)) $(MB_ᕽᕽᕽ   )dos2unix -q -n $(1) $(2) endef -$(call mb_make_call,mb_doc_function,mb_conv_dos2unix,"Converts an dos file to unix."," ") +$(call mb-make-call,mb-doc-function,mb-conv-dos2unix,"Converts an dos file to unix."," ") diff --git a/lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_nestor80.mk b/lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_nestor80.mk index 3a94a29..4e10949 100644 --- a/lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_nestor80.mk +++ b/lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_nestor80.mk @@ -1,74 +1,74 @@ MB_NESTOR80_PATH ?= -$(call mb_make_call,mb_doc_variable,MB_NESTOR80_PATH,"Optional path where Nestor80 binaries are located.") +$(call mb-make-call,mb-doc-variable,MB_NESTOR80_PATH,"Optional path where Nestor80 binaries are located.") MB_NESTOR80_FLAG_VERBOSE ?=--no-show-banner --no-color-output --verbosity 0 -$(call mb_make_call,mb_doc_variable_deep,MB_NESTOR80_FLAG_VERBOSE,"The Nestor80 shared verbose flags.") +$(call mb-make-call,mb-doc-variable-deep,MB_NESTOR80_FLAG_VERBOSE,"The Nestor80 shared verbose flags.") MB_NESTOR80_N80_FLAGS ?= -$(call mb_make_call,mb_doc_variable_deep,MB_NESTOR80_N80_FLAGS,"The N80 compile flags.") +$(call mb-make-call,mb-doc-variable-deep,MB_NESTOR80_N80_FLAGS,"The N80 compile flags.") MB_NESTOR80_LK80_FLAGS ?= -$(call mb_make_call,mb_doc_variable_deep,MB_NESTOR80_LK80_FLAGS,"The LK80 linker flags.") +$(call mb-make-call,mb-doc-variable-deep,MB_NESTOR80_LK80_FLAGS,"The LK80 linker flags.") -define _mb_nestor80_path +define _mb-nestor80-path $(MB_ᕽᕽᕽ   )$(if $(MB_NESTOR80_PATH),$(MB_NESTOR80_PATH)/$(1),$(1)) endef -define mb_nestor80_compile -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_os_echo_command,$(MB_I18N_NESTOR80_COMPILE) $(2)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,_mb_nestor80_path,N80) $(1) $(2) $(MB_NESTOR80_N80_FLAGS) $(MB_NESTOR80_FLAG_VERBOSE) +define mb-nestor80-compile +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-os-echo-command,$(MB_I18N_NESTOR80_COMPILE) $(2)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,_mb-nestor80-path,N80) $(1) $(2) $(MB_NESTOR80_N80_FLAGS) $(MB_NESTOR80_FLAG_VERBOSE) endef -$(call mb_make_call,mb_doc_function,mb_nestor80_compile,"Compiles source code."," ") +$(call mb-make-call,mb-doc-function,mb-nestor80-compile,"Compiles source code."," ") -define mb_nestor80_link -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_os_echo_command,$(MB_I18N_NESTOR80_LINK) $(2) @ $(3)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,_mb_nestor80_path,LK80) $(MB_NESTOR80_LK80_FLAGS) $(MB_NESTOR80_FLAG_VERBOSE) --code $(3) --output-format bin -o $(2) $(1) +define mb-nestor80-link +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-os-echo-command,$(MB_I18N_NESTOR80_LINK) $(2) @ $(3)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,_mb-nestor80-path,LK80) $(MB_NESTOR80_LK80_FLAGS) $(MB_NESTOR80_FLAG_VERBOSE) --code $(3) --output-format bin -o $(2) $(1) endef -$(call mb_make_call,mb_doc_function,mb_nestor80_link,"Links to custom code location."," ") +$(call mb-make-call,mb-doc-function,mb-nestor80-link,"Links to custom code location."," ") -define mb_nestor80_link_0000 -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_nestor80_link,$(1),$(2),0000h) +define mb-nestor80-link-0000 +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-nestor80-link,$(1),$(2),0000h) endef -$(call mb_make_call,mb_doc_function,mb_nestor80_link_0000,"Links to 0x0000."," ") +$(call mb-make-call,mb-doc-function,mb-nestor80-link-0000,"Links to 0x0000."," ") -define mb_nestor80_link_0100 -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_nestor80_link,$(1),$(2),0100h) +define mb-nestor80-link-0100 +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-nestor80-link,$(1),$(2),0100h) endef -$(call mb_make_call,mb_doc_function,mb_nestor80_link_0100,"Links to 0x0100."," ") +$(call mb-make-call,mb-doc-function,mb-nestor80-link-0100,"Links to 0x0100."," ") -define mb_nestor80_link_1000 -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_nestor80_link,$(1),$(2),1000h) +define mb-nestor80-link-1000 +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-nestor80-link,$(1),$(2),1000h) endef -$(call mb_make_call,mb_doc_function,mb_nestor80_link_1000,"Links to 0x1000."," ") +$(call mb-make-call,mb-doc-function,mb-nestor80-link-1000,"Links to 0x1000."," ") -define mb_nestor80_link_4000 -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_nestor80_link,$(1),$(2),4000h) +define mb-nestor80-link-4000 +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-nestor80-link,$(1),$(2),4000h) endef -$(call mb_make_call,mb_doc_function,mb_nestor80_link_4000,"Links to 0x4000."," ") +$(call mb-make-call,mb-doc-function,mb-nestor80-link-4000,"Links to 0x4000."," ") -define mb_nestor80_link_8000 -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_nestor80_link,$(1),$(2),8000h) +define mb-nestor80-link-8000 +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-nestor80-link,$(1),$(2),8000h) endef -$(call mb_make_call,mb_doc_function,mb_nestor80_link_8000,"Links to 0x8000."," ") +$(call mb-make-call,mb-doc-function,mb-nestor80-link-8000,"Links to 0x8000."," ") -define mb_nestor80_link_C000 -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_nestor80_link,$(1),$(2),C000h) +define mb-nestor80-link-C000 +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-nestor80-link,$(1),$(2),C000h) endef -$(call mb_make_call,mb_doc_function,mb_nestor80_link_C000,"Links to 0xC000."," ") +$(call mb-make-call,mb-doc-function,mb-nestor80-link-C000,"Links to 0xC000."," ") -define mb_nestor80_link_bdos -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_nestor80_link_0100,$(1),$(2)) +define mb-nestor80-link-bdos +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-nestor80-link-0100,$(1),$(2)) endef -$(call mb_make_call,mb_doc_function,mb_nestor80_link_bdos,"Links to BDOS."," ") +$(call mb-make-call,mb-doc-function,mb-nestor80-link-bdos,"Links to BDOS."," ") diff --git a/lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_os.mk b/lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_os.mk index 53f1705..8485a80 100644 --- a/lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_os.mk +++ b/lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_os.mk @@ -1,30 +1,30 @@ MB_OS_RM ?= rm -f -$(call mb_make_call,mb_doc_variable_rock,MB_OS_RM,"Native OS delete command.") +$(call mb-make-call,mb-doc-variable-rock,MB_OS_RM,"Native OS delete command.") MB_OS_RMDIR ?= rm -rf -$(call mb_make_call,mb_doc_variable_rock,MB_OS_RMDIR,"Native OS remove folder command.") +$(call mb-make-call,mb-doc-variable-rock,MB_OS_RMDIR,"Native OS remove folder command.") MB_OS_MKDIR ?= mkdir -p -$(call mb_make_call,mb_doc_variable_rock,MB_OS_MKDIR,"Native OS create folder command.") +$(call mb-make-call,mb-doc-variable-rock,MB_OS_MKDIR,"Native OS create folder command.") MB_OS_COPY ?= cp -$(call mb_make_call,mb_doc_variable_rock,MB_OS_COPY,"Native OS copy command.") +$(call mb-make-call,mb-doc-variable-rock,MB_OS_COPY,"Native OS copy command.") MB_OS_STDOUT_IGNORE ?= >/dev/null -$(call mb_make_call,mb_doc_variable_rock,MB_OS_STDOUT_IGNORE,"Native OS ignore stdout.") +$(call mb-make-call,mb-doc-variable-rock,MB_OS_STDOUT_IGNORE,"Native OS ignore stdout.") MB_OS_STDERR_IGNORE ?= 2>/dev/null -$(call mb_make_call,mb_doc_variable_rock,MB_OS_STDERR_IGNORE,"Native OS ignore stderr.") +$(call mb-make-call,mb-doc-variable-rock,MB_OS_STDERR_IGNORE,"Native OS ignore stderr.") MB_OS_SEP ?=/ -$(call mb_make_call,mb_doc_variable_rock,MB_OS_SEP,"Native OS path seperator.") +$(call mb-make-call,mb-doc-variable-rock,MB_OS_SEP,"Native OS path seperator.") MB_OS_CACHE ?= ~/.cache -$(call mb_make_call,mb_doc_variable_rock,MB_OS_CACHE,"Native OS application cache folder.") +$(call mb-make-call,mb-doc-variable-rock,MB_OS_CACHE,"Native OS application cache folder.") MB_OS_TERM_COLORS ?= $(shell tput colors 2> /dev/null;test $$? -eq 0 || echo "-1") -$(call mb_make_call,mb_doc_variable_rock,MB_OS_TERM_COLORS,"Native OS terminal color count support.") +$(call mb-make-call,mb-doc-variable-rock,MB_OS_TERM_COLORS,"Native OS terminal color count support.") ifdef OS @@ -42,80 +42,80 @@ endif endif -define mb_os_file_create +define mb-os-file-create $(MB_ᕽᕽᕽ   )echo "" > $(1) endef -$(call mb_make_call,mb_doc_function,mb_os_file_create,"Creates an empty file.","") +$(call mb-make-call,mb-doc-function,mb-os-file-create,"Creates an empty file.","") -define mb_os_file_copy +define mb-os-file-copy $(MB_ᕽᕽᕽ   )$(MB_OS_COPY) $(1) $(2) endef -$(call mb_make_call,mb_doc_function,mb_os_file_copy,"Copy an file."," ") +$(call mb-make-call,mb-doc-function,mb-os-file-copy,"Copy an file."," ") -define mb_os_file_delete +define mb-os-file-delete $(MB_ᕽᕽᕽ   )$(MB_OS_RM) $(1) endef -$(call mb_make_call,mb_doc_function,mb_os_file_delete,"Deletes an file.","") +$(call mb-make-call,mb-doc-function,mb-os-file-delete,"Deletes an file.","") -define mb_os_file_touch +define mb-os-file-touch $(MB_ᕽᕽᕽ   )touch $(1) endef -$(call mb_make_call,mb_doc_function,mb_os_file_touch,"Changes file timestamps.","") +$(call mb-make-call,mb-doc-function,mb-os-file_touch,"Changes file timestamps.","") -define mb_os_dir_delete +define mb-os-dir-delete $(MB_ᕽᕽᕽ   )$(MB_OS_RMDIR) $(1) endef -$(call mb_make_call,mb_doc_function,mb_os_dir_delete,"Delete the full folder.","") +$(call mb-make-call,mb-doc-function,mb-os-dir-delete,"Delete the full folder.","") -define mb_os_dir_create +define mb-os-dir-create $(MB_ᕽᕽᕽ   )$(MB_OS_MKDIR) $(1) endef -$(call mb_make_call,mb_doc_function,mb_os_dir_create,"Creates an folder.","") +$(call mb-make-call,mb-doc-function,mb-os-dir-create,"Creates an folder.","") -define mb_os_crayon_tagged_echo +define mb-os-crayon-tagged-echo $(MB_ᕽᕽᕽ   )$(if $(filter -1,$(MB_OS_TERM_COLORS)),@echo $(MB_I18N_OS_CRAYON_TAG) $(2),@echo -e "\x1B[$(1)m$(MB_I18N_OS_CRAYON_TAG)\x1B[39m $(2)") endef -$(call mb_make_call,mb_doc_function_deep,mb_os_crayon_tagged_echo,"Echo's an prefixed message to stdout with ansi color code."," ") +$(call mb-make-call,mb-doc-function-deep,mb-os-crayon-tagged-echo,"Echo's an prefixed message to stdout with ansi color code."," ") -define mb_os_echo_report -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_os_crayon_tagged_echo,31,$(1)) +define mb-os-echo-report +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-os-crayon-tagged-echo,31,$(1)) endef -$(call mb_make_call,mb_doc_function_deep,mb_os_echo_report,"Echo's an message to stdout with 'red' crayon.","") +$(call mb-make-call,mb-doc-function-deep,mb-os-echo-report,"Echo's an message to stdout with 'red' crayon.","") -define mb_os_echo_good -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_os_crayon_tagged_echo,32,$(1)) +define mb-os-echo-good +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-os-crayon-tagged-echo,32,$(1)) endef -$(call mb_make_call,mb_doc_function_deep,mb_os_echo_good,"Echo's an message to stdout with 'green' crayon.","") +$(call mb-make-call,mb-doc-function-deep,mb-os-echo-good,"Echo's an message to stdout with 'green' crayon.","") -define mb_os_echo_assert -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_os_crayon_tagged_echo,33,$(1)) +define mb-os-echo-assert +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-os-crayon-tagged-echo,33,$(1)) endef -$(call mb_make_call,mb_doc_function_deep,mb_os_echo_assert,"Echo's an message to stdout with 'yellow' crayon.","") +$(call mb-make-call,mb-doc-function-deep,mb-os-echo-assert,"Echo's an message to stdout with 'yellow' crayon.","") -define mb_os_echo_command -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_os_crayon_tagged_echo,34,$(1)) +define mb-os-echo-command +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-os-crayon-tagged-echo,34,$(1)) endef -$(call mb_make_call,mb_doc_function_deep,mb_os_echo_command,"Echo's an message to stdout with 'blue' crayon.","") +$(call mb-make-call,mb-doc-function-deep,mb-os-echo-command,"Echo's an message to stdout with 'blue' crayon.","") -define mb_os_echo_phase -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_os_crayon_tagged_echo,35,$(1)) +define mb-os-echo-phase +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-os-crayon-tagged-echo,35,$(1)) endef -$(call mb_make_call,mb_doc_function_deep,mb_os_echo_phase,"Echo's an message to stdout with 'magenta' crayon.","") +$(call mb-make-call,mb-doc-function-deep,mb-os-echo-phase,"Echo's an message to stdout with 'magenta' crayon.","") -define mb_os_echo_remark -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_os_crayon_tagged_echo,36,$(1)) +define mb-os-echo-remark +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-os-crayon-tagged-echo,36,$(1)) endef -$(call mb_make_call,mb_doc_function_deep,mb_os_echo_alert,"Echo's an message to stdout with 'cyan' crayon.","") +$(call mb-make-call,mb-doc-function-deep,mb-os-echo-alert,"Echo's an message to stdout with 'cyan' crayon.","") diff --git a/lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_package.mk b/lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_package.mk index a27520f..e79dc3f 100644 --- a/lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_package.mk +++ b/lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_package.mk @@ -1,7 +1,7 @@ -define mb_package_create_archive -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_os_echo_command,$(MB_I18N_PACKAGE_CREATE_ARCHIVE) $(2)) +define mb-package-create-archive +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-os-echo-command,$(MB_I18N_PACKAGE_CREATE_ARCHIVE) $(2)) $(MB_ᕽᕽᕽ   )tar -czf $(2) -C $(1) `ls $(1)` endef -$(call mb_make_call,mb_doc_function,mb_package_create_archive,"Create an distribution archive."," ") +$(call mb-make-call,mb-doc-function,mb-package-create_archive,"Create an distribution archive."," ") diff --git a/lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_sdcc.mk b/lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_sdcc.mk index 6a6de97..8e8545f 100644 --- a/lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_sdcc.mk +++ b/lib/make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_sdcc.mk @@ -1,21 +1,21 @@ MB_SDCC_PATH ?= -$(call mb_make_call,mb_doc_variable,MB_SDCC_PATH,"Optional path where SDCC binaries are located.") +$(call mb-make-call,mb-doc-variable,MB_SDCC_PATH,"Optional path where SDCC binaries are located.") MB_SDCC_FLAG_CPU ?= -mz80 -$(call mb_make_call,mb_doc_variable_deep,MB_SDCC_FLAG_CPU,"The SDCC cpu target option.") +$(call mb-make-call,mb-doc-variable-deep,MB_SDCC_FLAG_CPU,"The SDCC cpu target option.") MB_SDCC_FLAG_LD ?= --nostdinc -$(call mb_make_call,mb_doc_variable_deep,MB_SDCC_FLAG_LD,"The SDCC linker option.") +$(call mb-make-call,mb-doc-variable-deep,MB_SDCC_FLAG_LD,"The SDCC linker option.") MB_SDCC_ASZ80_FLAGS ?= -g -l -c -o -$(call mb_make_call,mb_doc_variable_deep,MB_SDCC_ASZ80_FLAGS,"The SDCC z80 asm compiler flags.") +$(call mb-make-call,mb-doc-variable-deep,MB_SDCC_ASZ80_FLAGS,"The SDCC z80 asm compiler flags.") MB_SDCC_CC_FLAGS ?= -$(call mb_make_call,mb_doc_variable_deep,MB_SDCC_CC_FLAGS,"The SDCC C compiler flags.") +$(call mb-make-call,mb-doc-variable-deep,MB_SDCC_CC_FLAGS,"The SDCC C compiler flags.") MB_SDCC_AR_FLAGS ?= -rc -$(call mb_make_call,mb_doc_variable_deep,MB_SDCC_AR_FLAGS,"The SDCC module archive flags.") +$(call mb-make-call,mb-doc-variable-deep,MB_SDCC_AR_FLAGS,"The SDCC module archive flags.") # TODO: Add C + mixed support; @@ -23,77 +23,77 @@ $(call mb_make_call,mb_doc_variable_deep,MB_SDCC_AR_FLAGS,"The SDCC module archi #-l$(LIBASM_LINK) -define _mb_sdcc_path +define _mb-sdcc-path $(MB_ᕽᕽᕽ   )$(if $(MB_SDCC_PATH),$(MB_SDCC_PATH)/$(1),$(1)) endef -define mb_sdcc_compile_asm -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_os_echo_command,$(MB_I18N_SDCC_COMPILE) $(2) - ASM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,_mb_sdcc_path,sdasz80) $(MB_SDCC_ASZ80_FLAGS) $(2) $(1) +define mb-sdcc-compile-asm +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-os-echo-command,$(MB_I18N_SDCC_COMPILE) $(2) - ASM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,_mb-sdcc-path,sdasz80) $(MB_SDCC_ASZ80_FLAGS) $(2) $(1) endef -$(call mb_make_call,mb_doc_function,mb_sdcc_compile_asm,"Compiles asm source code."," ") +$(call mb-make-call,mb-doc-function,mb-sdcc-compile-asm,"Compiles asm source code."," ") -define mb_sdcc_arlib_asm -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_os_echo_command,$(MB_I18N_SDCC_ARLIB) $(2)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,_mb_sdcc_path,sdar) $(MB_SDCC_AR_FLAGS) $(1) $(2) +define mb-sdcc-arlib-asm +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-os-echo-command,$(MB_I18N_SDCC_ARLIB) $(2)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,_mb-sdcc-path,sdar) $(MB_SDCC_AR_FLAGS) $(1) $(2) endef -$(call mb_make_call,mb_doc_function,mb_sdcc_arlib_asm,"Link asm lib module."," ") +$(call mb-make-call,mb-doc-function,mb-sdcc-arlib-asm,"Link asm lib module."," ") -define mb_sdcc_link_asm -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_os_echo_command,$(MB_I18N_SDCC_LINK) $(2) - ASM @ $(3)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,_mb_sdcc_path,sdcc) $(MB_SDCC_FLAG_CPU) $(MB_SDCC_CC_FLAGS) --no-std-crt0 --code-loc $(3) -o $(2) $(1) +define mb-sdcc-link-asm +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-os-echo-command,$(MB_I18N_SDCC_LINK) $(2) - ASM @ $(3)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,_mb-sdcc-path,sdcc) $(MB_SDCC_FLAG_CPU) $(MB_SDCC_CC_FLAGS) --no-std-crt0 --code-loc $(3) -o $(2) $(1) endef -$(call mb_make_call,mb_doc_function,mb_sdcc_link_asm,"Links asm to custom code location."," ") +$(call mb-make-call,mb-doc-function,mb-sdcc-link-asm,"Links asm to custom code location."," ") -define mb_sdcc_link_asm_0000 -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_sdcc_link_asm,$(1),$(2),0x0000) +define mb-sdcc-link-asm-0000 +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-sdcc-link-asm,$(1),$(2),0x0000) endef -$(call mb_make_call,mb_doc_function,mb_sdcc_link_asm_0000,"Links asm to 0x0000."," ") +$(call mb-make-call,mb-doc-function,mb-sdcc-link-asm-0000,"Links asm to 0x0000."," ") -define mb_sdcc_link_asm_0100 -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_sdcc_link_asm,$(1),$(2),0x0100) +define mb-sdcc-link-asm-0100 +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-sdcc-link-asm,$(1),$(2),0x0100) endef -$(call mb_make_call,mb_doc_function,mb_sdcc_link_asm_0100,"Links asm to 0x0100."," ") +$(call mb-make-call,mb-doc-function,mb-sdcc-link-asm-0100,"Links asm to 0x0100."," ") -define mb_sdcc_link_asm_1000 -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_sdcc_link_asm,$(1),$(2),0x1000) +define mb-sdcc-link-asm-1000 +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-sdcc-link-asm,$(1),$(2),0x1000) endef -$(call mb_make_call,mb_doc_function,mb_sdcc_link_asm_1000,"Links asm to 0x1000."," ") +$(call mb-make-call,mb-doc-function,mb-sdcc-link-asm-1000,"Links asm to 0x1000."," ") -define mb_sdcc_link_asm_4000 -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_sdcc_link_asm,$(1),$(2),0x4000) +define mb-sdcc-link-asm-4000 +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-sdcc-link-asm,$(1),$(2),0x4000) endef -$(call mb_make_call,mb_doc_function,mb_sdcc_link_asm_4000,"Links asm to 0x4000."," ") +$(call mb-make-call,mb-doc-function,mb-sdcc-link-asm-4000,"Links asm to 0x4000."," ") -define mb_sdcc_link_asm_8000 -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_sdcc_link_asm,$(1),$(2),0x8000) +define mb-sdcc-link-asm-8000 +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-sdcc-link-asm,$(1),$(2),0x8000) endef -$(call mb_make_call,mb_doc_function,mb_sdcc_link_asm_8000,"Links asm to 0x8000."," ") +$(call mb-make-call,mb-doc-function,mb-sdcc-link-asm-8000,"Links asm to 0x8000."," ") -define mb_sdcc_link_asm_C000 -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_sdcc_link_asm,$(1),$(2),0xC000) +define mb-sdcc-link-asm-C000 +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-sdcc-link-asm,$(1),$(2),0xC000) endef -$(call mb_make_call,mb_doc_function,mb_sdcc_link_asm_C000,"Links asm to 0xC000."," ") +$(call mb-make-call,mb-doc-function,mb-sdcc-link-asm-C000,"Links asm to 0xC000."," ") -define mb_sdcc_link_asm_bdos -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_sdcc_link_asm_0100,$(1),$(2)) +define mb-sdcc-link-asm-bdos +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-sdcc-link-asm-0100,$(1),$(2)) endef -$(call mb_make_call,mb_doc_function,mb_sdcc_link_asm_bdos,"Links asm to BDOS."," ") +$(call mb-make-call,mb-doc-function,mb-sdcc-link-asm-bdos,"Links asm to BDOS."," ") -define mb_sdcc_objcopy -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_os_echo_command,$(MB_I18N_SDCC_OBJCOPY) $(2)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,_mb_sdcc_path,sdobjcopy) -I ihex --output-target$(MB_MAKE_EQUALS)binary $(1) $(2) +define mb-sdcc-objcopy +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-os-echo-command,$(MB_I18N_SDCC_OBJCOPY) $(2)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,_mb-sdcc-path,sdobjcopy) -I ihex --output-target$(MB_MAKE_EQUALS)binary $(1) $(2) endef -$(call mb_make_call,mb_doc_function,mb_sdcc_objcopy,"Converts an hex file to binary."," ") +$(call mb-make-call,mb-doc-function,mb-sdcc-objcopy,"Converts an hex file to binary."," ") diff --git a/lib/make/ i18n/mb_i18n.mk b/lib/make/ i18n/mb_i18n.mk index 1ee657d..258d489 100644 --- a/lib/make/ i18n/mb_i18n.mk +++ b/lib/make/ i18n/mb_i18n.mk @@ -1,163 +1,163 @@ MB_I18N ?= -$(call mb_make_call,mb_doc_variable,MB_I18N,"The language code to select the i18n pack.") +$(call mb-make-call,mb-doc-variable,MB_I18N,"The language code to select the i18n pack.") MB_I18N_ASSERT_SUCCESS ?= Assert successfull -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_ASSERT_SUCCESS,"Message for when assertion test is successfull.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_ASSERT_SUCCESS,"Message for when assertion test is successfull.") MB_I18N_ASSERT_FAILURE ?= Assert failure -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_ASSERT_FAILURE,"Message for when assertion test is failed.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_ASSERT_FAILURE,"Message for when assertion test is failed.") MB_I18N_AUTOEXEC_WRITE ?= Write autoexec for -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_AUTOEXEC_WRITE,"Message for when writing a default autoexec.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_AUTOEXEC_WRITE,"Message for when writing a default autoexec.") MB_I18N_CONV_UNIX2DOS ?= Convert to dos-EOL -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_CONV_UNIX2DOS,"Message for when converting a file to dos.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_CONV_UNIX2DOS,"Message for when converting a file to dos.") MB_I18N_CONV_DOS2UNIX ?= Convert to nix-EOL -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_CONV_DOS2UNIX,"Message for when converting a file to unix.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_CONV_DOS2UNIX,"Message for when converting a file to unix.") MB_I18N_PACKAGE_CREATE_ARCHIVE ?= Build distribution archive -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PACKAGE_CREATE_ARCHIVE,"Message for when creating a archive.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PACKAGE_CREATE_ARCHIVE,"Message for when creating a archive.") MB_I18N_MAKE_CHECK_ARG1 ?= Argument 1 is missing -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_MAKE_CHECK_ARG1,"Error message when argument 1 is missing.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_MAKE_CHECK_ARG1,"Error message when argument 1 is missing.") MB_I18N_MAKE_CHECK_ARG2 ?= Argument 2 is missing -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_MAKE_CHECK_ARG2,"Error message when argument 2 is missing.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_MAKE_CHECK_ARG2,"Error message when argument 2 is missing.") MB_I18N_MAKE_CHECK_ARG3 ?= Argument 3 is missing -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_MAKE_CHECK_ARG3,"Error message when argument 3 is missing.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_MAKE_CHECK_ARG3,"Error message when argument 3 is missing.") MB_I18N_MAKE_CHECK_ARG4 ?= Argument 4 is missing -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_MAKE_CHECK_ARG4,"Error message when argument 4 is missing.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_MAKE_CHECK_ARG4,"Error message when argument 4 is missing.") MB_I18N_MAKE_CHECK_ARG5 ?= Argument 5 is missing -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_MAKE_CHECK_ARG5,"Error message when argument 5 is missing.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_MAKE_CHECK_ARG5,"Error message when argument 5 is missing.") MB_I18N_MSXHUB_FILE_FETCH ?= Fetch msxhub file -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_MSXHUB_FILE_FETCH,"Message for when fetching a msxhub file.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_MSXHUB_FILE_FETCH,"Message for when fetching a msxhub file.") MB_I18N_MSXROM_FILE_FETCH ?= Fetch msxrom file -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_MSXROM_FILE_FETCH,"Message for when fetching a msxrom file.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_MSXROM_FILE_FETCH,"Message for when fetching a msxrom file.") MB_I18N_OPENMSX_STARTUP ?= Start openMSX! for -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_OPENMSX_STARTUP,"Message used on startup of openMSX.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_OPENMSX_STARTUP,"Message used on startup of openMSX.") MB_I18N_OS_CRAYON_TAG ?= === -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_OS_CRAYON_TAG,"Crayon tag colored prefix to a message.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_OS_CRAYON_TAG,"Crayon tag colored prefix to a message.") MB_I18N_PROJ_STEP_BEFORE ?= -- Before -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_STEP_BEFORE,"Prefix for begin phase message.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_STEP_BEFORE,"Prefix for begin phase message.") MB_I18N_PROJ_STEP_AFTER ?= ---- After -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_STEP_AFTER,"Prefix for after phase message.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_STEP_AFTER,"Prefix for after phase message.") MB_I18N_PROJ_STEP_DONE ?= Finished executing prime pi target -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_STEP_DONE,"Message for requested phase completed.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_STEP_DONE,"Message for requested phase completed.") MB_I18N_PROJ_DIR_CREATE ?= Creating folder -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_DIR_CREATE,"Message for creating a folder.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_DIR_CREATE,"Message for creating a folder.") MB_I18N_PROJ_DIR_DELETE ?= Removing folder -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_DIR_DELETE,"Message for deleting a folder.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_DIR_DELETE,"Message for deleting a folder.") MB_I18N_PROJ_ASSERT_COUNT ?= Total assertions done -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_ASSERT_COUNT,"Message for reporting the assertion count of a phase.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_ASSERT_COUNT,"Message for reporting the assertion count of a phase.") MB_I18N_PROJ_OPENMSX_COUNT ?= Total openMSX invokes -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_OPENMSX_COUNT,"Message for reporting the openMSX invoke count of a session.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_OPENMSX_COUNT,"Message for reporting the openMSX invoke count of a session.") MB_I18N_FLOW_CLONE_REPORT ?= Clone troopers -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_FLOW_CLONE_REPORT,"Message for total amount of cloned files.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_FLOW_CLONE_REPORT,"Message for total amount of cloned files.") MB_I18N_NESTOR80_COMPILE ?= Nestor80 compile -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_NESTOR80_COMPILE,"Message for Nestor80 compiler run.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_NESTOR80_COMPILE,"Message for Nestor80 compiler run.") MB_I18N_NESTOR80_LINK ?= Nestor80 linking -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_NESTOR80_LINK,"Message for Nestor80 linker run.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_NESTOR80_LINK,"Message for Nestor80 linker run.") MB_I18N_SDCC_COMPILE ?= SDCC Compile super -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_SDCC_COMPILE,"Message for SDCC compiler run.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_SDCC_COMPILE,"Message for SDCC compiler run.") MB_I18N_SDCC_ARLIB ?= SDCC Builder arlib -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_SDCC_ARLIB,"Message for SDCC lib archive tool.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_SDCC_ARLIB,"Message for SDCC lib archive tool.") MB_I18N_SDCC_LINK ?= SDCC Linker MSXlib -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_SDCC_LINK,"Message for SDCC linker run.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_SDCC_LINK,"Message for SDCC linker run.") MB_I18N_SDCC_OBJCOPY ?= SDCC sdobjcopy for -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_SDCC_OBJCOPY,"Message for SDCC objcopy run.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_SDCC_OBJCOPY,"Message for SDCC objcopy run.") MB_I18N_PROJ_PHASEID_CLEAN ?= -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_PHASEID_CLEAN,"Local command of clean target.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEID_CLEAN,"Local command of clean target.") MB_I18N_PROJ_PHASEDOC_CLEAN ?= -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_PHASEDOC_CLEAN,"Local documentation of clean target.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEDOC_CLEAN,"Local documentation of clean target.") MB_I18N_PROJ_PHASEID_INIT ?= -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_PHASEID_INIT,"Local command of init target.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEID_INIT,"Local command of init target.") MB_I18N_PROJ_PHASEDOC_INIT ?= -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_PHASEDOC_INIT,"Local documentation of init target.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEDOC_INIT,"Local documentation of init target.") MB_I18N_PROJ_PHASEID_PREPARE ?= -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_PHASEID_PREPARE,"Local command of prepare target.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEID_PREPARE,"Local command of prepare target.") MB_I18N_PROJ_PHASEDOC_PREPARE ?= -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_PHASEDOC_PREPARE,"Local documentation of prepare target.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEDOC_PREPARE,"Local documentation of prepare target.") MB_I18N_PROJ_PHASEID_PROCESS ?= -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_PHASEID_PROCESS,"Local command of proces target.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEID_PROCESS,"Local command of proces target.") MB_I18N_PROJ_PHASEDOC_PROCESS ?= -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_PHASEDOC_PROCESS,"Local documentation of process target.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEDOC_PROCESS,"Local documentation of process target.") MB_I18N_PROJ_PHASEID_COMPILE ?= -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_PHASEID_COMPILE,"Local command of compile target.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEID_COMPILE,"Local command of compile target.") MB_I18N_PROJ_PHASEDOC_COMPILE ?= -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_PHASEDOC_COMPILE,"Local documentation of compile target.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEDOC_COMPILE,"Local documentation of compile target.") MB_I18N_PROJ_PHASEID_LINK ?= -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_PHASEID_LINK,"Local command of link target.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEID_LINK,"Local command of link target.") MB_I18N_PROJ_PHASEDOC_LINK ?= -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_PHASEDOC_LINK,"Local documentation of link target.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEDOC_LINK,"Local documentation of link target.") MB_I18N_PROJ_PHASEID_BUILD ?= -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_PHASEID_BUILD,"Local command of build target.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEID_BUILD,"Local command of build target.") MB_I18N_PROJ_PHASEDOC_BUILD ?= -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_PHASEDOC_BUILD,"Local documentation of build target.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEDOC_BUILD,"Local documentation of build target.") MB_I18N_PROJ_PHASEID_TEST ?= -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_PHASEID_TEST,"Local command of test target.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEID_TEST,"Local command of test target.") MB_I18N_PROJ_PHASEDOC_TEST ?= -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_PHASEDOC_TEST,"Local documentation of test target.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEDOC_TEST,"Local documentation of test target.") MB_I18N_PROJ_PHASEID_PACKAGE ?= -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_PHASEID_PACKAGE,"Local command of package target.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEID_PACKAGE,"Local command of package target.") MB_I18N_PROJ_PHASEDOC_PACKAGE ?= -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_PHASEDOC_PACKAGE,"Local documentation of package target.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEDOC_PACKAGE,"Local documentation of package target.") MB_I18N_PROJ_PHASEID_PACKAGE_QA ?= -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_PHASEID_PACKAGE_QA,"Local command of package-qa target.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEID_PACKAGE_QA,"Local command of package-qa target.") MB_I18N_PROJ_PHASEDOC_PACKAGE_QA ?= -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_PHASEDOC_PACKAGE_QA,"Local documentation of package-qa target.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEDOC_PACKAGE_QA,"Local documentation of package-qa target.") MB_I18N_PROJ_PHASEID_PACKAGE_DEPLOY ?= -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_PHASEID_PACKAGE_DEPLOY,"Local command of package-deploy target.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEID_PACKAGE_DEPLOY,"Local command of package-deploy target.") MB_I18N_PROJ_PHASEDOC_PACKAGE_DEPLOY ?= -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_PHASEDOC_PACKAGE_DEPLOY,"Local documentation of package-deploy target.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEDOC_PACKAGE_DEPLOY,"Local documentation of package-deploy target.") MB_I18N_PROJ_PHASEID_ALL ?= -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_PHASEID_ALL,"Local command of all target.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEID_ALL,"Local command of all target.") MB_I18N_PROJ_PHASEDOC_ALL ?= -$(call mb_make_call,mb_doc_variable_i18n,MB_I18N_PROJ_PHASEDOC_ALL,"Local documentation of all target.") +$(call mb-make-call,mb-doc-variable-i18n,MB_I18N_PROJ_PHASEDOC_ALL,"Local documentation of all target.") diff --git a/lib/make/天房系統擴展/mb_autoexec.mk b/lib/make/天房系統擴展/mb_autoexec.mk index 464e04a..2231e3e 100644 --- a/lib/make/天房系統擴展/mb_autoexec.mk +++ b/lib/make/天房系統擴展/mb_autoexec.mk @@ -1,180 +1,180 @@ MB_AUTOEXEC_SHOW_VERSION ?= off -$(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_SHOW_VERSION,"Print OS version on boot.") +$(call mb-make-call,mb-doc-variable,MB_AUTOEXEC_SHOW_VERSION,"Print OS version on boot.") MB_AUTOEXEC_SHOW_PATH ?= on -$(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_SHOW_PATH,"Print search path on boot.") +$(call mb-make-call,mb-doc-variable,MB_AUTOEXEC_SHOW_PATH,"Print search path on boot.") MB_AUTOEXEC_SHOW_INIT ?= on -$(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_SHOW_INIT,"Print msxbuild init message on boot.") +$(call mb-make-call,mb-doc-variable,MB_AUTOEXEC_SHOW_INIT,"Print msxbuild init message on boot.") MB_AUTOEXEC_SHOW_HOST ?= on -$(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_SHOW_HOST,"Print host machine on boot.") +$(call mb-make-call,mb-doc-variable,MB_AUTOEXEC_SHOW_HOST,"Print host machine on boot.") MB_AUTOEXEC_SHOW_USER ?= on -$(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_SHOW_USER,"Print host user on boot.") +$(call mb-make-call,mb-doc-variable,MB_AUTOEXEC_SHOW_USER,"Print host user on boot.") MB_AUTOEXEC_SHOW_FOLDER ?= on -$(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_SHOW_FOLDER,"Print host folder on boot.") +$(call mb-make-call,mb-doc-variable,MB_AUTOEXEC_SHOW_FOLDER,"Print host folder on boot.") MB_AUTOEXEC_SHOW_TARGET ?= on -$(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_SHOW_TARGET,"Print host target on boot.") +$(call mb-make-call,mb-doc-variable,MB_AUTOEXEC_SHOW_TARGET,"Print host target on boot.") MB_AUTOEXEC_STARTUP_TIMEOUT ?= 60 -$(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_STARTUP_TIMEOUT,"Startup failure timeout of autoexec.") +$(call mb-make-call,mb-doc-variable,MB_AUTOEXEC_STARTUP_TIMEOUT,"Startup failure timeout of autoexec.") MB_AUTOEXEC_STARTUP_EXITCODE ?= 124 -$(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_STARTUP_EXITCODE,"Startup failure exit code of autoexec.") +$(call mb-make-call,mb-doc-variable,MB_AUTOEXEC_STARTUP_EXITCODE,"Startup failure exit code of autoexec.") MB_AUTOEXEC_SAFE_ASSERT_TIMEOUT ?= 120 -$(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_SAFE_ASSERT_TIMEOUT,"Safe assert execution failure timeout.") +$(call mb-make-call,mb-doc-variable,MB_AUTOEXEC_SAFE_ASSERT_TIMEOUT,"Safe assert execution failure timeout.") MB_AUTOEXEC_SAFE_ASSERT_EXITCODE ?= 1 -$(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_SAFE_ASSERT_EXITCODE,"Safe assert execution failure exit code.") +$(call mb-make-call,mb-doc-variable,MB_AUTOEXEC_SAFE_ASSERT_EXITCODE,"Safe assert execution failure exit code.") MB_AUTOEXEC_SAFE_CMD_TIMEOUT ?= 300 -$(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_SAFE_CMD_TIMEOUT,"Safe command execution failure timeout.") +$(call mb-make-call,mb-doc-variable,MB_AUTOEXEC_SAFE_CMD_TIMEOUT,"Safe command execution failure timeout.") MB_AUTOEXEC_SAFE_CMD_EXITCODE ?= 1 -$(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_SAFE_CMD_EXITCODE,"Safe command execution failure exit code.") +$(call mb-make-call,mb-doc-variable,MB_AUTOEXEC_SAFE_CMD_EXITCODE,"Safe command execution failure exit code.") MB_AUTOEXEC_STARTUP_NOTE ?= -$(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_STARTUP_NOTE,"When set this startup note message is shown.") +$(call mb-make-call,mb-doc-variable,MB_AUTOEXEC_STARTUP_NOTE,"When set this startup note message is shown.") MB_AUTOEXEC_COLOR_FG ?= 141 -$(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_COLOR_FG,"Default foreground color if none is given.") +$(call mb-make-call,mb-doc-variable,MB_AUTOEXEC_COLOR_FG,"Default foreground color if none is given.") MB_AUTOEXEC_COLOR_BG ?= 000 -$(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_COLOR_BG,"Default background color if none if given.") +$(call mb-make-call,mb-doc-variable,MB_AUTOEXEC_COLOR_BG,"Default background color if none if given.") MB_AUTOEXEC_EMBED_VARS ?= -$(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_EMBED_VARS,"List of host env variables to copy to msx as 'MSX_x' variables.") +$(call mb-make-call,mb-doc-variable,MB_AUTOEXEC_EMBED_VARS,"List of host env variables to copy to msx as 'MSX_x' variables.") MB_AUTOEXEC_EMBED_USER ?= USER -$(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_EMBED_USER,"Embedds this host variable to the msx as 'MB_USER' variable.") +$(call mb-make-call,mb-doc-variable,MB_AUTOEXEC_EMBED_USER,"Embedds this host variable to the msx as 'MB_USER' variable.") MB_AUTOEXEC_TIME_FORMAT ?= 24 -$(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_TIME_FORMAT,"The time format the msx will get set to.") +$(call mb-make-call,mb-doc-variable,MB_AUTOEXEC_TIME_FORMAT,"The time format the msx will get set to.") MB_AUTOEXEC_DATE_FORMAT ?= DD/MM/YY -$(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_DATE_FORMAT,"The time format the msx will get set to.") +$(call mb-make-call,mb-doc-variable,MB_AUTOEXEC_DATE_FORMAT,"The time format the msx will get set to.") MB_AUTOEXEC_PROMPT_FORMAT ?= %MB_USER%@%_CWD%* -$(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_PROMPT_FORMAT,"The prompt format for command 2.40 and higher.") +$(call mb-make-call,mb-doc-variable,MB_AUTOEXEC_PROMPT_FORMAT,"The prompt format for command 2.40 and higher.") MB_AUTOEXEC_PROMPT_SPACE ?= on -$(call mb_make_call,mb_doc_variable,MB_AUTOEXEC_PROMPT_SPACE,"When on adds an white space after the prompt format.") +$(call mb-make-call,mb-doc-variable,MB_AUTOEXEC_PROMPT_SPACE,"When on adds an white space after the prompt format.") -define mb_autoexec_append_cmd +define mb-autoexec-append-cmd $(MB_ᕽᕽᕽ   )echo -e "$(2)\r" >> $(1)/autoexec.bat endef -$(call mb_make_call,mb_doc_function,mb_autoexec_append_cmd,"Appends an command."," ") +$(call mb-make-call,mb-doc-function,mb-autoexec-append-cmd,"Appends an command."," ") -define mb_autoexec_append_echo -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_cmd,$(1),echo $(subst >,>,$(2))) +define mb-autoexec-append-echo +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-cmd,$(1),echo $(subst >,>,$(2))) endef -$(call mb_make_call,mb_doc_function,mb_autoexec_append_echo,"Appends an echo message."," ") +$(call mb-make-call,mb-doc-function,mb-autoexec-append-echo,"Appends an echo message."," ") -define mb_autoexec_append_rem -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_cmd,$(1),rem $(2)) +define mb-autoexec-append-rem +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-cmd,$(1),rem $(2)) endef -$(call mb_make_call,mb_doc_function,mb_autoexec_append_rem,"Appends an script remark."," ") +$(call mb-make-call,mb-doc-function,mb-autoexec-append-rem,"Appends an script remark."," ") -define mb_autoexec_append_show_gui -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_cmd,$(1),omsxctl headless_show_gui) +define mb-autoexec-append-show-gui +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-cmd,$(1),omsxctl headless_show_gui) endef -$(call mb_make_call,mb_doc_function,mb_autoexec_append_show_gui,"Appends headless show gui command.","") +$(call mb-make-call,mb-doc-function,mb-autoexec-append-show-gui,"Appends headless show gui command.","") -define mb_autoexec_append_stop_fail -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_cmd,$(1),omsxctl fail_after 0) +define mb-autoexec-append-stop-fail +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-cmd,$(1),omsxctl fail_after 0) endef -$(call mb_make_call,mb_doc_function,mb_autoexec_append_stop_fail,"Appends stop automatic failure command.","") +$(call mb-make-call,mb-doc-function,mb-autoexec-append-stop-fail,"Appends stop automatic failure command.","") -define mb_autoexec_append_exit -$(MB_ᕽᕽᕽ   )$(if $(filter on,$(MB_FLIGHT_SCREEN)),$(call mb_make_call,mb_autoexec_append_save_screenshot,$(1))) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_cmd,$(1),omsxctl headless_exit) +define mb-autoexec-append-exit +$(MB_ᕽᕽᕽ   )$(if $(filter on,$(MB_FLIGHT_SCREEN)),$(call mb-make-call,mb-autoexec-append-save_screenshot,$(1))) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-cmd,$(1),omsxctl headless_exit) endef -$(call mb_make_call,mb_doc_function,mb_autoexec_append_exit,"Appends exit emulation command.","") +$(call mb-make-call,mb-doc-function,mb-autoexec-append-exit,"Appends exit emulation command.","") -define mb_autoexec_append_plug_porta -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_cmd,$(1),omsxctl boot_exec_plug_porta $(2)) +define mb-autoexec-append-plug-porta +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-cmd,$(1),omsxctl boot_exec_plug_porta $(2)) endef -$(call mb_make_call,mb_doc_function,mb_autoexec_append_plug_porta,"Appends an plug device into joyporta action."," ") +$(call mb-make-call,mb-doc-function,mb-autoexec-append-plug-porta,"Appends an plug device into joyporta action."," ") -define mb_autoexec_append_plug_portb -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_cmd,$(1),omsxctl boot_exec_plug_portb $(2)) +define mb-autoexec-append-plug-portb +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-cmd,$(1),omsxctl boot_exec_plug_portb $(2)) endef -$(call mb_make_call,mb_doc_function,mb_autoexec_append_plug_portb,"Appends an plug device into joyportb action."," ") +$(call mb-make-call,mb-doc-function,mb-autoexec-append-plug-portb,"Appends an plug device into joyportb action."," ") -define mb_autoexec_append_save_screenshot -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_cmd,$(1),omsxctl night_flight_save_screenshot $(2)) +define mb-autoexec-append-save-screenshot +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-cmd,$(1),omsxctl night_flight_save_screenshot $(2)) endef -$(call mb_make_call,mb_doc_function,mb_autoexec_append_save_screenshot,"Appends save screenshot command."," [prefix]") +$(call mb-make-call,mb-doc-function,mb-autoexec-append-save-screenshot,"Appends save screenshot command."," [prefix]") -define mb_autoexec_append_save_video -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_cmd,$(1),omsxctl night_flight_save_video $(2)) +define mb-autoexec-append-save-video +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-cmd,$(1),omsxctl night_flight_save_video $(2)) endef -$(call mb_make_call,mb_doc_function,mb_autoexec_append_save_video,"Appends save video command."," [prefix]") +$(call mb-make-call,mb-doc-function,mb-autoexec-append-save-video,"Appends save video command."," [prefix]") -define mb_autoexec_append_safe_assert -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_cmd,$(1),omsxctl fail_after $(if $(3),$(3),$(MB_AUTOEXEC_SAFE_ASSERT_TIMEOUT)) seconds err_test $(if $(4),$(4),$(MB_AUTOEXEC_SAFE_ASSERT_EXITCODE))) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_echo,$(1),mb::safe assert $(2)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_cmd,$(1),$(2)) +define mb-autoexec-append-safe-assert +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-cmd,$(1),omsxctl fail_after $(if $(3),$(3),$(MB_AUTOEXEC_SAFE_ASSERT_TIMEOUT)) seconds err_test $(if $(4),$(4),$(MB_AUTOEXEC_SAFE_ASSERT_EXITCODE))) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-echo,$(1),mb::safe assert $(2)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-cmd,$(1),$(2)) endef -$(call mb_make_call,mb_doc_function,mb_autoexec_append_safe_assert,"Appends running a safe assert."," [timeout] [exit-code]") +$(call mb-make-call,mb-doc-function,mb-autoexec-append-safe-assert,"Appends running a safe assert."," [timeout] [exit-code]") -define mb_autoexec_append_safe_cmd -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_cmd,$(1),omsxctl fail_after $(if $(3),$(3),$(MB_AUTOEXEC_SAFE_CMD_TIMEOUT)) seconds err_cmd $(if $(4),$(4),$(MB_AUTOEXEC_SAFE_CMD_EXITCODE))) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_echo,$(1),mb::safe command $(2)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_cmd,$(1),$(2)) +define mb-autoexec-append-safe-cmd +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-cmd,$(1),omsxctl fail_after $(if $(3),$(3),$(MB_AUTOEXEC_SAFE_CMD_TIMEOUT)) seconds err_cmd $(if $(4),$(4),$(MB_AUTOEXEC_SAFE_CMD_EXITCODE))) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-echo,$(1),mb::safe command $(2)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-cmd,$(1),$(2)) endef -$(call mb_make_call,mb_doc_function,mb_autoexec_append_safe_cmd,"Appends running a safe command."," [timeout] [exit-code]") +$(call mb-make-call,mb-doc-function,mb-autoexec-append-safe-cmd,"Appends running a safe command."," [timeout] [exit-code]") -define _mb_autoexec_write_preboot -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_cmd,$(1),$(2)mbboot80) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_cmd,$(1),$(2)omsxctl boot_exec_setcolor $(if $(3),$(3),$(MB_AUTOEXEC_COLOR_FG)) $(if $(4),$(4),$(MB_AUTOEXEC_COLOR_BG))) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_cmd,$(1),$(2)omsxctl fail_after $(MB_AUTOEXEC_STARTUP_TIMEOUT) seconds err_exec $(MB_AUTOEXEC_STARTUP_EXITCODE)) -$(MB_ᕽᕽᕽ   )$(if $(filter on,$(MB_AUTOEXEC_SHOW_INIT)),$(call mb_make_call,mb_autoexec_append_cmd,$(1),$(2)omsxctl boot_exec_show_init)) -$(MB_ᕽᕽᕽ   )$(if $(filter on,$(MB_AUTOEXEC_SHOW_VERSION)),$(call mb_make_call,mb_autoexec_append_cmd,$(1),ver)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_cmd,$(1),PATH + A:\;) -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)/utils),$(call mb_make_call,mb_autoexec_append_cmd,$(1),PATH + A:\UTILS)) +define _mb-autoexec-write-preboot +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-cmd,$(1),$(2)mbboot80) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-cmd,$(1),$(2)omsxctl boot_exec_setcolor $(if $(3),$(3),$(MB_AUTOEXEC_COLOR_FG)) $(if $(4),$(4),$(MB_AUTOEXEC_COLOR_BG))) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-cmd,$(1),$(2)omsxctl fail_after $(MB_AUTOEXEC_STARTUP_TIMEOUT) seconds err_exec $(MB_AUTOEXEC_STARTUP_EXITCODE)) +$(MB_ᕽᕽᕽ   )$(if $(filter on,$(MB_AUTOEXEC_SHOW_INIT)),$(call mb-make-call,mb-autoexec-append-cmd,$(1),$(2)omsxctl boot_exec_show_init)) +$(MB_ᕽᕽᕽ   )$(if $(filter on,$(MB_AUTOEXEC_SHOW_VERSION)),$(call mb-make-call,mb-autoexec-append-cmd,$(1),ver)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-cmd,$(1),PATH + A:\;) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)/utils),$(call mb-make-call,mb-autoexec-append-cmd,$(1),PATH + A:\UTILS)) endef -define mb_autoexec_write_default -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_os_echo_remark,$(MB_I18N_AUTOEXEC_WRITE) $@) +define mb-autoexec-write-default +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-os-echo-remark,$(MB_I18N_AUTOEXEC_WRITE) $@) $(MB_ᕽᕽᕽ   )@echo -n "" > $(1)/autoexec.bat -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)/utils),$(call mb_make_call,_mb_autoexec_write_preboot,$(1),utils\,$(2),$(3)),$(call mb_make_call,_mb_autoexec_write_preboot,$(1),,$(2),$(3))) -$(MB_ᕽᕽᕽ   )$(if $(filter on,$(MB_AUTOEXEC_SHOW_HOST)),$(call mb_make_call,mb_autoexec_append_cmd,$(1),omsxctl boot_exec_show_host)) -$(MB_ᕽᕽᕽ   )$(if $(MB_AUTOEXEC_STARTUP_NOTE),$(call mb_make_call,mb_autoexec_append_echo,$(1),mb::note $(MB_AUTOEXEC_STARTUP_NOTE))) -$(MB_ᕽᕽᕽ   )$(if $(filter on,$(MB_FLIGHT_VIDEO)),$(call mb_make_call,mb_autoexec_append_save_video,$(1))) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)/utils),$(call mb-make-call,_mb-autoexec-write-preboot,$(1),utils\,$(2),$(3)),$(call mb-make-call,_mb-autoexec-write-preboot,$(1),,$(2),$(3))) +$(MB_ᕽᕽᕽ   )$(if $(filter on,$(MB_AUTOEXEC_SHOW_HOST)),$(call mb-make-call,mb-autoexec-append-cmd,$(1),omsxctl boot_exec_show_host)) +$(MB_ᕽᕽᕽ   )$(if $(MB_AUTOEXEC_STARTUP_NOTE),$(call mb-make-call,mb-autoexec-append-echo,$(1),mb::note $(MB_AUTOEXEC_STARTUP_NOTE))) +$(MB_ᕽᕽᕽ   )$(if $(filter on,$(MB_FLIGHT_VIDEO)),$(call mb-make-call,mb-autoexec-append-save_video,$(1))) $(MB_ᕽᕽᕽ   )echo -n -e "$(subst $(MB_MAKE_SPACE)set,set,$(foreach var,$(MB_AUTOEXEC_EMBED_VARS),set MSX_$(var)$(MB_MAKE_EQUALS)$($(var))\r\n))" >> $(1)/autoexec.bat $(MB_ᕽᕽᕽ   )echo -n -e "$(subst $(MB_MAKE_SPACE)set,set,$(foreach var,$(MB_AUTOEXEC_EMBED_VARS),echo MSX_$(var)$(MB_MAKE_EQUALS)%MSX_$(var)%\r\n))" >> $(1)/autoexec.bat -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_cmd,$(1),set TIME$(MB_MAKE_EQUALS)$(MB_AUTOEXEC_TIME_FORMAT)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_cmd,$(1),set DATE$(MB_MAKE_EQUALS)$(MB_AUTOEXEC_DATE_FORMAT)) -$(MB_ᕽᕽᕽ   )$(if $(filter on,$(MB_AUTOEXEC_SHOW_PATH)),$(call mb_make_call,mb_autoexec_append_cmd,$(1),echo mb::path %PATH%)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_cmd,$(1),set MB_SNAIL$(MB_MAKE_EQUALS)$(notdir $@)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_cmd,$(1),set MB_USER$(MB_MAKE_EQUALS)$($(MB_AUTOEXEC_EMBED_USER))) -$(MB_ᕽᕽᕽ   )$(if $(filter on,$(MB_AUTOEXEC_SHOW_USER)),$(call mb_make_call,mb_autoexec_append_echo,$(1),mb::user %MB_USER%)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_cmd,$(1),set MB_SLIME$(MB_MAKE_EQUALS)$(1)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_cmd,$(1),set MB_TARGET$(MB_MAKE_EQUALS)$@) -$(MB_ᕽᕽᕽ   )$(if $(filter on,$(MB_AUTOEXEC_SHOW_FOLDER)),$(call mb_make_call,mb_autoexec_append_echo,$(1),mb::bath %MB_SLIME%)) -$(MB_ᕽᕽᕽ   )$(if $(filter on,$(MB_AUTOEXEC_SHOW_TARGET)),$(call mb_make_call,mb_autoexec_append_echo,$(1),mb::pipe %MB_TARGET%)) -$(MB_ᕽᕽᕽ   )$(if $(filter on,$(MB_AUTOEXEC_PROMPT_SPACE)),$(call mb_make_call,mb_autoexec_append_cmd,$(1),set PROMPT \"$(value MB_AUTOEXEC_PROMPT_FORMAT)$(MB_MAKE_SPACE)\")) -$(MB_ᕽᕽᕽ   )$(if $(filter on,$(MB_AUTOEXEC_PROMPT_SPACE)),,$(call mb_make_call,mb_autoexec_append_cmd,$(1),,set PROMPT \"$(value MB_AUTOEXEC_PROMPT_FORMAT)\")) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-cmd,$(1),set TIME$(MB_MAKE_EQUALS)$(MB_AUTOEXEC_TIME_FORMAT)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-cmd,$(1),set DATE$(MB_MAKE_EQUALS)$(MB_AUTOEXEC_DATE_FORMAT)) +$(MB_ᕽᕽᕽ   )$(if $(filter on,$(MB_AUTOEXEC_SHOW_PATH)),$(call mb-make-call,mb-autoexec-append-cmd,$(1),echo mb::path %PATH%)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-cmd,$(1),set MB_SNAIL$(MB_MAKE_EQUALS)$(notdir $@)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-cmd,$(1),set MB_USER$(MB_MAKE_EQUALS)$($(MB_AUTOEXEC_EMBED_USER))) +$(MB_ᕽᕽᕽ   )$(if $(filter on,$(MB_AUTOEXEC_SHOW_USER)),$(call mb-make-call,mb-autoexec-append-echo,$(1),mb::user %MB_USER%)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-cmd,$(1),set MB_SLIME$(MB_MAKE_EQUALS)$(1)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-cmd,$(1),set MB_TARGET$(MB_MAKE_EQUALS)$@) +$(MB_ᕽᕽᕽ   )$(if $(filter on,$(MB_AUTOEXEC_SHOW_FOLDER)),$(call mb-make-call,mb-autoexec-append-echo,$(1),mb::bath %MB_SLIME%)) +$(MB_ᕽᕽᕽ   )$(if $(filter on,$(MB_AUTOEXEC_SHOW_TARGET)),$(call mb-make-call,mb-autoexec-append-echo,$(1),mb::pipe %MB_TARGET%)) +$(MB_ᕽᕽᕽ   )$(if $(filter on,$(MB_AUTOEXEC_PROMPT_SPACE)),$(call mb-make-call,mb-autoexec-append-cmd,$(1),set PROMPT \"$(value MB_AUTOEXEC_PROMPT_FORMAT)$(MB_MAKE_SPACE)\")) +$(MB_ᕽᕽᕽ   )$(if $(filter on,$(MB_AUTOEXEC_PROMPT_SPACE)),,$(call mb-make-call,mb-autoexec-append-cmd,$(1),,set PROMPT \"$(value MB_AUTOEXEC_PROMPT_FORMAT)\")) endef -$(call mb_make_call,mb_doc_function,mb_autoexec_write_default,"Write a default autoexec.bat file."," [fg-color] [bg-color]") +$(call mb-make-call,mb-doc-function,mb-autoexec-write-default,"Write a default autoexec.bat file."," [fg-color] [bg-color]") diff --git a/lib/make/天房系統擴展/mb_msxhub.mk b/lib/make/天房系統擴展/mb_msxhub.mk index 9dbb1bb..8633f57 100644 --- a/lib/make/天房系統擴展/mb_msxhub.mk +++ b/lib/make/天房系統擴展/mb_msxhub.mk @@ -1,246 +1,246 @@ MB_MSXHUB_API ?= https://msxhub.com/api -$(call mb_make_call,mb_doc_variable_deep,MB_MSXHUB_API,"Resource identifier for msxhub package rest api.") +$(call mb-make-call,mb-doc-variable-deep,MB_MSXHUB_API,"Resource identifier for msxhub package rest api.") MB_MSXHUB_CACHE ?= $(MB_OS_CACHE)/msxbuild/msxhub -$(call mb_make_call,mb_doc_variable_deep,MB_MSXHUB_CACHE,"Cache storage location.") +$(call mb-make-call,mb-doc-variable-deep,MB_MSXHUB_CACHE,"Cache storage location.") -define _mb_msxhub_file_fetch -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_os_echo_command,$(MB_I18N_MSXHUB_FILE_FETCH) $(subst $(MB_MSXHUB_API)/,,$(1))) -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(dir $(MB_MSXHUB_CACHE)/$(subst $(MB_MSXHUB_API)/,,$(1)))),,$(call mb_make_call,mb_os_dir_create,$(dir $(MB_MSXHUB_CACHE)/$(subst $(MB_MSXHUB_API)/,,$(1))))) +define _mb-msxhub-file-fetch +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-os-echo-command,$(MB_I18N_MSXHUB_FILE_FETCH) $(subst $(MB_MSXHUB_API)/,,$(1))) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(dir $(MB_MSXHUB_CACHE)/$(subst $(MB_MSXHUB_API)/,,$(1)))),,$(call mb-make-call,mb-os-dir-create,$(dir $(MB_MSXHUB_CACHE)/$(subst $(MB_MSXHUB_API)/,,$(1))))) $(MB_ᕽᕽᕽ   )$(if $(wildcard $(MB_MSXHUB_CACHE)/$(subst $(MB_MSXHUB_API)/,,$(1))),,wget -O $(MB_MSXHUB_CACHE)/$(subst $(MB_MSXHUB_API)/,,$(1)) $(1)) endef # NOTE: with HDD import/export we get duplicate files after openMSX run, so force to lowercase. -define mb_msxhub_file -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(MB_MSXHUB_CACHE)/$(2)),,$(call mb_make_call,_mb_msxhub_file_fetch,$(MB_MSXHUB_API)/$(2))) -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)/$(call mb_make_call,mb_make_lowercase,$(notdir $(2)))),,$(call mb_make_call,mb_os_file_copy,$(MB_MSXHUB_CACHE)/$(2),$(1)/$(call mb_make_call,mb_make_lowercase,$(notdir $(2))))) +define mb-msxhub-file +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(MB_MSXHUB_CACHE)/$(2)),,$(call mb-make-call,_mb-msxhub-file-fetch,$(MB_MSXHUB_API)/$(2))) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)/$(call mb-make-call,mb-make-lowercase,$(notdir $(2)))),,$(call mb-make-call,mb-os-file-copy,$(MB_MSXHUB_CACHE)/$(2),$(1)/$(call mb-make-call,mb-make-lowercase,$(notdir $(2))))) endef -$(call mb_make_call,mb_doc_function_deep,mb_msxhub_file,"Installs msxsub packages from slug into dir."," ") +$(call mb-make-call,mb-doc-function-deep,mb-msxhub-file,"Installs msxsub packages from slug into dir."," ") # # Operating System (minimal) # -define mb_msxhub_get_msxdos1_boot -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS1/1.03-2/get/MSXDOS1/MSXDOS.SYS) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS1/1.03-2/get/MSXDOS1/COMMAND.COM) +define mb-msxhub-get-msxdos1-boot +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),MSXDOS1/1.03-2/get/MSXDOS1/MSXDOS.SYS) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),MSXDOS1/1.03-2/get/MSXDOS1/COMMAND.COM) endef -$(call mb_make_call,mb_doc_function_deep,mb_msxhub_get_msxdos1_boot,"Installs msxdos1 boot files into dir.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-msxdos1-boot,"Installs msxdos1 boot files into dir.","") -define mb_msxhub_get_msxdos2_boot -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/MSXDOS2.SYS) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/COMMAND2.COM) +define mb-msxhub-get-msxdos2-boot +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/MSXDOS2.SYS) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/COMMAND2.COM) endef -$(call mb_make_call,mb_doc_function_deep,mb_msxhub_get_msxdos2_boot,"Installs msxdos2 boot files into dir.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-msxdos2-boot,"Installs msxdos2 boot files into dir.","") -define mb_msxhub_get_nextor_boot -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/NEXTOR.SYS) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/COMMAND2.COM) +define mb-msxhub-get-nextor-boot +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/NEXTOR.SYS) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/COMMAND2.COM) endef -$(call mb_make_call,mb_doc_function_deep,mb_msxhub_get_nextor_boot,"Installs nextor boot files into dir.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-nextor-boot,"Installs nextor boot files into dir.","") # # Native OS utils # -define mb_msxhub_get_msxdos2_utils -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/CHKDSK.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/DISKCOPY.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/FIXDISK.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/UNDEL.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/XCOPY.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/XDIR.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/KMODE.COM) +define mb-msxhub-get-msxdos2-utils +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/CHKDSK.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/DISKCOPY.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/FIXDISK.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/UNDEL.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/XCOPY.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/XDIR.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),MSXDOS2/2.20-1/get/MSXDOS2/UTILS/KMODE.COM) endef -$(call mb_make_call,mb_doc_function,mb_msxhub_get_msxdos2_utils,"Installs msxdos2 util files into dir.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-msxdos2-utils,"Installs msxdos2 util files into dir.","") -define mb_msxhub_get_nextor_utils -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/DELALL.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/DEVINFO.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/DRIVERS.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/DRVINFO.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/FASTOUT.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/LOCK.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/MAPDRV.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/EMUFILE.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/RALLOC.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/Z80MODE.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/NSYSVER.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/NEXBOOT.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/CONCLUS.COM) +define mb-msxhub-get-nextor-utils +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/DELALL.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/DEVINFO.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/DRIVERS.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/DRVINFO.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/FASTOUT.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/LOCK.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/MAPDRV.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/EMUFILE.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/RALLOC.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/Z80MODE.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/NSYSVER.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/NEXBOOT.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),NEXTOR/2.1.0-1/get/NEXTOR/CONCLUS.COM) endef -$(call mb_make_call,mb_doc_function,mb_msxhub_get_nextor_utils,"Installs nextor util files into dir.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-nextor-utils,"Installs nextor util files into dir.","") # # Compilers # -define mb_msxhub_get_macro80 -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MACRO80/2.0-1/get/MACRO80/CREF80.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MACRO80/2.0-1/get/MACRO80/L80.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MACRO80/2.0-1/get/MACRO80/LIB80.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MACRO80/2.0-1/get/MACRO80/M80.COM) +define mb-msxhub-get-macro80 +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),MACRO80/2.0-1/get/MACRO80/CREF80.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),MACRO80/2.0-1/get/MACRO80/L80.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),MACRO80/2.0-1/get/MACRO80/LIB80.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),MACRO80/2.0-1/get/MACRO80/M80.COM) endef -$(call mb_make_call,mb_doc_function,mb_msxhub_get_macro80,"Installs macro80 package into dir.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-macro80,"Installs macro80 package into dir.","") -define mb_msxhub_get_z80asmuk -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/BINTOASM.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/DUMP.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/HEXTOCOM.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/PRL.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/PRNTOSYM.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/RSX.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/ZSM.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/ZSMPP.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/HEXTOBIN.COM) +define mb-msxhub-get-z80asmuk +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/BINTOASM.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/DUMP.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/HEXTOCOM.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/PRL.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/PRNTOSYM.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/RSX.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/ZSM.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/ZSMPP.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),Z80ASMUK/3.4-1/get/Z80ASMUK/HEXTOBIN.COM) endef -$(call mb_make_call,mb_doc_function,mb_msxhub_get_z80asmuk,"Installs z80asmuk package into dir.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-z80asmuk,"Installs z80asmuk package into dir.","") -define mb_msxhub_get_wbass2 -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),WBASS2/2.0-1/get/WBASS2/zoekram.bin) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),WBASS2/2.0-1/get/WBASS2/wbass2.bin) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),WBASS2/2.0-1/get/WBASS2/wbass2.bas) +define mb-msxhub-get-wbass2 +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),WBASS2/2.0-1/get/WBASS2/zoekram.bin) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),WBASS2/2.0-1/get/WBASS2/wbass2.bin) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),WBASS2/2.0-1/get/WBASS2/wbass2.bas) endef -$(call mb_make_call,mb_doc_function,mb_msxhub_get_wbass2,"Installs wbass2 package into dir.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-wbass2,"Installs wbass2 package into dir.","") -define mb_msxhub_get_konpass -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),KONPASS/1.3.0-1/get/KONPASS/KONPASS.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),KONPASS/1.3.0-1/get/KONPASS/KONPASS.DAT) +define mb-msxhub-get-konpass +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),KONPASS/1.3.0-1/get/KONPASS/KONPASS.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),KONPASS/1.3.0-1/get/KONPASS/KONPASS.DAT) endef -$(call mb_make_call,mb_doc_function,mb_msxhub_get_konpass,"Installs konpass package into dir.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-konpass,"Installs konpass package into dir.","") # # Compressors # -define mb_msxhub_get_pmarc -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),PMARC/2.0-1/get/PMARC/PMARC.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),PMARC/2.0-1/get/PMARC/PMCAT.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),PMARC/2.0-1/get/PMARC/PMEXE2.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),PMARC/2.0-1/get/PMARC/PMEXT.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),PMARC/2.0-1/get/PMARC/PMSET.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),PMARC/2.0-1/get/PMARC/PMSFX2.COM) +define mb-msxhub-get-pmarc +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),PMARC/2.0-1/get/PMARC/PMARC.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),PMARC/2.0-1/get/PMARC/PMCAT.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),PMARC/2.0-1/get/PMARC/PMEXE2.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),PMARC/2.0-1/get/PMARC/PMEXT.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),PMARC/2.0-1/get/PMARC/PMSET.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),PMARC/2.0-1/get/PMARC/PMSFX2.COM) endef -$(call mb_make_call,mb_doc_function,mb_msxhub_get_pmarc,"Installs pmarc package into dir.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-pmarc,"Installs pmarc package into dir.","") -define mb_msxhub_get_lhpack -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),LHPACK/1.00-1/get/LHPACK/lhpack.com) +define mb-msxhub-get-lhpack +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),LHPACK/1.00-1/get/LHPACK/lhpack.com) endef -$(call mb_make_call,mb_doc_function,mb_msxhub_get_lhpack,"Installs lhpack package into dir.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-lhpack,"Installs lhpack package into dir.","") -define mb_msxhub_get_lhext -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),LHEXT/1.34-2/get/LHEXT/lhext.com) +define mb-msxhub-get-lhext +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),LHEXT/1.34-2/get/LHEXT/lhext.com) endef -$(call mb_make_call,mb_doc_function,mb_msxhub_get_lhext,"Installs lhext package into dir.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-lhext,"Installs lhext package into dir.","") -define mb_msxhub_get_gunzip -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),GUNZIP/1.1-1/get/GUNZIP/gunzip.com) +define mb-msxhub-get-gunzip +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),GUNZIP/1.1-1/get/GUNZIP/gunzip.com) endef -$(call mb_make_call,mb_doc_function,mb_msxhub_get_gunzip,"Installs gunzip package into dir.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-gunzip,"Installs gunzip package into dir.","") -define mb_msxhub_get_tunzip -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),TUNZIP/0.91-1/get/TUNZIP/tunzip.com) +define mb-msxhub-get-tunzip +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),TUNZIP/0.91-1/get/TUNZIP/tunzip.com) endef -$(call mb_make_call,mb_doc_function,mb_msxhub_get_tunzip,"Installs tunzip package into dir.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-tunzip,"Installs tunzip package into dir.","") -define mb_msxhub_get_popcom -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),POPCOM/1.00-2/get/POPCOM/popcom.com) +define mb-msxhub-get-popcom +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),POPCOM/1.00-2/get/POPCOM/popcom.com) endef -$(call mb_make_call,mb_doc_function,mb_msxhub_get_popcom,"Installs popcom package into dir.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-popcom,"Installs popcom package into dir.","") # # Tools # -define mb_msxhub_get_make -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MAKE/2.00-1/get/MAKE/MAKE.COM) +define mb-msxhub-get-make +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),MAKE/2.00-1/get/MAKE/MAKE.COM) endef -$(call mb_make_call,mb_doc_function,mb_msxhub_get_make,"Installs make package into dir.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-make,"Installs make package into dir.","") -define mb_msxhub_get_adir -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),ADIR/1.3-1/get/ADIR/adir.com) +define mb-msxhub-get-adir +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),ADIR/1.3-1/get/ADIR/adir.com) endef -$(call mb_make_call,mb_doc_function,mb_msxhub_get_adir,"Installs adir package into dir.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-adir,"Installs adir package into dir.","") -define mb_msxhub_get_turbo -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),TURBO/2.1-1/get/TURBO/turbo.com) +define mb-msxhub-get-turbo +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),TURBO/2.1-1/get/TURBO/turbo.com) endef -$(call mb_make_call,mb_doc_function,mb_msxhub_get_turbo,"Installs turbo package into dir.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-turbo,"Installs turbo package into dir.","") -define mb_msxhub_get_baskom -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),BASKOM/1.0-1/get/BASKOM/baskom.com) +define mb-msxhub-get-baskom +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),BASKOM/1.0-1/get/BASKOM/baskom.com) endef -$(call mb_make_call,mb_doc_function,mb_msxhub_get_baskom,"Installs baskom package into dir.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-baskom,"Installs baskom package into dir.","") -define mb_msxhub_get_binldr -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),BINLDR/1.0.2-1/get/BINLDR/BINLDR.COM) +define mb-msxhub-get-binldr +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),BINLDR/1.0.2-1/get/BINLDR/BINLDR.COM) endef -$(call mb_make_call,mb_doc_function,mb_msxhub_get_binldr,"Installs binldr package into dir.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-binldr,"Installs binldr package into dir.","") -define mb_msxhub_get_dmphex -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),DMPHEX/1.00-1/get/DMPHEX/dmphex.com) +define mb-msxhub-get-dmphex +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),DMPHEX/1.00-1/get/DMPHEX/dmphex.com) endef -$(call mb_make_call,mb_doc_function,mb_msxhub_get_dmphex,"Installs dmphex package into dir.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-dmphex,"Installs dmphex package into dir.","") -define mb_msxhub_get_zd -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),ZD/3.30-1/get/ZD/zd.com) +define mb-msxhub-get-zd +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),ZD/3.30-1/get/ZD/zd.com) endef -$(call mb_make_call,mb_doc_function,mb_msxhub_get_zd,"Installs zd package into dir.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-zd,"Installs zd package into dir.","") -define mb_msxhub_get_msxdos2t -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/ADDAUX.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/BEEP.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/BIO.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/BODY.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/BSAVE.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/CAL.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/CALC.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/DUMP.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/EXPAND.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/GREP.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/HEAD.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/KEY.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/LIST.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/LS.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/MENU.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/MORE.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/PATCH.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/SLEEP.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/TAIL.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/TR.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/UNIQ.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/VIEW.COM) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/WC.COM) +define mb-msxhub-get-msxdos2t +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/ADDAUX.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/BEEP.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/BIO.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/BODY.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/BSAVE.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/CAL.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/CALC.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/DUMP.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/EXPAND.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/GREP.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/HEAD.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/KEY.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/LIST.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/LS.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/MENU.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/MORE.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/PATCH.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/SLEEP.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/TAIL.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/TR.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/UNIQ.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/VIEW.COM) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),MSXDOS2T/1.0-1/get/MSXDOS2T/WC.COM) endef -$(call mb_make_call,mb_doc_function,mb_msxhub_get_msxdos2t,"Installs msxdos2t package into dir.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-msxdos2t,"Installs msxdos2t package into dir.","") # # Graphics editors # -define mb_msxhub_get_gfxage -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxhub_file,$(1),GFXAGE/0.99-1/get/GFXAGE/gfxage.com) +define mb-msxhub-get-gfxage +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxhub-file,$(1),GFXAGE/0.99-1/get/GFXAGE/gfxage.com) endef -$(call mb_make_call,mb_doc_function,mb_msxhub_get_gfxage,"Installs gfxage package into dir.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxhub-get-gfxage,"Installs gfxage package into dir.","") diff --git a/lib/make/天房系統擴展/mb_msxpipe.mk b/lib/make/天房系統擴展/mb_msxpipe.mk index cf02236..01add38 100644 --- a/lib/make/天房系統擴展/mb_msxpipe.mk +++ b/lib/make/天房系統擴展/mb_msxpipe.mk @@ -1,61 +1,65 @@ MB_MSXPIPE_COLOR_FG_SAFE_CMD ?= 026 -$(call mb_make_call,mb_doc_variable,MB_MSXPIPE_COLOR_FG_SAFE_CMD,"Foreground color of safe command session pipe.") +$(call mb-make-call,mb-doc-variable,MB_MSXPIPE_COLOR_FG_SAFE_CMD,"Foreground color of safe command session pipe.") MB_MSXPIPE_COLOR_BG_SAFE_CMD ?= 000 -$(call mb_make_call,mb_doc_variable,MB_MSXPIPE_COLOR_BG_SAFE_CMD,"Background color of safe command session pipe.") +$(call mb-make-call,mb-doc-variable,MB_MSXPIPE_COLOR_BG_SAFE_CMD,"Background color of safe command session pipe.") MB_MSXPIPE_COLOR_FG_SAFE_ASSERT ?= 421 -$(call mb_make_call,mb_doc_variable,MB_MSXPIPE_COLOR_FG_SAFE_ASSERT,"Foreground color of safe assert session pipe.") +$(call mb-make-call,mb-doc-variable,MB_MSXPIPE_COLOR_FG_SAFE_ASSERT,"Foreground color of safe assert session pipe.") MB_MSXPIPE_COLOR_BG_SAFE_ASSERT ?= 000 -$(call mb_make_call,mb_doc_variable,MB_MSXPIPE_COLOR_BG_SAFE_ASSERT,"Background color of safe assert session pipe.") +$(call mb-make-call,mb-doc-variable,MB_MSXPIPE_COLOR_BG_SAFE_ASSERT,"Background color of safe assert session pipe.") MB_MSXPIPE_COLOR_FG_RUN_GUI ?= 141 -$(call mb_make_call,mb_doc_variable,MB_MSXPIPE_COLOR_FG_RUN_GUI,"Foreground color of run gui session pipe.") +$(call mb-make-call,mb-doc-variable,MB_MSXPIPE_COLOR_FG_RUN_GUI,"Foreground color of run gui session pipe.") MB_MSXPIPE_COLOR_BG_RUN_GUI ?= 000 -$(call mb_make_call,mb_doc_variable,MB_MSXPIPE_COLOR_BG_RUN_GUI,"Background color of run gui session pipe.") +$(call mb-make-call,mb-doc-variable,MB_MSXPIPE_COLOR_BG_RUN_GUI,"Background color of run gui session pipe.") -define mb_msxpipe_safe_cmd -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_write_default,$(1),$(if $(4),$(4),$(MB_MSXPIPE_COLOR_FG_SAFE_CMD)),$(if $(5),$(5),$(MB_MSXPIPE_COLOR_BG_SAFE_CMD))) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_safe_cmd,$(1),$(2)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_exit,$(1)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_openmsx_dosctl,$(1),$(3)) +define mb-msxpipe-safe-cmd +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-arg2,$(0),$(1),$(2)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-write-default,$(1),$(if $(4),$(4),$(MB_MSXPIPE_COLOR_FG_SAFE_CMD)),$(if $(5),$(5),$(MB_MSXPIPE_COLOR_BG_SAFE_CMD))) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-safe-cmd,$(1),$(2)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-exit,$(1)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-openmsx-dosctl,$(1),$(3)) endef -$(call mb_make_call,mb_doc_function,mb_msxpipe_safe_cmd,"Runs openMSX and safely executes one command."," [machine] [fg-color] [bg-color]") +$(call mb-make-call,mb-doc-function,mb-msxpipe-safe-cmd,"Runs openMSX and safely executes one command."," [machine] [fg-color] [bg-color]") -define mb_msxpipe_safe_assert -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_write_default,$(1),$(if $(4),$(4),$(MB_MSXPIPE_COLOR_FG_SAFE_ASSERT)),$(if $(5),$(5),$(MB_MSXPIPE_COLOR_BG_SAFE_ASSERT))) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_safe_assert,$(1),$(2)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_exit,$(1)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_openmsx_dosctl,$(1),$(3)) +define mb-msxpipe-safe-assert +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-arg2,$(0),$(1),$(2)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-write-default,$(1),$(if $(4),$(4),$(MB_MSXPIPE_COLOR_FG_SAFE_ASSERT)),$(if $(5),$(5),$(MB_MSXPIPE_COLOR_BG_SAFE_ASSERT))) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-safe-assert,$(1),$(2)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-exit,$(1)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-openmsx-dosctl,$(1),$(3)) endef -$(call mb_make_call,mb_doc_function,mb_msxpipe_safe_assert,"Runs openMSX and safely executes one assert."," [machine] [fg-color] [bg-color]") +$(call mb-make-call,mb-doc-function,mb-msxpipe-safe-assert,"Runs openMSX and safely executes one assert."," [machine] [fg-color] [bg-color]") -define _mb_msxpipe_run_gui -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_write_default,$(1),$(MB_MSXPIPE_COLOR_FG_RUN_GUI),$(MB_MSXPIPE_COLOR_BG_RUN_GUI)) -$(MB_ᕽᕽᕽ   )$(if $(filter mouse,$(4)),$(call mb_make_call,mb_autoexec_append_plug_porta,$(1),$(4))) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_stop_fail,$(1)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_show_gui,$(1)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_autoexec_append_echo,$(1),mb::help Run shutdown to exit) -$(MB_ᕽᕽᕽ   )$(if $(2),$(call mb_make_call,mb_autoexec_append_echo,$(1),mb::auto command $(2))) -$(MB_ᕽᕽᕽ   )$(if $(2),$(call mb_make_call,mb_autoexec_append_cmd,$(1),$(2))) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_openmsx_dosctl,$(1),$(3)) +define _mb-msxpipe-run-gui +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-write-default,$(1),$(MB_MSXPIPE_COLOR_FG_RUN_GUI),$(MB_MSXPIPE_COLOR_BG_RUN_GUI)) +$(MB_ᕽᕽᕽ   )$(if $(filter mouse,$(4)),$(call mb-make-call,mb-autoexec-append-plug-porta,$(1),$(4))) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-stop-fail,$(1)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-show-gui,$(1)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-autoexec-append-echo,$(1),mb::help Run shutdown to exit) +$(MB_ᕽᕽᕽ   )$(if $(2),$(call mb-make-call,mb-autoexec-append-echo,$(1),mb::auto command $(2))) +$(MB_ᕽᕽᕽ   )$(if $(2),$(call mb-make-call,mb-autoexec-append-cmd,$(1),$(2))) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-openmsx-dosctl,$(1),$(3)) endef -define mb_msxpipe_run_gui -$(MB_ᕽᕽᕽ   )$(call mb_make_call,_mb_msxpipe_run_gui,$(1),$(2),$(3)) +define mb-msxpipe-run-gui +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-arg1,$(0),$(1)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,_mb-msxpipe-run-gui,$(1),$(2),$(3)) endef -$(call mb_make_call,mb_doc_function,mb_msxpipe_run_gui,"Runs openMSX forever with renderer and optionals."," [cmd] [machine]") +$(call mb-make-call,mb-doc-function,mb-msxpipe-run-gui,"Runs openMSX forever with renderer and optionals."," [cmd] [machine]") -define mb_msxpipe_run_gui_mouse -$(MB_ᕽᕽᕽ   )$(call mb_make_call,_mb_msxpipe_run_gui,$(1),$(2),$(3),mouse) +define mb-msxpipe-run-gui-mouse +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-arg1,$(0),$(1)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,_mb-msxpipe-run-gui,$(1),$(2),$(3),mouse) endef -$(call mb_make_call,mb_doc_function,mb_msxpipe_run_gui_mouse,"Runs openMSX forever with renderer and mouse and optionals."," [cmd] [machine]") +$(call mb-make-call,mb-doc-function,mb-msxpipe-run-gui-mouse,"Runs openMSX forever with renderer and mouse and optionals."," [cmd] [machine]") diff --git a/lib/make/天房系統擴展/mb_msxrom.mk b/lib/make/天房系統擴展/mb_msxrom.mk index 84489bf..7f42677 100644 --- a/lib/make/天房系統擴展/mb_msxrom.mk +++ b/lib/make/天房系統擴展/mb_msxrom.mk @@ -1,211 +1,211 @@ # TODO: Convert pi MSX number data, the named pie slices to hyperdrive storage for distribution over red sea MB_MSXROM_API ?= https://msxrom.distributedrebirth.love/calc-pi/v19.1 -$(call mb_make_call,mb_doc_variable_deep,MB_MSXROM_API,"Resource identifier for msxrom pi pie named slices data.") +$(call mb-make-call,mb-doc-variable-deep,MB_MSXROM_API,"Resource identifier for msxrom pi pie named slices data.") MB_MSXROM_CACHE ?= $(MB_OS_CACHE)/msxbuild/msxrom -$(call mb_make_call,mb_doc_variable_deep,MB_MSXROM_CACHE,"Cache storage location.") +$(call mb-make-call,mb-doc-variable-deep,MB_MSXROM_CACHE,"Cache storage location.") MB_MSXROM_FIRE_MACHINES ?= -$(call mb_make_call,mb_doc_variable_flow,MB_MSXROM_FIRE_MACHINES,"List of supported machines.") +$(call mb-make-call,mb-doc-variable-flow,MB_MSXROM_FIRE_MACHINES,"List of supported machines.") -define _mb_msxrom_grow_fire_machines -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg1,$(0),$(1)) +define _mb-msxrom-grow-fire-machines +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-arg1,$(0),$(1)) $(MB_ᕽᕽᕽ   )$(eval MB_MSXROM_FIRE_MACHINES $(MB_MAKE_APPEND) $(1)) endef -define _mb_msxrom_file_fetch -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_os_echo_command,$(MB_I18N_MSXROM_FILE_FETCH) $(subst $(MB_MSXROM_API)/,,$(1))) -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(dir $(MB_MSXROM_CACHE)/$(subst $(MB_MSXROM_API)/,,$(1)))),,$(call mb_make_call,mb_os_dir_create,$(dir $(MB_MSXROM_CACHE)/$(subst $(MB_MSXROM_API)/,,$(1))))) +define _mb-msxrom-file-fetch +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-os-echo-command,$(MB_I18N_MSXROM_FILE_FETCH) $(subst $(MB_MSXROM_API)/,,$(1))) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(dir $(MB_MSXROM_CACHE)/$(subst $(MB_MSXROM_API)/,,$(1)))),,$(call mb-make-call,mb-os-dir-create,$(dir $(MB_MSXROM_CACHE)/$(subst $(MB_MSXROM_API)/,,$(1))))) $(MB_ᕽᕽᕽ   )$(if $(wildcard $(MB_MSXROM_CACHE)/$(subst $(MB_MSXROM_API)/,,$(1))),,wget -O $(MB_MSXROM_CACHE)/$(subst $(MB_MSXROM_API)/,,$(1)) $(1)) endef -define mb_msxrom_file -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(MB_MSXROM_CACHE)/$(2)),,$(call mb_make_call,_mb_msxrom_file_fetch,$(MB_MSXROM_API)/$(2))) -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)/$(notdir $(2))),,$(call mb_make_call,mb_os_file_copy,$(MB_MSXROM_CACHE)/$(2),$(1)/$(notdir $(2)))) +define mb-msxrom-file +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(MB_MSXROM_CACHE)/$(2)),,$(call mb-make-call,_mb-msxrom-file-fetch,$(MB_MSXROM_API)/$(2))) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)/$(notdir $(2))),,$(call mb-make-call,mb-os-file-copy,$(MB_MSXROM_CACHE)/$(2),$(1)/$(notdir $(2)))) endef -$(call mb_make_call,mb_doc_function_deep,mb_msxrom_file,"Installs msxroms from slug into an subdir."," ") +$(call mb-make-call,mb-doc-function-deep,mb-msxrom-file,"Installs msxroms from slug into an subdir."," ") -define mb_msxrom_setup -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))),,$(call mb_make_call,mb_os_dir_create,$(1)$(MB_@WORK)$(notdir $(1)))) -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/share),,$(call mb_make_call,mb_os_dir_create,$(1)$(MB_@WORK)$(notdir $(1))/share)) -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/share/systemroms),,$(call mb_make_call,mb_os_dir_create,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms)) -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines),,$(call mb_make_call,mb_os_dir_create,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines)) -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/extensions),,$(call mb_make_call,mb_os_dir_create,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/extensions)) +define mb-msxrom-setup +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))),,$(call mb-make-call,mb-os-dir-create,$(1)$(MB_@WORK)$(notdir $(1)))) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/share),,$(call mb-make-call,mb-os-dir-create,$(1)$(MB_@WORK)$(notdir $(1))/share)) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/share/systemroms),,$(call mb-make-call,mb-os-dir-create,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms)) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines),,$(call mb-make-call,mb-os-dir-create,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines)) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/extensions),,$(call mb-make-call,mb-os-dir-create,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/extensions)) endef -$(call mb_make_call,mb_doc_function_deep,mb_msxrom_setup,"Creates needed systemrom folders.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxrom-setup,"Creates needed systemrom folders.","") -define mb_msxrom_extension_ide -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/extensions,extensions/ide240.dat) +define mb-msxrom-extension-ide +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/extensions,extensions/ide240.dat) endef -$(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_ide,"Installs sunrise IDE classic rom.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxrom-extension-ide,"Installs sunrise IDE classic rom.","") -define mb_msxrom_extension_ide_nextor -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/extensions,extensions/Nextor-2.1.1.SunriseIDE.ROM) +define mb-msxrom-extension-ide-nextor +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/extensions,extensions/Nextor-2.1.1.SunriseIDE.ROM) endef -$(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_ide_nextor,"Installs sunrise IDE nextor rom.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxrom-extension-ide-nextor,"Installs sunrise IDE nextor rom.","") -define mb_msxrom_extension_scsi_novaxis -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/extensions,extensions/novaxis.rom) +define mb-msxrom-extension-scsi-novaxis +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/extensions,extensions/novaxis.rom) endef -$(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_scsi_novaxis,"Installs Gouda SCSI novaxis rom.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxrom-extension-scsi-novaxis,"Installs Gouda SCSI novaxis rom.","") -define mb_msxrom_extension_msxdos22 -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/extensions,extensions/msxdos22.rom) +define mb-msxrom-extension-msxdos22 +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/extensions,extensions/msxdos22.rom) endef -$(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_msxdos22,"Installs msxdos 2.20 rom.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxrom-extension-msxdos22,"Installs msxdos 2.20 rom.","") -define mb_msxrom_extension_rs232 -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/extensions,extensions/rs232.rom) +define mb-msxrom-extension-rs232 +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/extensions,extensions/rs232.rom) endef -$(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_rs232,"Installs rs232 rom.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxrom-extension-rs232,"Installs rs232 rom.","") -define mb_msxrom_extension_fmpac -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/extensions,extensions/fmpac.rom) +define mb-msxrom-extension-fmpac +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/extensions,extensions/fmpac.rom) endef -$(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_fmpac,"Installs fmpac rom.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxrom-extension-fmpac,"Installs fmpac rom.","") -define mb_msxrom_extension_fmpac_en -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/extensions,extensions/fmpac_en.rom) +define mb-msxrom-extension-fmpac-en +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/extensions,extensions/fmpac_en.rom) endef -$(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_fmpac_en,"Installs fmpac english rom.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxrom-extension-fmpac-en,"Installs fmpac english rom.","") -define mb_msxrom_extension_moonsound -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/extensions,extensions/yrw801.rom) +define mb-msxrom-extension-moonsound +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/extensions,extensions/yrw801.rom) endef -$(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_moonsound,"Installs moonsound rom.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxrom-extension-moonsound,"Installs moonsound rom.","") -define mb_msxrom_extension_basickun -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/extensions,machines/sanyo/phc-70fd2_basickun.rom) +define mb-msxrom-extension-basickun +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/extensions,machines/sanyo/phc-70fd2_basickun.rom) endef -$(call mb_make_call,mb_doc_function_deep,mb_msxrom_extension_basickun,"Installs basickun compiler rom.","") +$(call mb-make-call,mb-doc-function-deep,mb-msxrom-extension-basickun,"Installs basickun compiler rom.","") -define mb_msxrom_machine_Canon_V-20 -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/canon/v-20_basic-bios1.rom) +define mb-msxrom-machine-Canon_V-20 +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/canon/v-20_basic-bios1.rom) endef -$(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Canon_V-20,"Installs machine roms.","") -$(call mb_make_call,_mb_msxrom_grow_fire_machines,Canon_V-20) +$(call mb-make-call,mb-doc-function-deep,mb-msxrom-machine-Canon_V-20,"Installs machine roms.","") +$(call mb-make-call,_mb-msxrom-grow-fire-machines,Canon_V-20) -define mb_msxrom_machine_Mitsubishi_ML-F80 -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/mitsubishi/ml-f80_basic-bios1.rom) +define mb-msxrom-machine-Mitsubishi_ML-F80 +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/mitsubishi/ml-f80_basic-bios1.rom) endef -$(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Mitsubishi_ML-F80,"Installs machine roms.","") -$(call mb_make_call,_mb_msxrom_grow_fire_machines,Mitsubishi_ML-F80) +$(call mb-make-call,mb-doc-function-deep,mb-msxrom-machine-Mitsubishi_ML-F80,"Installs machine roms.","") +$(call mb-make-call,_mb-msxrom-grow-fire-machines,Mitsubishi_ML-F80) -define mb_msxrom_machine_Mitsubishi_ML-FX1 -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/mitsubishi/ml-fx1_basic-bios1.rom) +define mb-msxrom-machine-Mitsubishi_ML-FX1 +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/mitsubishi/ml-fx1_basic-bios1.rom) endef -$(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Mitsubishi_ML-FX1,"Installs machine roms.","") -$(call mb_make_call,_mb_msxrom_grow_fire_machines,Mitsubishi_ML-FX1) +$(call mb-make-call,mb-doc-function-deep,mb-msxrom-machine-Mitsubishi_ML-FX1,"Installs machine roms.","") +$(call mb-make-call,_mb-msxrom-grow-fire-machines,Mitsubishi_ML-FX1) -define mb_msxrom_machine_Mitsubishi_ML-G3_ES -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/mitsubishi/ml-g3_es_basic-bios2.rom) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/mitsubishi/ml-g3_es_disk.rom) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/mitsubishi/ml-g3_es_msx2sub.rom) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/mitsubishi/ml-g3_es_rs232.rom) +define mb-msxrom-machine-Mitsubishi_ML-G3_ES +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/mitsubishi/ml-g3_es_basic-bios2.rom) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/mitsubishi/ml-g3_es_disk.rom) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/mitsubishi/ml-g3_es_msx2sub.rom) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/mitsubishi/ml-g3_es_rs232.rom) endef -$(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Mitsubishi_ML-G3_ES,"Installs machine roms.","") -$(call mb_make_call,_mb_msxrom_grow_fire_machines,Mitsubishi_ML-G3_ES) +$(call mb-make-call,mb-doc-function-deep,mb-msxrom-machine-Mitsubishi_ML-G3_ES,"Installs machine roms.","") +$(call mb-make-call,_mb-msxrom-grow-fire-machines,Mitsubishi_ML-G3_ES) -define mb_msxrom_machine_Philips_VG_8000 -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/philips/vg8000_basic-bios1.rom) +define mb-msxrom-machine-Philips_VG_8000 +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/philips/vg8000_basic-bios1.rom) endef -$(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Philips_VG_8000,"Installs machine roms.","") -$(call mb_make_call,_mb_msxrom_grow_fire_machines,Philips_VG_8000) +$(call mb-make-call,mb-doc-function-deep,mb-msxrom-machine-Philips_VG_8000,"Installs machine roms.","") +$(call mb-make-call,_mb-msxrom-grow-fire-machines,Philips_VG_8000) -define mb_msxrom_machine_Philips_VG_8230 -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/philips/vg8230_basic-bios2.rom) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/philips/vg8230_disk.rom) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/philips/vg8230_msx2sub.rom) +define mb-msxrom-machine-Philips_VG_8230 +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/philips/vg8230_basic-bios2.rom) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/philips/vg8230_disk.rom) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/philips/vg8230_msx2sub.rom) endef -$(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Philips_VG_8230,"Installs machine roms.","") -$(call mb_make_call,_mb_msxrom_grow_fire_machines,Philips_VG_8230) +$(call mb-make-call,mb-doc-function-deep,mb-msxrom-machine-Philips_VG_8230,"Installs machine roms.","") +$(call mb-make-call,_mb-msxrom-grow-fire-machines,Philips_VG_8230) -define mb_msxrom_machine_Philips_NMS_8250 -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/philips/nms8250_basic-bios2.rom) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/philips/nms8250_msx2sub.rom) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/philips/nms8250_disk.rom) +define mb-msxrom-machine-Philips_NMS_8250 +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/philips/nms8250_basic-bios2.rom) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/philips/nms8250_msx2sub.rom) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/philips/nms8250_disk.rom) endef -$(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Philips_NMS_8250,"Installs machine roms.","") -$(call mb_make_call,_mb_msxrom_grow_fire_machines,Philips_NMS_8250) +$(call mb-make-call,mb-doc-function-deep,mb-msxrom-machine-Philips_NMS_8250,"Installs machine roms.","") +$(call mb-make-call,_mb-msxrom-grow-fire-machines,Philips_NMS_8250) -define mb_msxrom_machine_Toshiba_HX-21 -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/toshiba/HX21-IC2.BIN) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/toshiba/HX21-IC3.BIN) +define mb-msxrom-machine-Toshiba_HX-21 +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/toshiba/HX21-IC2.BIN) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/toshiba/HX21-IC3.BIN) endef -$(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Toshiba_HX-21,"Installs machine roms.","") -$(call mb_make_call,_mb_msxrom_grow_fire_machines,Toshiba_HX-21) +$(call mb-make-call,mb-doc-function-deep,mb-msxrom-machine-Toshiba_HX-21,"Installs machine roms.","") +$(call mb-make-call,_mb-msxrom-grow-fire-machines,Toshiba_HX-21) -define mb_msxrom_machine_Yamaha_AX200 -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/yamaha/ax200_basic-bios1.rom) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/yamaha/ax200_arabic.rom) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/yamaha/ax200_music.rom) +define mb-msxrom-machine-Yamaha_AX200 +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/yamaha/ax200_basic-bios1.rom) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/yamaha/ax200_arabic.rom) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/yamaha/ax200_music.rom) endef -$(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Yamaha_AX200,"Installs machine roms.","") -$(call mb_make_call,_mb_msxrom_grow_fire_machines,Yamaha_AX200) +$(call mb-make-call,mb-doc-function-deep,mb-msxrom-machine-Yamaha_AX200,"Installs machine roms.","") +$(call mb-make-call,_mb-msxrom-grow-fire-machines,Yamaha_AX200) -define mb_msxrom_machine_Yamaha_YIS-503IIIR -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/yamaha/yis503iii_basic-bios2.rom) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/yamaha/yis503iii_msx2sub.rom) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/yamaha/yis503iii_cpm.rom) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/yamaha/yis503iii_net_2.rom) +define mb-msxrom-machine-Yamaha_YIS-503IIIR +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/yamaha/yis503iii_basic-bios2.rom) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/yamaha/yis503iii_msx2sub.rom) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/yamaha/yis503iii_cpm.rom) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/yamaha/yis503iii_net_2.rom) endef -$(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Yamaha_YIS-503IIIR,"Installs machine roms.","") -$(call mb_make_call,_mb_msxrom_grow_fire_machines,Yamaha_YIS-503IIIR) +$(call mb-make-call,mb-doc-function-deep,mb-msxrom-machine-Yamaha_YIS-503IIIR,"Installs machine roms.","") +$(call mb-make-call,_mb-msxrom-grow-fire-machines,Yamaha_YIS-503IIIR) -define mb_msxrom_machine_Panasonic_FS-A1WSX -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/panasonic/fs-a1wsx_basic-bios2p.rom) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/panasonic/fs-a1wsx_disk.rom) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/panasonic/fs-a1wsx_firmware.rom) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/panasonic/fs-a1wsx_fmbasic.rom) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/panasonic/fs-a1wsx_kanjibasic.rom) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/panasonic/fs-a1wsx_kanjifont.rom) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/panasonic/fs-a1wsx_msx2psub.rom) +define mb-msxrom-machine-Panasonic_FS-A1WSX +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/panasonic/fs-a1wsx_basic-bios2p.rom) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/panasonic/fs-a1wsx_disk.rom) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/panasonic/fs-a1wsx_firmware.rom) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/panasonic/fs-a1wsx_fmbasic.rom) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/panasonic/fs-a1wsx_kanjibasic.rom) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/panasonic/fs-a1wsx_kanjifont.rom) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-file,$(1)$(MB_@WORK)$(notdir $(1))/share/systemroms/machines,machines/panasonic/fs-a1wsx_msx2psub.rom) endef -$(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Panasonic_FS-A1WSX,"Installs machine roms.","") -$(call mb_make_call,_mb_msxrom_grow_fire_machines,Panasonic_FS-A1WSX) +$(call mb-make-call,mb-doc-function-deep,mb-msxrom-machine-Panasonic_FS-A1WSX,"Installs machine roms.","") +$(call mb-make-call,_mb-msxrom-grow-fire-machines,Panasonic_FS-A1WSX) -define mb_msxrom_machine_Boosted_MSX2_EN -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_machine_Philips_NMS_8250,$(1)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_extension_fmpac,$(1)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_extension_moonsound,$(1)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_extension_basickun,$(1)) +define mb-msxrom-machine-Boosted_MSX2_EN +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-machine-Philips_NMS_8250,$(1)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-extension-fmpac,$(1)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-extension-moonsound,$(1)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-extension-basickun,$(1)) endef -$(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Boosted_MSX2_EN,"Installs machine and extensions roms.","") -$(call mb_make_call,_mb_msxrom_grow_fire_machines,Boosted_MSX2_EN) +$(call mb-make-call,mb-doc-function-deep,mb-msxrom-machine-Boosted_MSX2_EN,"Installs machine and extensions roms.","") +$(call mb-make-call,_mb-msxrom-grow-fire-machines,Boosted_MSX2_EN) -define mb_msxrom_machine_Boosted_MSX2+_JP -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_machine_Panasonic_FS-A1WSX,$(1)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_extension_moonsound,$(1)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_extension_basickun,$(1)) +define mb-msxrom-machine-Boosted_MSX2+_JP +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-machine-Panasonic_FS-A1WSX,$(1)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-extension-moonsound,$(1)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-extension-basickun,$(1)) endef -$(call mb_make_call,mb_doc_function_deep,mb_msxrom_machine_Boosted_MSX2+_JP,"Installs machine and extensions roms.","") -$(call mb_make_call,_mb_msxrom_grow_fire_machines,Boosted_MSX2+_JP) +$(call mb-make-call,mb-doc-function-deep,mb-msxrom-machine-Boosted_MSX2+_JP,"Installs machine and extensions roms.","") +$(call mb-make-call,_mb-msxrom-grow-fire-machines,Boosted_MSX2+_JP) diff --git a/lib/make/天房系統擴展/mb_openmsx.mk b/lib/make/天房系統擴展/mb_openmsx.mk index 9dec62b..56b4665 100644 --- a/lib/make/天房系統擴展/mb_openmsx.mk +++ b/lib/make/天房系統擴展/mb_openmsx.mk @@ -1,81 +1,81 @@ MB_OPENMSX_PATH ?= -$(call mb_make_call,mb_doc_variable,MB_OPENMSX_PATH,"Optional path where openMSX binary is located.") +$(call mb-make-call,mb-doc-variable,MB_OPENMSX_PATH,"Optional path where openMSX binary is located.") MB_OPENMSX_BOOT_TIMEOUT ?= 25 -$(call mb_make_call,mb_doc_variable,MB_OPENMSX_BOOT_TIMEOUT,"Timeout in seconds to guard for boot failures.") +$(call mb-make-call,mb-doc-variable,MB_OPENMSX_BOOT_TIMEOUT,"Timeout in seconds to guard for boot failures.") MB_OPENMSX_BOOT_OS ?= nextor -$(call mb_make_call,mb_doc_variable,MB_OPENMSX_BOOT_OS,"The default OS to run$$(MB_MAKE_COMMA) valid values are; nextor$$(MB_MAKE_COMMA)msxdos1$$(MB_MAKE_COMMA)msxdos2") +$(call mb-make-call,mb-doc-variable,MB_OPENMSX_BOOT_OS,"The default OS to run$$(MB_MAKE_COMMA) valid values are; nextor$$(MB_MAKE_COMMA)msxdos1$$(MB_MAKE_COMMA)msxdos2") MB_OPENMSX_MACHINE ?= Philips_NMS_8250 -$(call mb_make_call,mb_doc_variable,MB_OPENMSX_MACHINE,"The default MSX machine to use.",$(MB_OPENMSX_MACHINE)) +$(call mb-make-call,mb-doc-variable,MB_OPENMSX_MACHINE,"The default MSX machine to use.",$(MB_OPENMSX_MACHINE)) MB_OPENMSX_MACHINE_RAM ?= ram1mb -$(call mb_make_call,mb_doc_variable,MB_OPENMSX_MACHINE_RAM,"Extra ram extension for machine allowed: off$$(MB_MAKE_COMMA)ram16k$$(MB_MAKE_COMMA)ram64k$$(MB_MAKE_COMMA)ram512k$$(MB_MAKE_COMMA)ram1mb$$(MB_MAKE_COMMA)ram2mb$$(MB_MAKE_COMMA)ram4mb") +$(call mb-make-call,mb-doc-variable,MB_OPENMSX_MACHINE_RAM,"Extra ram extension for machine allowed: off$$(MB_MAKE_COMMA)ram16k$$(MB_MAKE_COMMA)ram64k$$(MB_MAKE_COMMA)ram512k$$(MB_MAKE_COMMA)ram1mb$$(MB_MAKE_COMMA)ram2mb$$(MB_MAKE_COMMA)ram4mb") MB_OPENMSX_SPEED ?= $(if $(findstring turboR,$(MB_OPENMSX_MACHINE)),100,333) -$(call mb_make_call,mb_doc_variable,MB_OPENMSX_SPEED,"The throttled MSX emulation speed.") +$(call mb-make-call,mb-doc-variable,MB_OPENMSX_SPEED,"The throttled MSX emulation speed.") MB_OPENMSX_ARGS ?= -$(call mb_make_call,mb_doc_variable,MB_OPENMSX_ARGS,"Extra arguments to invoke openMSX with.") +$(call mb-make-call,mb-doc-variable,MB_OPENMSX_ARGS,"Extra arguments to invoke openMSX with.") MB_OPENMSX_HDD_SIZE ?= 4m -$(call mb_make_call,mb_doc_variable,MB_OPENMSX_HDD_SIZE,"The default fire-hdd disk image size.") +$(call mb-make-call,mb-doc-variable,MB_OPENMSX_HDD_SIZE,"The default fire-hdd disk image size.") MB_OPENMSX_SCALE_FACTOR ?= 3 -$(call mb_make_call,mb_doc_variable,MB_OPENMSX_SCALE_FACTOR,"The gui scale factor to display the emulation.") +$(call mb-make-call,mb-doc-variable,MB_OPENMSX_SCALE_FACTOR,"The gui scale factor to display the emulation.") MB_OPENMSX_THROTTLE ?= off -$(call mb_make_call,mb_doc_variable,MB_OPENMSX_THROTTLE,"An on/off flag to control throttling globally.") +$(call mb-make-call,mb-doc-variable,MB_OPENMSX_THROTTLE,"An on/off flag to control throttling globally.") MB_OPENMSX_HEADLESS ?= on -$(call mb_make_call,mb_doc_variable,MB_OPENMSX_HEADLESS,"Controls if openMSX starts headless.") +$(call mb-make-call,mb-doc-variable,MB_OPENMSX_HEADLESS,"Controls if openMSX starts headless.") MB_OPENMSX_RENDERER ?= SDLGL-PP -$(call mb_make_call,mb_doc_variable_deep,MB_OPENMSX_RENDERER,"The gui renderer used to display the window head with.") +$(call mb-make-call,mb-doc-variable-deep,MB_OPENMSX_RENDERER,"The gui renderer used to display the window head with.") MB_OPENMSX_STDOUT_IGNORE ?= off -$(call mb_make_call,mb_doc_variable_deep,MB_OPENMSX_STDOUT_IGNORE,"Kills logging...rm after issues openMSX are solved.") +$(call mb-make-call,mb-doc-variable-deep,MB_OPENMSX_STDOUT_IGNORE,"Kills logging...rm after issues openMSX are solved.") MB_OPENMSX_STDERR_IGNORE ?= off -$(call mb_make_call,mb_doc_variable_deep,MB_OPENMSX_STDERR_IGNORE,"Kills logging...rm after issues openMSX are solved.") +$(call mb-make-call,mb-doc-variable-deep,MB_OPENMSX_STDERR_IGNORE,"Kills logging...rm after issues openMSX are solved.") MB_OPENMSX_FIRE_PIPE_SMOKE ?= -$(call mb_make_call,mb_doc_variable_flow,MB_OPENMSX_FIRE_PIPE_SMOKE,"Word count of total openMSX executions.") +$(call mb-make-call,mb-doc-variable-flow,MB_OPENMSX_FIRE_PIPE_SMOKE,"Word count of total openMSX executions.") -define mb_openmsx_setup -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg2,$(0),$(1),$(2)) -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))),,$(call mb_make_call,mb_os_dir_create,$(1)$(MB_@WORK)$(notdir $(1)))) -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/stdio.xml),,$(call mb_make_call,mb_os_file_copy,$(MB_@BASEPATH)openmsx/stdio.xml,$(1)$(MB_@WORK)$(notdir $(1)))) -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/share),,$(call mb_make_call,mb_os_dir_create,$(1)$(MB_@WORK)$(notdir $(1))/share)) -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/share/settings.xml),,$(call mb_make_call,mb_os_file_copy,$(MB_@BASEPATH)openmsx/share/settings.xml,$(1)$(MB_@WORK)$(notdir $(1))/share)) -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/share/scripts),,$(call mb_make_call,mb_os_dir_create,$(1)$(MB_@WORK)$(notdir $(1))/share/scripts)) -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/share/scripts/boot_exec.tcl),,$(call mb_make_call,mb_os_file_copy,$(MB_@BASEPATH)openmsx/share/scripts/boot_exec.tcl,$(1)$(MB_@WORK)$(notdir $(1))/share/scripts)) -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/share/scripts/fail_after.tcl),,$(call mb_make_call,mb_os_file_copy,$(MB_@BASEPATH)openmsx/share/scripts/fail_after.tcl,$(1)$(MB_@WORK)$(notdir $(1))/share/scripts)) -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/share/scripts/fire_hdd.tcl),,$(call mb_make_call,mb_os_file_copy,$(MB_@BASEPATH)openmsx/share/scripts/fire_hdd.tcl,$(1)$(MB_@WORK)$(notdir $(1))/share/scripts)) -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/share/scripts/headless.tcl),,$(call mb_make_call,mb_os_file_copy,$(MB_@BASEPATH)openmsx/share/scripts/headless.tcl,$(1)$(MB_@WORK)$(notdir $(1))/share/scripts)) -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/share/scripts/night_flight.tcl),,$(call mb_make_call,mb_os_file_copy,$(MB_@BASEPATH)openmsx/share/scripts/night_flight.tcl,$(1)$(MB_@WORK)$(notdir $(1))/share/scripts)) -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/share/scripts/omsxctl.tcl),,$(call mb_msxhub_file,$(1)$(MB_@WORK)$(notdir $(1))/share/scripts,OMSXCTL/1.0-1/get/OMSXCTL/omsxctl.tcl)) -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/share/extensions),,$(call mb_make_call,mb_os_dir_create,$(1)$(MB_@WORK)$(notdir $(1))/share/extensions)) -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/share/extensions/fire-hdd.xml),,$(call mb_make_call,mb_os_file_copy,$(MB_@BASEPATH)openmsx/share/extensions/fire-hdd.xml,$(1)$(MB_@WORK)$(notdir $(1))/share/extensions)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_setup,$(1)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_extension_ide_nextor,$(1)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_msxrom_machine_$(2),$(1)) +define mb-openmsx-setup +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-arg2,$(0),$(1),$(2)) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))),,$(call mb-make-call,mb-os-dir-create,$(1)$(MB_@WORK)$(notdir $(1)))) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/stdio.xml),,$(call mb-make-call,mb-os-file-copy,$(MB_@BASEPATH)openmsx/stdio.xml,$(1)$(MB_@WORK)$(notdir $(1)))) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/share),,$(call mb-make-call,mb-os-dir-create,$(1)$(MB_@WORK)$(notdir $(1))/share)) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/share/settings.xml),,$(call mb-make-call,mb-os-file-copy,$(MB_@BASEPATH)openmsx/share/settings.xml,$(1)$(MB_@WORK)$(notdir $(1))/share)) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/share/scripts),,$(call mb-make-call,mb-os-dir-create,$(1)$(MB_@WORK)$(notdir $(1))/share/scripts)) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/share/scripts/boot_exec.tcl),,$(call mb-make-call,mb-os-file-copy,$(MB_@BASEPATH)openmsx/share/scripts/boot_exec.tcl,$(1)$(MB_@WORK)$(notdir $(1))/share/scripts)) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/share/scripts/fail_after.tcl),,$(call mb-make-call,mb-os-file-copy,$(MB_@BASEPATH)openmsx/share/scripts/fail_after.tcl,$(1)$(MB_@WORK)$(notdir $(1))/share/scripts)) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/share/scripts/fire_hdd.tcl),,$(call mb-make-call,mb-os-file-copy,$(MB_@BASEPATH)openmsx/share/scripts/fire_hdd.tcl,$(1)$(MB_@WORK)$(notdir $(1))/share/scripts)) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/share/scripts/headless.tcl),,$(call mb-make-call,mb-os-file-copy,$(MB_@BASEPATH)openmsx/share/scripts/headless.tcl,$(1)$(MB_@WORK)$(notdir $(1))/share/scripts)) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/share/scripts/night_flight.tcl),,$(call mb-make-call,mb-os-file-copy,$(MB_@BASEPATH)openmsx/share/scripts/night_flight.tcl,$(1)$(MB_@WORK)$(notdir $(1))/share/scripts)) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/share/scripts/omsxctl.tcl),,$(call mb-make-call,mb-msxhub-file,$(1)$(MB_@WORK)$(notdir $(1))/share/scripts,OMSXCTL/1.0-1/get/OMSXCTL/omsxctl.tcl)) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/share/extensions),,$(call mb-make-call,mb-os-dir-create,$(1)$(MB_@WORK)$(notdir $(1))/share/extensions)) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/share/extensions/fire-hdd.xml),,$(call mb-make-call,mb-os-file-copy,$(MB_@BASEPATH)openmsx/share/extensions/fire-hdd.xml,$(1)$(MB_@WORK)$(notdir $(1))/share/extensions)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-setup,$(1)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-extension-ide-nextor,$(1)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-msxrom-machine-$(2),$(1)) endef -$(call mb_make_call,mb_doc_function_deep,mb_openmsx_setup,"Setup openMSX local build home folder for machine."," ") +$(call mb-make-call,mb-doc-function-deep,mb-openmsx-setup,"Setup openMSX local build home folder for machine."," ") -define _mb_openmsx_path +define _mb-openmsx-path $(MB_ᕽᕽᕽ   )$(if $(MB_OPENMSX_PATH),$(MB_OPENMSX_PATH)/$(1),$(1)) endef -define _mb_openmsx_run +define _mb-openmsx-run $(MB_ᕽᕽᕽ   )$(eval MB_OPENMSX_FIRE_PIPE_SMOKE $(MB_MAKE_APPEND) OK) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_os_echo_command,$(MB_I18N_OPENMSX_STARTUP) $@ - $(2)) -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/persistent/fire-hdd/untitled1/sync),,$(call mb_make_call,mb_os_dir_create,$(1)$(MB_@WORK)$(notdir $(1))/persistent/fire-hdd/untitled1/sync)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-os-echo-command,$(MB_I18N_OPENMSX_STARTUP) $@ - $(2)) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)$(MB_@WORK)$(notdir $(1))/persistent/fire-hdd/untitled1/sync),,$(call mb-make-call,mb-os-dir-create,$(1)$(MB_@WORK)$(notdir $(1))/persistent/fire-hdd/untitled1/sync)) $(MB_ᕽᕽᕽ   )BOOT_WATCHDOG=$(MB_OPENMSX_BOOT_TIMEOUT) \ $(MB_ᕽᕽᕽ   )FIRE_HDD_PATH="$(1)" \ $(MB_ᕽᕽᕽ   )FIRE_HDD_SIZE=$(MB_OPENMSX_HDD_SIZE) \ @@ -90,7 +90,7 @@ $(MB_ᕽᕽᕽ   )NF_PREFIX=$(MB_FLIGHT_PREFIX) \ $(MB_ᕽᕽᕽ   )NF_SEPERATOR=$(MB_FLIGHT_SEPERATOR) \ $(MB_ᕽᕽᕽ   )NF_RECORD_FLAG=$(MB_FLIGHT_RECORD_FLAG) \ $(MB_ᕽᕽᕽ   )OPENMSX_HOME="$(1)$(MB_@WORK)$(notdir $(1))" \ -$(MB_ᕽᕽᕽ   )$(call mb_make_call,_mb_openmsx_path,openmsx) \ +$(MB_ᕽᕽᕽ   )$(call mb-make-call,_mb-openmsx-path,openmsx) \ $(MB_ᕽᕽᕽ      )-machine $(2) \ $(MB_ᕽᕽᕽ      )-ext slotexpander \ $(MB_ᕽᕽᕽ      )-ext fire-hdd \ @@ -108,21 +108,21 @@ $(MB_ᕽᕽᕽ   )rsync --checksum --recursive "$(1)$(MB_@WORK)$(notdir $( endef -define _mb_openmsx_dosctl_bat -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)/z80.bat),,$(call mb_make_call,mb_os_file_copy,$(MB_@BASEPATH)emuctl/z80.bat,$(1))) -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)/reboot.bat),,$(call mb_make_call,mb_os_file_copy,$(MB_@BASEPATH)emuctl/reboot.bat,$(1))) -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)/shutdown.bat),,$(call mb_make_call,mb_os_file_copy,$(MB_@BASEPATH)emuctl/shutdown.bat,$(1))) -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)/mbboot80.com),,$(call mb_make_call,mb_os_file_copy,$(MB_@BASEPATH)emuctl/mbboot80.com,$(1))) -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)/omsxctl.com),,$(call mb_make_call,mb_msxhub_file,$(1),OMSXCTL/1.0-1/get/OMSXCTL/omsxctl.com)) +define _mb-openmsx-dosctl-bat +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)/z80.bat),,$(call mb-make-call,mb-os-file-copy,$(MB_@BASEPATH)emuctl/z80.bat,$(1))) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)/reboot.bat),,$(call mb-make-call,mb-os-file-copy,$(MB_@BASEPATH)emuctl/reboot.bat,$(1))) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)/shutdown.bat),,$(call mb-make-call,mb-os-file-copy,$(MB_@BASEPATH)emuctl/shutdown.bat,$(1))) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)/mbboot80.com),,$(call mb-make-call,mb-os-file-copy,$(MB_@BASEPATH)emuctl/mbboot80.com,$(1))) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)/omsxctl.com),,$(call mb-make-call,mb-msxhub-file,$(1),OMSXCTL/1.0-1/get/OMSXCTL/omsxctl.com)) endef -define mb_openmsx_dosctl -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg1,$(0),$(1)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_openmsx_setup,$(1),$(if $(2),$(2),$(MB_OPENMSX_MACHINE))) -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)/utils),$(call mb_make_call,_mb_openmsx_dosctl_bat,$(1)/utils),$(call mb_make_call,_mb_openmsx_dosctl_bat,$(1))) -$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)/command.com),,$(if $(wildcard $(1)/command2.com),,$(call mb_make_call,mb_msxhub_get_$(MB_OPENMSX_BOOT_OS)_boot,$(1)))) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,_mb_openmsx_run,$(1),$(if $(2),$(2),$(MB_OPENMSX_MACHINE)),$(if $(3),$(3),$(MB_OPENMSX_MACHINE_RAM))) +define mb-openmsx-dosctl +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-arg1,$(0),$(1)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-openmsx-setup,$(1),$(if $(2),$(2),$(MB_OPENMSX_MACHINE))) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)/utils),$(call mb-make-call,_mb-openmsx-dosctl-bat,$(1)/utils),$(call mb-make-call,_mb-openmsx-dosctl-bat,$(1))) +$(MB_ᕽᕽᕽ   )$(if $(wildcard $(1)/command.com),,$(if $(wildcard $(1)/command2.com),,$(call mb-make-call,mb-msxhub-get-$(MB_OPENMSX_BOOT_OS)-boot,$(1)))) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,_mb-openmsx-run,$(1),$(if $(2),$(2),$(MB_OPENMSX_MACHINE)),$(if $(3),$(3),$(MB_OPENMSX_MACHINE_RAM))) endef -$(call mb_make_call,mb_doc_function,mb_openmsx_dosctl,"Starts openMSX with dos controller."," [machine] [mem-ext]") +$(call mb-make-call,mb-doc-function,mb-openmsx-dosctl,"Starts openMSX with dos controller."," [machine] [mem-ext]") diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_0module.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_0module.mk index b775e94..2bee1fc 100644 --- a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_0module.mk +++ b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_0module.mk @@ -1,21 +1,26 @@ -define __mb_flow_0module_setup +define __mb-flow-0module-include +$(MB_ᕽᕽᕽ   )ifdef DEBUG +$(MB_ᕽᕽᕽ      )ifneq ("$$(DEBUG)", "off") +$(MB_ᕽᕽᕽ         )$$(warning DEBUG $(0) ($(1))) +$(MB_ᕽᕽᕽ      )endif +$(MB_ᕽᕽᕽ   )endif $(MB_ᕽᕽᕽ   ).PHONY: $(1) $(MB_ᕽᕽᕽ   )include $(1) $(MB_ᕽᕽᕽ   )@@include-mods:: $(1) $(MB_ᕽᕽᕽ   )$(1): @@include-tree endef -define mb_flow_0module_setup -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg1,$(0),$(1)) -$(MB_ᕽᕽᕽ   )$(foreach _mod,$(call mb_make_call,mb_make_wildcard_treewalker,$(1),*/0module.mk),$(eval $(call mb_make_call,__$(0),$(_mod)))) -$(MB_ᕽᕽᕽ   )$(foreach _mod,$(call mb_make_call,mb_make_wildcard_treewalker,$(1),*/0module000.mk),$(eval $(call mb_make_call,__$(0),$(_mod)))) -$(MB_ᕽᕽᕽ   )$(foreach _mod,$(call mb_make_call,mb_make_wildcard_treewalker,$(1),*/0module001.mk),$(eval $(call mb_make_call,__$(0),$(_mod)))) -$(MB_ᕽᕽᕽ   )$(foreach _mod,$(call mb_make_call,mb_make_wildcard_treewalker,$(1),*/0module010.mk),$(eval $(call mb_make_call,__$(0),$(_mod)))) -$(MB_ᕽᕽᕽ   )$(foreach _mod,$(call mb_make_call,mb_make_wildcard_treewalker,$(1),*/0module011.mk),$(eval $(call mb_make_call,__$(0),$(_mod)))) -$(MB_ᕽᕽᕽ   )$(foreach _mod,$(call mb_make_call,mb_make_wildcard_treewalker,$(1),*/0module100.mk),$(eval $(call mb_make_call,__$(0),$(_mod)))) -$(MB_ᕽᕽᕽ   )$(foreach _mod,$(call mb_make_call,mb_make_wildcard_treewalker,$(1),*/0module101.mk),$(eval $(call mb_make_call,__$(0),$(_mod)))) -$(MB_ᕽᕽᕽ   )$(foreach _mod,$(call mb_make_call,mb_make_wildcard_treewalker,$(1),*/0module110.mk),$(eval $(call mb_make_call,__$(0),$(_mod)))) -$(MB_ᕽᕽᕽ   )$(foreach _mod,$(call mb_make_call,mb_make_wildcard_treewalker,$(1),*/0module111.mk),$(eval $(call mb_make_call,__$(0),$(_mod)))) +define mb-flow-0module-include +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-arg1,$(0),$(1)) +$(MB_ᕽᕽᕽ   )$(foreach _mod,$(call mb-make-call,mb-make-wildcard-treewalker,$(1),*/0module.mk),$(eval $(call mb-make-call,__$(0),$(_mod)))) +$(MB_ᕽᕽᕽ   )$(foreach _mod,$(call mb-make-call,mb-make-wildcard-treewalker,$(1),*/0module000.mk),$(eval $(call mb-make-call,__$(0),$(_mod)))) +$(MB_ᕽᕽᕽ   )$(foreach _mod,$(call mb-make-call,mb-make-wildcard-treewalker,$(1),*/0module001.mk),$(eval $(call mb-make-call,__$(0),$(_mod)))) +$(MB_ᕽᕽᕽ   )$(foreach _mod,$(call mb-make-call,mb-make-wildcard-treewalker,$(1),*/0module010.mk),$(eval $(call mb-make-call,__$(0),$(_mod)))) +$(MB_ᕽᕽᕽ   )$(foreach _mod,$(call mb-make-call,mb-make-wildcard-treewalker,$(1),*/0module011.mk),$(eval $(call mb-make-call,__$(0),$(_mod)))) +$(MB_ᕽᕽᕽ   )$(foreach _mod,$(call mb-make-call,mb-make-wildcard-treewalker,$(1),*/0module100.mk),$(eval $(call mb-make-call,__$(0),$(_mod)))) +$(MB_ᕽᕽᕽ   )$(foreach _mod,$(call mb-make-call,mb-make-wildcard-treewalker,$(1),*/0module101.mk),$(eval $(call mb-make-call,__$(0),$(_mod)))) +$(MB_ᕽᕽᕽ   )$(foreach _mod,$(call mb-make-call,mb-make-wildcard-treewalker,$(1),*/0module110.mk),$(eval $(call mb-make-call,__$(0),$(_mod)))) +$(MB_ᕽᕽᕽ   )$(foreach _mod,$(call mb-make-call,mb-make-wildcard-treewalker,$(1),*/0module111.mk),$(eval $(call mb-make-call,__$(0),$(_mod)))) endef -$(call mb_make_call,mb_doc_function_flow,mb_flow_0module_setup,"Inject flow of recursive 0module.mk include.","") +$(call mb-make-call,mb-doc-function-flow,mb-flow-0module-include,"Inject flow of recursive 0module.mk include.","") diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk index 11c89b1..b819c3b 100644 --- a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk +++ b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk @@ -1,64 +1,59 @@ -define __mb_flow_assert_grep_binary_x +define __mb-flow-assert-grep-binary-x .RECIPEPREFIX := $(MB_@RECIPE) $(1)/@@$(5)-deps:: $(1)/@assert/$(5)/$(2) $(1)/@assert/$(5)/$(2): $(1)/@build $(MB_@RECIPE)grep -q -U $(4) $(1)/$(3) -$(MB_@RECIPE)$$(call mb_make_call,mb_os_echo_assert,$$(MB_I18N_ASSERT_SUCCESS) $(1)/@assert/$(2)/$(5)) -$(MB_@RECIPE)$$(call mb_make_call,mb_proj_grow_assert_success,$(5)) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_assert,$(1)/@assert/$(5)/$(2),"Asserts that $(3) output matches.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(1)/@assert/$(5)/$(2)) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_proj_grow_deps_phase,$(5),$(1)/@assert/$(5)/$(2)) +$(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-assert,$$(MB_I18N_ASSERT_SUCCESS) $(1)/@assert/$(2)/$(5)) +$(MB_@RECIPE)$$(call mb-make-call,mb-proj-grow-assert-success,$(5)) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-assert,$(1)/@assert/$(5)/$(2),"Asserts that $(3) output matches.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(1)/@assert/$(5)/$(2)) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-proj-grow-deps-phase,$(5),$(1)/@assert/$(5)/$(2)) .RECIPEPREFIX := $(MB_@RECIPEPREFIX) endef -define mb_flow_assert_grep_binary_test -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg4,$(0),$(1),$(2),$(3),$(4)) -$(MB_ᕽᕽᕽ   )$(eval $(call mb_make_call,__mb_flow_assert_grep_binary_x,$(1),$(2),$(3),$(4),@test)) +define mb-flow-assert-grep-binary-test +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-arg4,$(0),$(1),$(2),$(3),$(4)) +$(MB_ᕽᕽᕽ   )$(eval $(call mb-make-call,__mb-flow-assert-grep-binary-x,$(1),$(2),$(3),$(4),@test)) endef -$(call mb_make_call,mb_doc_function_flow,mb_flow_assert_grep_binary_test,"Inject flow of single binary grep assert."," ") -define mb_flow_assert_grep_binary_package_qa -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg4,$(0),$(1),$(2),$(3),$(4)) -$(MB_ᕽᕽᕽ   )$(eval $(call mb_make_call,__mb_flow_assert_grep_binary_x,$(1),$(2),$(3),$(4),@package-qa)) -endef -$(call mb_make_call,mb_doc_function_flow,mb_flow_assert_grep_binary_package_qa,"Inject flow of single binary grep assert."," ") - -define __mb_flow_assert_msxpipe_grep_x2 -$(MB_ᕽᕽᕽ   )$(shell grep -q $(1) $(2) && echo || echo fail) +$(call mb-make-call,mb-doc-function-flow,mb-flow-assert-grep-binary-test,"Inject flow of single binary grep assert."," ") +define mb-flow-assert-grep-binary-package-qa +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-arg4,$(0),$(1),$(2),$(3),$(4)) +$(MB_ᕽᕽᕽ   )$(eval $(call mb-make-call,__mb-flow-assert-grep-binary-x,$(1),$(2),$(3),$(4),@package-qa)) endef +$(call mb-make-call,mb-doc-function-flow,mb-flow-assert-grep-binary-package-qa,"Inject flow of single binary grep assert."," ") -define __mb_flow_assert_msxpipe_grep_x +define __mb-flow-assert-msxpipe-grep-x .RECIPEPREFIX := $(MB_@RECIPE) $(1)/@$(5)-deps:: $(1)/@assert/$(5)/$(2) $(7)/@@init-deps:: $(1)/@build $(1)/@assert/$(5)/$(2): $(7)/@build -$(MB_@RECIPE)$$(if $$(wildcard $(7)/$(3).out),,$$(call mb_make_call,mb_os_file_delete,$(7)/$(3).out)) -$(MB_@RECIPE)$$(call mb_make_call,mb_msxpipe_safe_assert,$(7),$(3) > $(3).out,$(6)) +$(MB_@RECIPE)$$(if $$(wildcard $(7)/$(3).out),,$$(call mb-make-call,mb-os-file-delete,$(7)/$(3).out)) +$(MB_@RECIPE)$$(call mb-make-call,mb-msxpipe-safe-assert,$(7),$(3) > $(3).out,$(6)) $(MB_@RECIPE)grep -q $(4) $(7)/$(3).out -$(MB_@RECIPE)$$(call mb_make_call,mb_os_echo_assert,$$(MB_I18N_ASSERT_SUCCESS) $(1)/@assert/$(2)/$(5)) -$(MB_@RECIPE)$$(call mb_make_call,mb_proj_grow_assert_success,$(5)) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_assert,$(1)/@assert/$(5)/$(2),"Asserts that $(3) output matches.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(1)/@assert/$(5)/$(2)) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_proj_grow_deps_phase,$(5),$(1)/@assert/$(5)/$(2)) +$(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-assert,$$(MB_I18N_ASSERT_SUCCESS) $(1)/@assert/$(2)/$(5)) +$(MB_@RECIPE)$$(call mb-make-call,mb-proj-grow-assert-success,$(5)) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-assert,$(1)/@assert/$(5)/$(2),"Asserts that $(3) output matches.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(1)/@assert/$(5)/$(2)) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-proj-grow-deps-phase,$(5),$(1)/@assert/$(5)/$(2)) .RECIPEPREFIX := $(MB_@RECIPEPREFIX) endef -define mb_flow_assert_msxpipe_grep_test -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg5,$(0),$(1),$(2),$(3),$(4),$(5)) -$(MB_ᕽᕽᕽ   )$(eval $(call mb_make_call,mb_flow_clone_deps,$(1)$(MB_@WORK)_assert/$(notdir $(1))/test-$(3),$(1),$(2),$(6),$(7))) -$(MB_ᕽᕽᕽ   )$(eval $(call mb_make_call,__mb_flow_assert_msxpipe_grep_x,$(1),$(3),$(4),$(5),@test,$(7),$(1)$(MB_@WORK)_assert/$(notdir $(1))/test-$(3))) +define mb-flow-assert-msxpipe-grep-test +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-arg5,$(0),$(1),$(2),$(3),$(4),$(5)) +$(MB_ᕽᕽᕽ   )$(eval $(call mb-make-call,mb-flow-clone-deps,$(1)$(MB_@WORK)_assert/$(notdir $(1))/test-$(3),$(1),$(2),$(6),$(7))) +$(MB_ᕽᕽᕽ   )$(eval $(call mb-make-call,__mb-flow-assert-msxpipe-grep-x,$(1),$(3),$(4),$(5),@test,$(7),$(1)$(MB_@WORK)_assert/$(notdir $(1))/test-$(3))) endef -$(call mb_make_call,mb_doc_function_flow,mb_flow_assert_msxpipe_grep_test,"Inject flow of single grep assert."," [packages] [machine]") -define mb_flow_assert_msxpipe_grep_package_qa -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg5,$(0),$(1),$(2),$(3),$(4),$(5)) -$(MB_ᕽᕽᕽ   )$(eval $(call mb_make_call,mb_flow_clone_deps,$(1)$(MB_@WORK)_assert/$(notdir $(1))/package-qa-$(3),$(1),$(2),$(6),$(7))) -$(MB_ᕽᕽᕽ   )$(eval $(call mb_make_call,__mb_flow_assert_msxpipe_grep_x,$(1),$(3),$(4),$(5),@package-qa,$(7),$(1)$(MB_@WORK)_assert/$(notdir $(1))/package-qa-$(3))) +$(call mb-make-call,mb-doc-function-flow,mb-flow-assert-msxpipe-grep-test,"Inject flow of single grep assert."," [packages] [machine]") +define mb_flow-assert-msxpipe-grep-package-qa +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-arg5,$(0),$(1),$(2),$(3),$(4),$(5)) +$(MB_ᕽᕽᕽ   )$(eval $(call mb-make-call,mb-flow-clone-deps,$(1)$(MB_@WORK)_assert/$(notdir $(1))/package-qa-$(3),$(1),$(2),$(6),$(7))) +$(MB_ᕽᕽᕽ   )$(eval $(call mb-make-call,__mb-flow-assert-msxpipe-grep-x,$(1),$(3),$(4),$(5),@package-qa,$(7),$(1)$(MB_@WORK)_assert/$(notdir $(1))/package-qa-$(3))) endef -$(call mb_make_call,mb_doc_function_flow,mb_flow_assert_msxpipe_grep_package_qa,"Inject flow of single grep assert."," [packages] [machine]") - +$(call mb-make-call,mb-doc-function-flow,mb-flow-assert-msxpipe-grep-package-qa,"Inject flow of single grep assert."," [packages] [machine]") diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_clone.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_clone.mk index fdd55fa..2642bf2 100644 --- a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_clone.mk +++ b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_clone.mk @@ -1,21 +1,21 @@ -define __mb_flow_clone_deps +define __mb-flow-clone-deps .RECIPEPREFIX := $(MB_@RECIPE) $(1)/%: $(2)/% $(1)/@prepare -$(MB_@RECIPE)$$(call mb_make_call,mb_os_file_copy,$$<,$$@) +$(MB_@RECIPE)$$(call mb-make-call,mb-os-file-copy,$$<,$$@) $(1)/@@process-deps:: $(4) -$(MB_@RECIPE)$$(call mb_make_call,mb_os_echo_remark,$(MB_I18N_FLOW_CLONE_REPORT) $$(words $$^)) +$(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-remark,$(MB_I18N_FLOW_CLONE_REPORT) $$(words $$^)) .RECIPEPREFIX := $(MB_@RECIPEPREFIX) endef -define mb_flow_clone_deps -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg3,$(0),$(1),$(2),$(3)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_flow_proj_setup,$(1),@init,$(1)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_flow_proj_module_run,$(1),$(5)) -$(MB_ᕽᕽᕽ   )$(if $(4),$(call mb_make_call,mb_flow_proj_prepare_packages,$(1),$(4))) -$(MB_ᕽᕽᕽ   )$(eval $(call mb_make_call,__$(0),$(1),$(2),$(3),$(call mb_make_call,mb_make_module_local_deps,$(1),$(3)))) +define mb-flow-clone-deps +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-arg3,$(0),$(1),$(2),$(3)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-flow-proj-setup,$(1),@init,$(1)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-flow-proj-module-run,$(1),$(5)) +$(MB_ᕽᕽᕽ   )$(if $(4),$(call mb-make-call,mb-flow-proj-prepare-packages,$(1),$(4))) +$(MB_ᕽᕽᕽ   )$(eval $(call mb-make-call,__$(0),$(1),$(2),$(3),$(call mb-make-call,mb-make-module-local-deps,$(1),$(3)))) endef -$(call mb_make_call,mb_doc_function_flow,mb_flow_clone_deps,"Inject flow of file copy toolchain module."," [packages] [machine]") +$(call mb-make-call,mb-doc-function-flow,mb-flow-clone-deps,"Inject flow of file copy toolchain module."," [packages] [machine]") diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_help.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_help.mk index 629b6c1..52fba7b 100644 --- a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_help.mk +++ b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_help.mk @@ -1,136 +1,136 @@ -define __mb_flow_doc_help +define __mb-flow-doc-help .RECIPEPREFIX := $(MB_@RECIPE) @help: $(MB_@RECIPE)@echo -e "Welcome to the $$(if $$(MB_PROJ_META_NAME),$$(MB_PROJ_META_NAME),MSXBUILD) help system.\\n\\nFor detailed fire help use one of the following targets;" $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_HELP) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@help) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@help) @help-variable: $(MB_@RECIPE)@echo -e "Documention of the make fire variables;" $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@help-variable,"Lists build variables.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@help-variable) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@help-variable,"Lists build variables.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@help-variable) @help-variable-deep: $(MB_@RECIPE)@echo "Documention of the deep make variables;" $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE_DEEP) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@help-variable-deep,"Lists deep variables.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@help-variable-deep) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@help-variable-deep,"Lists deep variables.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@help-variable-deep) @help-variable-rock: $(MB_@RECIPE)@echo -e "Documention of the rock make variables;" $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE_ROCK) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@help-variable-rock,"Lists rock variables.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@help-variable-rock) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@help-variable-rock,"Lists rock variables.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@help-variable-rock) @help-variable-flow: $(MB_@RECIPE)@echo -e "Documention of the flow make variables;" $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE_FLOW) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@help-variable-flow,"Lists flow variables.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@help-variable-flow) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@help-variable-flow,"Lists flow variables.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@help-variable-flow) @help-variable-i18n: $(MB_@RECIPE)@echo -e "Documention of the i18n make variables;" $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE_I18N) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@help-variable-i18n,"Lists i18n variables.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@help-variable-i18n) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@help-variable-i18n,"Lists i18n variables.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@help-variable-i18n) @help-function: $(MB_@RECIPE)@echo -e "Documention of the make fire functions;" $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_FUNCTION) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@help-function,"Lists build functions.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@help-function) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@help-function,"Lists build functions.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@help-function) @help-function-deep: $(MB_@RECIPE)@echo -e "Documention of the deep make functions;" $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_FUNCTION_DEEP) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@help-function-deep,"Lists deep functions.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@help-function-deep) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@help-function-deep,"Lists deep functions.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@help-function-deep) @help-function-flow: $(MB_@RECIPE)@echo -e "Documention of the flow make functions;" $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_FUNCTION_FLOW) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@help-function-flow,"Lists flow functions.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@help-function-flow) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@help-function-flow,"Lists flow functions.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@help-function-flow) @help-target: $(MB_@RECIPE)@echo -e "Build one of the following make fire targets;" $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@help-target,"Lists build targets.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@help-target) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@help-target,"Lists build targets.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@help-target) @help-target-deep: $(MB_@RECIPE)@echo -e "Build one of the following deep make targets;" $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_DEEP) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@help-target-deep,"Lists deep targets.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@help-target-deep) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@help-target-deep,"Lists deep targets.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@help-target-deep) @help-target-run: $(MB_@RECIPE)@echo -e "Build one of the following run make targets;" $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_RUN) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@help-target-run,"Lists runnable targets.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@help-target-run) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@help-target-run,"Lists runnable targets.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@help-target-run) @help-target-module: $(MB_@RECIPE)@echo -e "Build one of the following module make targets;" $(MB_@RECIPE)$$(file >bin/@help.txt,$$(MB_DOC_FIRE_TARGET_MODULE)) $(MB_@RECIPE)@cat bin/@help.txt | sed 's/\\\\n/\n/g' | sed 's/\\\\t/\t/g' | sed 's/\"//g' -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@help-target-module,"Lists module targets.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@help-target-module) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@help-target-module,"Lists module targets.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@help-target-module) @help-target-assert: $(MB_@RECIPE)@echo -e "Build one of the following assert make targets;" $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_ASSERT) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@help-target-assert,"Lists assert targets.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@help-target-assert) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@help-target-assert,"Lists assert targets.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@help-target-assert) @help-machine: $(MB_@RECIPE)@echo -e "Use one of the following machines to build targets;" $(MB_@RECIPE)@echo -e "\n "$(foreach _rom,$(MB_MSXROM_FIRE_MACHINES)," * $(_rom)\n") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@help-machine,"Lists supported machines.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@help-machine) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@help-machine,"Lists supported machines.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@help-machine) @help-all: $(MB_@RECIPE)@echo -e "Documention of all fire functions/variables/targets;\\n" -$(MB_@RECIPE)$$(call mb_make_call,mb_os_echo_good,"Variables") +$(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-good,"Variables") $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE) -$(MB_@RECIPE)$$(call mb_make_call,mb_os_echo_good,"Variables in the deep") +$(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-good,"Variables in the deep") $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE_DEEP) -$(MB_@RECIPE)$$(call mb_make_call,mb_os_echo_good,"Variables hard as rock") +$(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-good,"Variables hard as rock") $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE_ROCK) -$(MB_@RECIPE)$$(call mb_make_call,mb_os_echo_good,"Variables defining flow") +$(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-good,"Variables defining flow") $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE_FLOW) -$(MB_@RECIPE)$$(call mb_make_call,mb_os_echo_good,"Variables for i18n letters") +$(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-good,"Variables for i18n letters") $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE_I18N) -$(MB_@RECIPE)$$(call mb_make_call,mb_os_echo_good,"Functions") +$(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-good,"Functions") $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_FUNCTION) -$(MB_@RECIPE)$$(call mb_make_call,mb_os_echo_good,"Functions in the deep") +$(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-good,"Functions in the deep") $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_FUNCTION_DEEP) -$(MB_@RECIPE)$$(call mb_make_call,mb_os_echo_good,"Functions building flow") +$(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-good,"Functions building flow") $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_FUNCTION_FLOW) -$(MB_@RECIPE)$$(call mb_make_call,mb_os_echo_good,"Targets") +$(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-good,"Targets") $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET) -$(MB_@RECIPE)$$(call mb_make_call,mb_os_echo_good,"Targets in the deep") +$(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-good,"Targets in the deep") $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_DEEP) -$(MB_@RECIPE)$$(call mb_make_call,mb_os_echo_good,"Targets that run away") +$(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-good,"Targets that run away") $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_RUN) -$(MB_@RECIPE)$$(call mb_make_call,mb_os_echo_good,"Targets from modules builds") +$(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-good,"Targets from modules builds") $(MB_@RECIPE)$$(file >bin/@help.txt,$$(MB_DOC_FIRE_TARGET_MODULE)) $(MB_@RECIPE)@cat bin/@help.txt | sed 's/\\\\n/\n/g' | sed 's/\\\\t/\t/g' | sed 's/\"//g' -$(MB_@RECIPE)$$(call mb_make_call,mb_os_echo_good,"Targets that assert hopefully") +$(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-good,"Targets that assert hopefully") $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_ASSERT) -$(MB_@RECIPE)$$(call mb_make_call,mb_os_echo_good,"Targets main project help") +$(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-good,"Targets main project help") $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_HELP) -$(MB_@RECIPE)$$(call mb_make_call,mb_os_echo_good,OK) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@help-all,"Lists all documented information.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@help-all) +$(MB_@RECIPE)$$(call mb-make-call,mb-os-echo-good,OK) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@help-all,"Lists all documented information.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@help-all) @help-firemake: $(MB_@RECIPE)$$(eval MB_DOC_FORMAT :$(MB_MAKE_EQUALS) xml) -$(MB_@RECIPE)@echo -e $$(call mb_make_call,mb_make_xml_open,$$(MB_DOC_XML_ROOT)) +$(MB_@RECIPE)@echo -e $$(call mb-make-call,mb-make-xml-open,$$(MB_DOC_XML_ROOT)) $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE) $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE_DEEP) $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_VARIABLE_ROCK) @@ -146,14 +146,14 @@ $(MB_@RECIPE)$$(file >bin/@help.txt,$$(MB_DOC_FIRE_TARGET_MODULE)) $(MB_@RECIPE)@cat bin/@help.txt | sed 's/\\\\n/\n/g' | sed 's/\\\\t/\t/g' | sed 's/\\\"/__TMP/g' | sed 's/\"//g' | sed 's/__TMP/\"/g' $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_ASSERT) $(MB_@RECIPE)@echo -e $$(MB_DOC_FIRE_TARGET_HELP) -$(MB_@RECIPE)@echo -e $$(call mb_make_call,mb_make_xml_close,$$(MB_DOC_XML_ROOT)) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@help-firemake,"Output all documention in XML for IDE integration.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@help-firemake) +$(MB_@RECIPE)@echo -e $$(call mb-make-call,mb-make-xml-close,$$(MB_DOC_XML_ROOT)) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@help-firemake,"Output all documention in XML for IDE integration.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@help-firemake) .RECIPEPREFIX := $(MB_@RECIPEPREFIX) endef -define mb_flow_doc_help -$(MB_ᕽᕽᕽ   )$(eval $(call mb_make_call,__$(0),$(1))) +define mb-flow-doc-help +$(MB_ᕽᕽᕽ   )$(eval $(call mb-make-call,__$(0),$(1))) endef -$(call mb_make_call,mb_doc_function_flow,mb_flow_doc_help,"Inject flow of fire help system.") +$(call mb-make-call,mb-doc-function-flow,mb-flow-doc-help,"Inject flow of fire help system.") diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_macro80.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_macro80.mk index d17a775..c95f615 100644 --- a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_macro80.mk +++ b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_macro80.mk @@ -4,41 +4,41 @@ # and # hextobin hello.hex hello.bin -define __mb_flow_macro80_bdos_mono +define __mb-flow-macro80-bdos-mono .RECIPEPREFIX := $(MB_@RECIPE) $(1)/@@process-deps:: $(1)/$(3).mac $(1)/$(3).mac: $(2)/$(3).mac | $(1)/@prepare -$(MB_@RECIPE)$$(call mb_make_call,mb_conv_unix2dos,$(2)/$(3).mac,$(1)/$(3).mac) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).mac) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_proj_grow_deps_phase,@process,$(1)/$(3).mac) +$(MB_@RECIPE)$$(call mb-make-call,mb-conv-unix2dos,$(2)/$(3).mac,$(1)/$(3).mac) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-deep,$(1)/$(3).mac) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-proj-grow-deps-phase,@process,$(1)/$(3).mac) $(1)/@@compile-deps:: $(1)/$(3).rel $(1)/$(3).rel: $(1)/$(3).mac -$(MB_@RECIPE)$$(call mb_make_call,mb_msxpipe_safe_cmd,$(1),m80 $$(MB_MAKE_EQUALS)$(3)/Z) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).rel) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_proj_grow_deps_phase,@compile,$(1)/$(3).rel) +$(MB_@RECIPE)$$(call mb-make-call,mb-msxpipe-safe-cmd,$(1),m80 $$(MB_MAKE_EQUALS)$(3)/Z) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-deep,$(1)/$(3).rel) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-proj-grow-deps-phase,@compile,$(1)/$(3).rel) $(1)/@@link-deps:: $(1)/$(3).hex $(1)/$(3).hex: $(1)/$(3).rel -$(MB_@RECIPE)$$(call mb_make_call,mb_msxpipe_safe_cmd,$(1),l80 $(3)$$(MB_MAKE_COMMA)$(3)/N/X/Y/E) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).hex) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_proj_grow_deps_phase,@link,$(1)/$(3).hex) +$(MB_@RECIPE)$$(call mb-make-call,mb-msxpipe-safe-cmd,$(1),l80 $(3)$$(MB_MAKE_COMMA)$(3)/N/X/Y/E) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-deep,$(1)/$(3).hex) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-proj-grow-deps-phase,@link,$(1)/$(3).hex) $(1)/@@build-deps:: $(1)/$(3).com $(1)/$(3).com: $(1)/$(3).hex -$(MB_@RECIPE)$$(call mb_make_call,mb_msxpipe_safe_cmd,$(1),hextocom $(3)) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).com) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_proj_grow_deps_phase,@build,$(1)/$(3).com) +$(MB_@RECIPE)$$(call mb-make-call,mb-msxpipe-safe-cmd,$(1),hextocom $(3)) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-deep,$(1)/$(3).com) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-proj-grow-deps-phase,@build,$(1)/$(3).com) .RECIPEPREFIX := $(MB_@RECIPEPREFIX) endef -define mb_flow_macro80_bdos_mono -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg3,$(0),$(1),$(2),$(3)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_flow_proj_setup,$(1),@init,$(1)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_flow_proj_module_run,$(1)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_flow_proj_prepare_packages,$(1),macro80 z80asmuk,utils) -$(MB_ᕽᕽᕽ   )$(eval $(call mb_make_call,__$(0),$(1),$(2),$(3))) +define mb-flow-macro80-bdos-mono +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-arg3,$(0),$(1),$(2),$(3)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-flow-proj-setup,$(1),@init,$(1)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-flow-proj-module-run,$(1)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-flow-proj-prepare-packages,$(1),macro80 z80asmuk,utils) +$(MB_ᕽᕽᕽ   )$(eval $(call mb-make-call,__$(0),$(1),$(2),$(3))) endef -$(call mb_make_call,mb_doc_function_flow,mb_flow_macro80_bdos_mono,"Inject flow of m80 toolchain bdos mono file module."," ") +$(call mb-make-call,mb-doc-function-flow,mb-flow-macro80-bdos-mono,"Inject flow of m80 toolchain bdos mono file module."," ") diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_nestor80.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_nestor80.mk index 5e5c9c0..7d44a5b 100644 --- a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_nestor80.mk +++ b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_nestor80.mk @@ -1,32 +1,32 @@ -define __mb_flow_nestor80_bdos_mono +define __mb-flow-nestor80-bdos-mono .RECIPEPREFIX := $(MB_@RECIPE) $(1)/@@prepare-deps:: $(1)/$(3).mac $(1)/$(3).mac: $(2)/$(3).mac | $(1)/@init -$(MB_@RECIPE)$$(call mb_make_call,mb_os_file_copy,$$<,$$@) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).mac) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_proj_grow_deps_phase,@prepare,$(1)/$(3).mac) +$(MB_@RECIPE)$$(call mb-make-call,mb-os-file-copy,$$<,$$@) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-deep,$(1)/$(3).mac) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-proj-grow-deps-phase,@prepare,$(1)/$(3).mac) $(1)/@@compile-deps:: $(1)/$(3).rel $(1)/$(3).rel: $(1)/$(3).mac -$(MB_@RECIPE)$$(call mb_make_call,mb_nestor80_compile,$$<,$$@) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).rel) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_proj_grow_deps_phase,@compile,$(1)/$(3).rel) +$(MB_@RECIPE)$$(call mb-make-call,mb-nestor80-compile,$$<,$$@) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-deep,$(1)/$(3).rel) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-proj-grow-deps-phase,@compile,$(1)/$(3).rel) $(1)/@@link-deps:: $(1)/$(3).com $(1)/$(3).com: $(1)/$(3).rel -$(MB_@RECIPE)$$(call mb_make_call,mb_nestor80_link_bdos,$$<,$$@) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).com) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_proj_grow_deps_phase,@link,$(1)/$(3).com) +$(MB_@RECIPE)$$(call mb-make-call,mb-nestor80-link-bdos,$$<,$$@) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-deep,$(1)/$(3).com) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-proj-grow-deps-phase,@link,$(1)/$(3).com) .RECIPEPREFIX := $(MB_@RECIPEPREFIX) endef -define mb_flow_nestor80_bdos_mono -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg3,$(0),$(1),$(2),$(3)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_flow_proj_setup,$(1),@init,$(1)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_flow_proj_module_run,$(1)) -$(MB_ᕽᕽᕽ   )$(eval $(call mb_make_call,__$(0),$(1),$(2),$(3))) +define mb-flow-nestor80-bdos-mono +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-arg3,$(0),$(1),$(2),$(3)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-flow-proj-setup,$(1),@init,$(1)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-flow-proj-module-run,$(1)) +$(MB_ᕽᕽᕽ   )$(eval $(call mb-make-call,__$(0),$(1),$(2),$(3))) endef -$(call mb_make_call,mb_doc_function_flow,mb_flow_nestor80_bdos_mono,"Inject flow of Nestor80 toolchain bdos mono file module."," ") +$(call mb-make-call,mb-doc-function-flow,mb-flow-nestor80-bdos-mono,"Inject flow of Nestor80 toolchain bdos mono file module."," ") diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk index cc40e79..b0598f7 100644 --- a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk +++ b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk @@ -1,322 +1,322 @@ MB_PROJ_META_GROUP_ID ?= -$(call mb_make_call,mb_doc_variable,MB_PROJ_META_GROUP_ID,"The project group id.") +$(call mb-make-call,mb-doc-variable,MB_PROJ_META_GROUP_ID,"The project group id.") MB_PROJ_META_ARTIFACT_ID ?= -$(call mb_make_call,mb_doc_variable,MB_PROJ_META_ARTIFACT_ID,"The project artifact id.") +$(call mb-make-call,mb-doc-variable,MB_PROJ_META_ARTIFACT_ID,"The project artifact id.") MB_PROJ_META_VERSION ?= -$(call mb_make_call,mb_doc_variable,MB_PROJ_META_VERSION,"The project version.") +$(call mb-make-call,mb-doc-variable,MB_PROJ_META_VERSION,"The project version.") MB_PROJ_META_NAME ?= -$(call mb_make_call,mb_doc_variable,MB_PROJ_META_NAME,"The project name.") +$(call mb-make-call,mb-doc-variable,MB_PROJ_META_NAME,"The project name.") MB_PROJ_META_DESCRIPTION ?= -$(call mb_make_call,mb_doc_variable,MB_PROJ_META_DESCRIPTION,"The project description.") +$(call mb-make-call,mb-doc-variable,MB_PROJ_META_DESCRIPTION,"The project description.") MB_PROJ_META_WEBSITE ?= -$(call mb_make_call,mb_doc_variable,MB_PROJ_META_WEBSITE,"The project website location.") +$(call mb-make-call,mb-doc-variable,MB_PROJ_META_WEBSITE,"The project website location.") MB_PROJ_PHASE_ALL = @clean @init @prepare @process @compile @link @build @test @package @package-qa @package-deploy @all -$(call mb_make_call,mb_doc_variable_rock,MB_PROJ_PHASE_ALL,"All the phases of an project with flow steps.") +$(call mb-make-call,mb-doc-variable-rock,MB_PROJ_PHASE_ALL,"All the phases of an project with flow steps.") MB_PROJ_FIRE_MODULE_INIT ?= -$(call mb_make_call,mb_doc_variable_flow,MB_PROJ_FIRE_MODULE_INIT,"List of unique module project structure targets.") +$(call mb-make-call,mb-doc-variable-flow,MB_PROJ_FIRE_MODULE_INIT,"List of unique module project structure targets.") MB_PROJ_FIRE_MODULE_RUN ?= -$(call mb_make_call,mb_doc_variable_flow,MB_PROJ_FIRE_MODULE_RUN,"List of unique module project modules run targets.") +$(call mb-make-call,mb-doc-variable-flow,MB_PROJ_FIRE_MODULE_RUN,"List of unique module project modules run targets.") MB_PROJ_FIRE_ASSERT_SUCCESS ?= -$(call mb_make_call,mb_doc_variable_flow,MB_PROJ_FIRE_ASSERT_SUCCESS,"Word count of total asserts successes.") +$(call mb-make-call,mb-doc-variable-flow,MB_PROJ_FIRE_ASSERT_SUCCESS,"Word count of total asserts successes.") -define mb_proj_grow_assert_success -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg1,$(0),$(1)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_value_valid,$(0),$(1),@test @package-qa) +define mb-proj-grow-assert-success +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-arg1,$(0),$(1)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-value-valid,$(0),$(1),@test @package-qa) $(MB_ᕽᕽᕽ   )$(eval MB_PROJ_FIRE_ASSERT_SUCCESS $(MB_MAKE_APPEND) $(1)) endef -$(call mb_make_call,mb_doc_function_deep,mb_proj_grow_assert_success,"Grow the success counter of assertions.","") +$(call mb-make-call,mb-doc-function-deep,mb-proj-grow-assert-success,"Grow the success counter of assertions.","") -define mb_proj_grow_deps_phase -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg2,$(0),$(1),$(2)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_value_valid,$(0),$(1),$(MB_PROJ_PHASE_ALL)) +define mb-proj-grow-deps-phase +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-arg2,$(0),$(1),$(2)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-value-valid,$(0),$(1),$(MB_PROJ_PHASE_ALL)) $(MB_ᕽᕽᕽ   )$(eval @$(1)-deps:: $(2)) endef -$(call mb_make_call,mb_doc_function_deep,mb_proj_grow_deps_phase_clean,"Grow the deps of the selected phase."," ") +$(call mb-make-call,mb-doc-function-deep,mb-proj-grow-deps-phase,"Grow the deps of the selected phase."," ") -define _mb_proj_flow_step_before -$(MB_ᕽᕽᕽ   )$(if $(filter $(subst @@,@,$@),$(MB_PROJ_PHASE_ALL)),$(call mb_make_call,mb_os_echo_phase,$(MB_I18N_PROJ_STEP_BEFORE) $@)) +define _mb-proj-flow-step-before +$(MB_ᕽᕽᕽ   )$(if $(filter $(subst @@,@,$@),$(MB_PROJ_PHASE_ALL)),$(call mb-make-call,mb-os-echo-phase,$(MB_I18N_PROJ_STEP_BEFORE) $@)) endef -define _mb_proj_flow_step_done -$(MB_ᕽᕽᕽ   )$(if $(filter $@,$(MB_PROJ_PHASE_ALL)),$(call mb_make_call,mb_os_echo_phase,$(MB_I18N_PROJ_STEP_AFTER) $@)) -$(MB_ᕽᕽᕽ   )$(if $(value MAKECMDGOALS),$(if $(filter $@,$(MAKECMDGOALS)),$(call mb_make_call,mb_os_echo_report,$(MB_I18N_PROJ_OPENMSX_COUNT) $(words $(MB_OPENMSX_FIRE_PIPE_SMOKE))))) -$(MB_ᕽᕽᕽ   )$(if $(value MAKECMDGOALS),$(if $(filter $@,$(MAKECMDGOALS)),$(call mb_make_call,mb_os_echo_good,$(MB_I18N_PROJ_STEP_DONE) $@))) +define _mb-proj-flow-step-done +$(MB_ᕽᕽᕽ   )$(if $(filter $@,$(MB_PROJ_PHASE_ALL)),$(call mb-make-call,mb-os-echo-phase,$(MB_I18N_PROJ_STEP_AFTER) $@)) +$(MB_ᕽᕽᕽ   )$(if $(value MAKECMDGOALS),$(if $(filter $@,$(MAKECMDGOALS)),$(call mb-make-call,mb-os-echo-report,$(MB_I18N_PROJ_OPENMSX_COUNT) $(words $(MB_OPENMSX_FIRE_PIPE_SMOKE))))) +$(MB_ᕽᕽᕽ   )$(if $(value MAKECMDGOALS),$(if $(filter $@,$(MAKECMDGOALS)),$(call mb-make-call,mb-os-echo-good,$(MB_I18N_PROJ_STEP_DONE) $@))) endef -define __mb_flow_proj_setup +define __mb-flow-proj-setup .RECIPEPREFIX := $(MB_@RECIPE) $(3)@@clean: $(2) -$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_before) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@clean) +$(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-before) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@@clean) $(3)@@clean-deps:: $(2) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@clean-deps) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@@clean-deps) $(3)@clean: $(3)@@clean $(3)@@clean-deps -$(MB_@RECIPE)$$(if $$(wildcard $(1)),$$(call mb_make_call,mb_os_echo_command,$$(MB_I18N_PROJ_DIR_DELETE) $(1))) -$(MB_@RECIPE)$$(if $$(wildcard $(1)),$$(call mb_make_call,mb_os_dir_delete,$(1))) -$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target$$(if $(3),_module,_help),$(3)@clean,"Clean's the project build folders.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@clean) +$(MB_@RECIPE)$$(if $$(wildcard $(1)),$$(call mb-make-call,mb-os-echo-command,$$(MB_I18N_PROJ_DIR_DELETE) $(1))) +$(MB_@RECIPE)$$(if $$(wildcard $(1)),$$(call mb-make-call,mb-os-dir-delete,$(1))) +$(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-$$(if $(3),module,help),$(3)@clean,"Clean's the project build folders.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@clean) $(3)@@init: $(2) -$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_before) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@init) +$(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-before) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@@init) $(3)@@init-deps:: $(2) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@init-deps) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@@init-deps) $(3)@init: $(3)@@init $(3)@@init-deps -$(MB_@RECIPE)$$(if $$(wildcard $(1)),,$$(call mb_make_call,mb_os_echo_command,$$(MB_I18N_PROJ_DIR_CREATE) $(1))) -$(MB_@RECIPE)$$(if $$(wildcard $(1)),,$$(call mb_make_call,mb_os_dir_create,$(1))) -$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target$$(if $(3),_module,_help),$(3)@init,"Create the project output folders.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@init) +$(MB_@RECIPE)$$(if $$(wildcard $(1)),,$$(call mb-make-call,mb-os-echo-command,$$(MB_I18N_PROJ_DIR_CREATE) $(1))) +$(MB_@RECIPE)$$(if $$(wildcard $(1)),,$$(call mb-make-call,mb-os-dir-create,$(1))) +$(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-$$(if $(3),module,help),$(3)@init,"Create the project output folders.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@init) $(3)@@prepare: $(3)@init -$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_before) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@prepare) +$(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-before) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@@prepare) $(3)@@prepare-deps:: -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@prepare-deps) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@@prepare-deps) $(3)@prepare: $(3)@@prepare $(3)@@prepare-deps -$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target$$(if $(3),_module,_help),$(3)@prepare,"Prepare sources before processing.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@prepare) +$(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-$$(if $(3),module,help),$(3)@prepare,"Prepare sources before processing.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@prepare) $(3)@@process: $(3)@prepare -$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_before) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@process) +$(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-before) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@@process) $(3)@@process-deps:: -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@process-deps) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@@process-deps) $(3)@process: $(3)@@process $(3)@@process-deps -$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target$$(if $(3),_module,_help),$(3)@process,"Process sources before compiling.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@process) +$(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-$$(if $(3),module,help),$(3)@process,"Process sources before compiling.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@process) $(3)@@compile: $(3)@process -$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_before) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@compile) +$(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-before) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@@compile) $(3)@@compile-deps:: -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@compile-deps) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@@compile-deps) $(3)@compile: $(3)@@compile $(3)@@compile-deps -$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target$$(if $(3),_module,_help),$(3)@compile,"Compiles all project sources.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@compile) +$(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-$$(if $(3),module,help),$(3)@compile,"Compiles all project sources.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@compile) $(3)@@link: $(3)@compile -$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_before) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@link) +$(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-before) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@@link) $(3)@@link-deps:: -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@link-deps) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@@link-deps) $(3)@link: $(3)@@link $(3)@@link-deps -$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target$$(if $(3),_module,_help),$(3)@link,"Link all project intermediate files.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@link) +$(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-$$(if $(3),module,help),$(3)@link,"Link all project intermediate files.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@link) $(3)@@build: $(3)@link -$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_before) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@build) +$(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-before) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@@build) $(3)@@build-deps:: -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@build-deps) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@@build-deps) $(3)@build: $(3)@@build $(3)@@build-deps -$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target$$(if $(3),_module,_help),$(3)@build,"Build all project artifacts.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@build) +$(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-$$(if $(3),module,help),$(3)@build,"Build all project artifacts.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@build) $(3)@@test: $(3)@build -$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_before) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@test) +$(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-before) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@@test) $(3)@@test-deps:: -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@test-deps) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@@test-deps) $(3)@test: $(3)@@test $(3)@@test-deps -$(MB_@RECIPE)$$(if $(3),,$$(call mb_make_call,mb_os_echo_report,$$(MB_I18N_PROJ_ASSERT_COUNT) @test: $$(words $$(filter @test,$$(MB_PROJ_FIRE_ASSERT_SUCCESS))))) -$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target$$(if $(3),_module,_help),$(3)@test,"Run all assertion tests.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@test) +$(MB_@RECIPE)$$(if $(3),,$$(call mb-make-call,mb-os-echo-report,$$(MB_I18N_PROJ_ASSERT_COUNT) @test: $$(words $$(filter @test,$$(MB_PROJ_FIRE_ASSERT_SUCCESS))))) +$(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-$$(if $(3),module,help),$(3)@test,"Run all assertion tests.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@test) $(3)@@package: $(3)@test -$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_before) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,v@@package) +$(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-before) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@@package) $(3)@@package-deps:: -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@package-deps) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@@package-deps) $(3)@package: $(3)@@package $(3)@@package-deps -$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target$$(if $(3),_module,_help),$(3)@package,"Create all packages of project.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@package) +$(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-$$(if $(3),module,help),$(3)@package,"Create all packages of project.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@package) $(3)@@package-qa: $(3)@package -$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_before) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@package-qa) +$(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-before) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@@package-qa) $(3)@@package-qa-deps:: -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@package-qa-deps) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@@package-qa-deps) $(3)@package-qa: $(3)@@package-qa $(3)@@package-qa-deps -$(MB_@RECIPE)$$(if $(3),,$$(call mb_make_call,mb_os_echo_report,$$(MB_I18N_PROJ_ASSERT_COUNT) @package-qa: $$(words $$(filter @package-qa,$$(MB_PROJ_FIRE_ASSERT_SUCCESS))))) -$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target$$(if $(3),_module,_help),$(3)@package-qa,"Run all packages QA of project.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@package-qa) +$(MB_@RECIPE)$$(if $(3),,$$(call mb-make-call,mb-os-echo-report,$$(MB_I18N_PROJ_ASSERT_COUNT) @package-qa: $$(words $$(filter @package-qa,$$(MB_PROJ_FIRE_ASSERT_SUCCESS))))) +$(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-$$(if $(3),module,help),$(3)@package-qa,"Run all packages QA of project.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@package-qa) $(3)@@package-deploy: $(3)@package-qa -$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_before) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@package-deploy) +$(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-before) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@@package-deploy) $(3)@@package-deploy-deps:: -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@package-deploy-deps) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@@package-deploy-deps) $(3)@package-deploy: $(3)@@package-deploy $(3)@@package-deploy-deps -$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target$$(if $(3),_module,_help),$(3)@package-deploy,"Deploy all the packages.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@package-deploy) +$(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-$$(if $(3),module,help),$(3)@package-deploy,"Deploy all the packages.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@package-deploy) $(3)@@all: $(3)@package-qa -$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_before) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@all) +$(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-before) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@@all) $(3)@@all-deps:: -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@@all-deps) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@@all-deps) $(3)@all: $(3)@@all $(3)@@all-deps -$(MB_@RECIPE)$$(if $$(value MAKECMDGOALS),,$$(call mb_make_call,mb_os_echo_report,$$(MB_I18N_PROJ_OPENMSX_COUNT) $$(words $$(MB_OPENMSX_FIRE_PIPE_SMOKE)))) -$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target$$(if $(3),_module,_help),$(3)@all,"Run full build and package QA tests.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(3)@all) +$(MB_@RECIPE)$$(if $$(value MAKECMDGOALS),,$$(call mb-make-call,mb-os-echo-report,$$(MB_I18N_PROJ_OPENMSX_COUNT) $$(words $$(MB_OPENMSX_FIRE_PIPE_SMOKE)))) +$(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-$$(if $(3),module,help),$(3)@all,"Run full build and package QA tests.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(3)@all) .RECIPEPREFIX := $(MB_@RECIPEPREFIX) endef -define mb_flow_proj_setup -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg1,$(0),$(1)) -$(MB_ᕽᕽᕽ   )$(if $(3),,$(call mb_make_call,mb_make_check_variable,MB_PROJ_META_GROUP_ID)) -$(MB_ᕽᕽᕽ   )$(if $(3),,$(call mb_make_call,mb_make_check_variable,MB_PROJ_META_ARTIFACT_ID)) -$(MB_ᕽᕽᕽ   )$(if $(3),,$(call mb_make_call,mb_make_check_variable,MB_PROJ_META_VERSION)) -$(MB_ᕽᕽᕽ   )$(if $(3),,$(call mb_make_call,mb_make_check_variable,MB_PROJ_META_NAME)) -$(MB_ᕽᕽᕽ   )$(if $(3),,$(call mb_make_call,mb_make_check_variable,MB_PROJ_META_DESCRIPTION)) -$(MB_ᕽᕽᕽ   )$(if $(3),,$(call mb_make_call,mb_make_check_variable,MB_PROJ_META_WEBSITE)) -$(MB_ᕽᕽᕽ   )$(if $(filter $(if $(3),$(3)/@init,@init),$(MB_PROJ_FIRE_MODULE_INIT)),,$(eval $(call mb_make_call,__$(0),$(1),$(2),$(if $(3),$(3)/)))) -$(MB_ᕽᕽᕽ   )$(if $(3),,$(if $(MB_I18N),$(if $(filter off,$(MB_I18N)),,$(call mb_make_call,_$(0)_i18n)))) +define mb-flow-proj-setup +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-arg1,$(0),$(1)) +$(MB_ᕽᕽᕽ   )$(if $(3),,$(call mb-make-call,mb-make-check-variable,MB_PROJ_META_GROUP_ID)) +$(MB_ᕽᕽᕽ   )$(if $(3),,$(call mb-make-call,mb-make-check-variable,MB_PROJ_META_ARTIFACT_ID)) +$(MB_ᕽᕽᕽ   )$(if $(3),,$(call mb-make-call,mb-make-check-variable,MB_PROJ_META_VERSION)) +$(MB_ᕽᕽᕽ   )$(if $(3),,$(call mb-make-call,mb-make-check-variable,MB_PROJ_META_NAME)) +$(MB_ᕽᕽᕽ   )$(if $(3),,$(call mb-make-call,mb-make-check-variable,MB_PROJ_META_DESCRIPTION)) +$(MB_ᕽᕽᕽ   )$(if $(3),,$(call mb-make-call,mb-make-check-variable,MB_PROJ_META_WEBSITE)) +$(MB_ᕽᕽᕽ   )$(if $(filter $(if $(3),$(3)/@init,@init),$(MB_PROJ_FIRE_MODULE_INIT)),,$(eval $(call mb-make-call,__$(0),$(1),$(2),$(if $(3),$(3)/)))) +$(MB_ᕽᕽᕽ   )$(if $(3),,$(if $(MB_I18N),$(if $(filter off,$(MB_I18N)),,$(call mb-make-call,_$(0)-i18n)))) $(MB_ᕽᕽᕽ   )$(eval MB_PROJ_FIRE_MODULE_INIT $(MB_MAKE_APPEND) $(if $(3),$(3)/@init,@init)) endef -$(call mb_make_call,mb_doc_function_flow,mb_flow_proj_setup,"Inject flow of abstract project build cycle."," [parent-glue] [bin-mod-dir]") +$(call mb-make-call,mb-doc-function-flow,mb-flow-proj-setup,"Inject flow of abstract project build cycle."," [parent-glue] [bin-mod-dir]") -define __mb_flow_proj_setup_i18n +define __mb-flow-proj-setup-i18n .RECIPEPREFIX := $(MB_@RECIPE) @$$(MB_I18N_PROJ_PHASEID_CLEAN): @clean -$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@$$(MB_I18N_PROJ_PHASEID_CLEAN),$$(MB_I18N_PROJ_PHASEDOC_CLEAN)) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@$$(MB_I18N_PROJ_PHASEID_CLEAN)) +$(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@$$(MB_I18N_PROJ_PHASEID_CLEAN),$$(MB_I18N_PROJ_PHASEDOC_CLEAN)) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@$$(MB_I18N_PROJ_PHASEID_CLEAN)) @$$(MB_I18N_PROJ_PHASEID_INIT): @init -$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@$$(MB_I18N_PROJ_PHASEID_INIT),$$(MB_I18N_PROJ_PHASEDOC_INIT)) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@$$(MB_I18N_PROJ_PHASEID_INIT)) +$(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@$$(MB_I18N_PROJ_PHASEID_INIT),$$(MB_I18N_PROJ_PHASEDOC_INIT)) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@$$(MB_I18N_PROJ_PHASEID_INIT)) @$$(MB_I18N_PROJ_PHASEID_PREPARE): @$$(MB_I18N_PROJ_PHASEID_INIT) @prepare -$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@$$(MB_I18N_PROJ_PHASEID_PREPARE),$$(MB_I18N_PROJ_PHASEDOC_PREPARE)) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@$$(MB_I18N_PROJ_PHASEID_PREPARE)) +$(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@$$(MB_I18N_PROJ_PHASEID_PREPARE),$$(MB_I18N_PROJ_PHASEDOC_PREPARE)) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@$$(MB_I18N_PROJ_PHASEID_PREPARE)) @$$(MB_I18N_PROJ_PHASEID_PROCESS): @$$(MB_I18N_PROJ_PHASEID_PREPARE) @process -$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@$$(MB_I18N_PROJ_PHASEID_PROCESS),$$(MB_I18N_PROJ_PHASEDOC_PROCESS)) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@$$(MB_I18N_PROJ_PHASEID_PROCESS)) +$(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@$$(MB_I18N_PROJ_PHASEID_PROCESS),$$(MB_I18N_PROJ_PHASEDOC_PROCESS)) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@$$(MB_I18N_PROJ_PHASEID_PROCESS)) @$$(MB_I18N_PROJ_PHASEID_COMPILE): @$$(MB_I18N_PROJ_PHASEID_PROCESS) @compile -$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@$$(MB_I18N_PROJ_PHASEID_COMPILE),$$(MB_I18N_PROJ_PHASEDOC_COMPILE)) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@$$(MB_I18N_PROJ_PHASEID_COMPILE)) +$(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@$$(MB_I18N_PROJ_PHASEID_COMPILE),$$(MB_I18N_PROJ_PHASEDOC_COMPILE)) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@$$(MB_I18N_PROJ_PHASEID_COMPILE)) @$$(MB_I18N_PROJ_PHASEID_LINK): @$$(MB_I18N_PROJ_PHASEID_COMPILE) @link -$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@$$(MB_I18N_PROJ_PHASEID_LINK),$$(MB_I18N_PROJ_PHASEDOC_LINK)) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@$$(MB_I18N_PROJ_PHASEID_LINK)) +$(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@$$(MB_I18N_PROJ_PHASEID_LINK),$$(MB_I18N_PROJ_PHASEDOC_LINK)) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@$$(MB_I18N_PROJ_PHASEID_LINK)) @$$(MB_I18N_PROJ_PHASEID_BUILD): @$$(MB_I18N_PROJ_PHASEID_LINK) @build -$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@$$(MB_I18N_PROJ_PHASEID_BUILD),$$(MB_I18N_PROJ_PHASEDOC_BUILD)) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@$$(MB_I18N_PROJ_PHASEID_BUILD)) +$(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@$$(MB_I18N_PROJ_PHASEID_BUILD),$$(MB_I18N_PROJ_PHASEDOC_BUILD)) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@$$(MB_I18N_PROJ_PHASEID_BUILD)) @$$(MB_I18N_PROJ_PHASEID_TEST): @$$(MB_I18N_PROJ_PHASEID_BUILD) @test -$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@$$(MB_I18N_PROJ_PHASEID_TEST),$$(MB_I18N_PROJ_PHASEDOC_TEST)) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@$$(MB_I18N_PROJ_PHASEID_TEST)) +$(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@$$(MB_I18N_PROJ_PHASEID_TEST),$$(MB_I18N_PROJ_PHASEDOC_TEST)) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@$$(MB_I18N_PROJ_PHASEID_TEST)) @$$(MB_I18N_PROJ_PHASEID_PACKAGE): @$$(MB_I18N_PROJ_PHASEID_TEST) @package -$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@$$(MB_I18N_PROJ_PHASEID_PACKAGE),$$(MB_I18N_PROJ_PHASEDOC_PACKAGE)) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@$$(MB_I18N_PROJ_PHASEID_PACKAGE)) +$(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@$$(MB_I18N_PROJ_PHASEID_PACKAGE),$$(MB_I18N_PROJ_PHASEDOC_PACKAGE)) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@$$(MB_I18N_PROJ_PHASEID_PACKAGE)) @$$(MB_I18N_PROJ_PHASEID_PACKAGE_QA): @$$(MB_I18N_PROJ_PHASEID_PACKAGE) @package-qa -$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@$$(MB_I18N_PROJ_PHASEID_PACKAGE_QA),$$(MB_I18N_PROJ_PHASEDOC_PACKAGE_QA)) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@$$(MB_I18N_PROJ_PHASEID_PACKAGE_QA)) +$(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@$$(MB_I18N_PROJ_PHASEID_PACKAGE_QA),$$(MB_I18N_PROJ_PHASEDOC_PACKAGE_QA)) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@$$(MB_I18N_PROJ_PHASEID_PACKAGE_QA)) @$$(MB_I18N_PROJ_PHASEID_PACKAGE_DEPLOY): @$$(MB_I18N_PROJ_PHASEID_PACKAGE_QA) @package-deploy -$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@$$(MB_I18N_PROJ_PHASEID_PACKAGE_DEPLOY),$$(MB_I18N_PROJ_PHASEDOC_PACKAGE_DEPLOY)) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@$$(MB_I18N_PROJ_PHASEID_PACKAGE_DEPLOY)) +$(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@$$(MB_I18N_PROJ_PHASEID_PACKAGE_DEPLOY),$$(MB_I18N_PROJ_PHASEDOC_PACKAGE_DEPLOY)) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@$$(MB_I18N_PROJ_PHASEID_PACKAGE_DEPLOY)) @$$(MB_I18N_PROJ_PHASEID_ALL): @$$(MB_I18N_PROJ_PHASEID_PACKAGE_QA) @all -$(MB_@RECIPE)$$(call mb_make_call,_mb_proj_flow_step_done) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_help,@$$(MB_I18N_PROJ_PHASEID_ALL),$$(MB_I18N_PROJ_PHASEDOC_ALL)) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,@$$(MB_I18N_PROJ_PHASEID_ALL)) +$(MB_@RECIPE)$$(call mb-make-call,_mb-proj-flow-step-done) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-help,@$$(MB_I18N_PROJ_PHASEID_ALL),$$(MB_I18N_PROJ_PHASEDOC_ALL)) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,@$$(MB_I18N_PROJ_PHASEID_ALL)) .RECIPEPREFIX := $(MB_@RECIPEPREFIX) endef -define _mb_flow_proj_setup_i18n -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_variable,MB_I18N_PROJ_PHASEID_CLEAN) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_variable,MB_I18N_PROJ_PHASEID_INIT) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_variable,MB_I18N_PROJ_PHASEID_PREPARE) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_variable,MB_I18N_PROJ_PHASEID_PROCESS) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_variable,MB_I18N_PROJ_PHASEID_COMPILE) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_variable,MB_I18N_PROJ_PHASEID_LINK) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_variable,MB_I18N_PROJ_PHASEID_BUILD) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_variable,MB_I18N_PROJ_PHASEID_TEST) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_variable,MB_I18N_PROJ_PHASEID_PACKAGE) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_variable,MB_I18N_PROJ_PHASEID_PACKAGE_QA) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_variable,MB_I18N_PROJ_PHASEID_PACKAGE_DEPLOY) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_variable,MB_I18N_PROJ_PHASEID_ALL) -$(MB_ᕽᕽᕽ   )$(eval $(call mb_make_call,_$(0))) +define _mb-flow-proj-setup-i18n +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-variable,MB_I18N_PROJ_PHASEID_CLEAN) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-variable,MB_I18N_PROJ_PHASEID_INIT) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-variable,MB_I18N_PROJ_PHASEID_PREPARE) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-variable,MB_I18N_PROJ_PHASEID_PROCESS) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-variable,MB_I18N_PROJ_PHASEID_COMPILE) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-variable,MB_I18N_PROJ_PHASEID_LINK) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-variable,MB_I18N_PROJ_PHASEID_BUILD) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-variable,MB_I18N_PROJ_PHASEID_TEST) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-variable,MB_I18N_PROJ_PHASEID_PACKAGE) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-variable,MB_I18N_PROJ_PHASEID_PACKAGE_QA) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-variable,MB_I18N_PROJ_PHASEID_PACKAGE_DEPLOY) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-variable,MB_I18N_PROJ_PHASEID_ALL) +$(MB_ᕽᕽᕽ   )$(eval $(call mb-make-call,_$(0))) endef -define __mb_flow_proj_module_run +define __mb-flow-proj-module-run .RECIPEPREFIX := $(MB_@RECIPE) $(1)/@run: $(1)/@build -$(MB_@RECIPE)$$(call mb_make_call,mb_msxpipe_run_gui,$(1),,$(2)) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_run,$(1)/@run,"Run manually with gui.") -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(1)/@run) +$(MB_@RECIPE)$$(call mb-make-call,mb-msxpipe-run-gui,$(1),,$(2)) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-run,$(1)/@run,"Run manually with gui.") +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(1)/@run) .RECIPEPREFIX := $(MB_@RECIPEPREFIX) endef -define mb_flow_proj_module_run -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg1,$(0),$(1)) -$(MB_ᕽᕽᕽ   )$(if $(filter $(1)/@run,$(MB_PROJ_FIRE_MODULE_RUN)),,$(eval $(call mb_make_call,__$(0),$(1),$(2)))) +define mb-flow-proj-module-run +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-arg1,$(0),$(1)) +$(MB_ᕽᕽᕽ   )$(if $(filter $(1)/@run,$(MB_PROJ_FIRE_MODULE_RUN)),,$(eval $(call mb-make-call,__$(0),$(1),$(2)))) $(MB_ᕽᕽᕽ   )$(eval MB_PROJ_FIRE_MODULE_RUN +$(MB_MAKE_EQUALS) $(1)/@run) endef -$(call mb_make_call,mb_doc_function_flow,mb_flow_proj_module_run,"Inject flow module @run."," [machine]") +$(call mb-make-call,mb-doc-function-flow,mb-flow-proj-module-run,"Inject flow module @run."," [machine]") -define __mb_flow_proj_prepare_packages +define __mb-flow-proj-prepare-packages .RECIPEPREFIX := $(MB_@RECIPE) $(1)/@@prepare-deps:: $(1)/@msxhub/$(2) $(1)/@msxhub/$(2): -$(MB_@RECIPE)$$(if $$(wildcard $(1)$$(if $(3),/$(3))),,$$(call mb_make_call,mb_os_dir_create,$(1)$$(if $(3),/$(3)))) -$(MB_@RECIPE)$$(call mb_make_call,mb_msxhub_get_$(2),$(1)$$(if $(3),/$(3))) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_make_target_phony,$(1)/@msxhub/$(2)) +$(MB_@RECIPE)$$(if $$(wildcard $(1)$$(if $(3),/$(3))),,$$(call mb-make-call,mb-os-dir-create,$(1)$$(if $(3),/$(3)))) +$(MB_@RECIPE)$$(call mb-make-call,mb-msxhub-get-$(2),$(1)$$(if $(3),/$(3))) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-make-target-phony,$(1)/@msxhub/$(2)) .RECIPEPREFIX := $(MB_@RECIPEPREFIX) endef -define mb_flow_proj_prepare_packages -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg2,$(0),$(1),$(2)) -$(MB_ᕽᕽᕽ   )$(foreach _pack,$(2),$(eval $(call mb_make_call,__$(0),$(1),$(_pack),$(3)))) +define mb-flow-proj-prepare-packages +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-arg2,$(0),$(1),$(2)) +$(MB_ᕽᕽᕽ   )$(foreach _pack,$(2),$(eval $(call mb-make-call,__$(0),$(1),$(_pack),$(3)))) endef -$(call mb_make_call,mb_doc_function_flow,mb_flow_proj_prepare_packages,"Inject package fetch flow for module."," [dest-dir]") +$(call mb-make-call,mb-doc-function-flow,mb-flow-proj-prepare-packages,"Inject package fetch flow for module."," [dest-dir]") diff --git a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_sdcc.mk b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_sdcc.mk index 8e76b96..0a16ac4 100644 --- a/lib/make/𓃬𓍄𓋹𓀭/mb_flow_sdcc.mk +++ b/lib/make/𓃬𓍄𓋹𓀭/mb_flow_sdcc.mk @@ -1,32 +1,32 @@ -define __mb_flow_sdcc_bdos_mono +define __mb-flow-sdcc-bdos-mono .RECIPEPREFIX := $(MB_@RECIPE) $(1)/@@compile-deps:: $(1)/$(3).rel $(1)/$(3).rel: $(2)/$(3).asm | $(1)/@prepare -$(MB_@RECIPE)$$(call mb_make_call,mb_sdcc_compile_asm,$$<,$$@) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).rel) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_proj_grow_deps_phase,@compile,$(1)/$(3).rel) +$(MB_@RECIPE)$$(call mb-make-call,mb-sdcc-compile-asm,$$<,$$@) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-deep,$(1)/$(3).rel) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-proj-grow-deps-phase,@compile,$(1)/$(3).rel) $(1)/@@link-deps:: $(1)/$(3).hex $(1)/$(3).hex: $(1)/$(3).rel -$(MB_@RECIPE)$$(call mb_make_call,mb_sdcc_link_asm_bdos,$$<,$$@) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).hex) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_proj_grow_deps_phase,@link,$(1)/$(3).hex) +$(MB_@RECIPE)$$(call mb-make-call,mb-sdcc-link-asm-bdos,$$<,$$@) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-deep,$(1)/$(3).hex) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-proj-grow-deps-phase,@link,$(1)/$(3).hex) $(1)/@@build-deps:: $(1)/$(3).com $(1)/$(3).com: $(1)/$(3).hex -$(MB_@RECIPE)$$(call mb_make_call,mb_sdcc_objcopy,$$<,$$@) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_doc_target_deep,$(1)/$(3).com) -$(MB_ᕽᕽᕽ   )$$(call mb_make_call,mb_proj_grow_deps_phase,@build,$(1)/$(3).com) +$(MB_@RECIPE)$$(call mb-make-call,mb-sdcc-objcopy,$$<,$$@) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-doc-target-deep,$(1)/$(3).com) +$(MB_ᕽᕽᕽ   )$$(call mb-make-call,mb-proj-grow-deps-phase,@build,$(1)/$(3).com) .RECIPEPREFIX := $(MB_@RECIPEPREFIX) endef -define mb_flow_sdcc_bdos_mono -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg3,$(0),$(1),$(2),$(3)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_flow_proj_setup,$(1),@init,$(1)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_flow_proj_module_run,$(1)) -$(MB_ᕽᕽᕽ   )$(eval $(call mb_make_call,__$(0),$(1),$(2),$(3))) +define mb-flow-sdcc-bdos-mono +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-arg3,$(0),$(1),$(2),$(3)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-flow-proj-setup,$(1),@init,$(1)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-flow-proj-module-run,$(1)) +$(MB_ᕽᕽᕽ   )$(eval $(call mb-make-call,__$(0),$(1),$(2),$(3))) endef -$(call mb_make_call,mb_doc_function_flow,mb_flow_sdcc_bdos_mono,"Inject flow of sdcc toolchain bdos mono file module."," ") +$(call mb-make-call,mb-doc-function-flow,mb-flow-sdcc-bdos-mono,"Inject flow of sdcc toolchain bdos mono file module."," ") diff --git a/lib/msxbuild.mk b/lib/msxbuild.mk index 8009f17..dfae229 100644 --- a/lib/msxbuild.mk +++ b/lib/msxbuild.mk @@ -14,6 +14,9 @@ SHELL := bash ifeq ($(origin .RECIPEPREFIX), undefined) $(error This version of make does not support dynamic white space brain fuck mode.) endif +######################################################### +### IN THIS FILE NEVER USE A WHITE SPACE OR TAB SPACE ### +######################################################### # Set some root variable to use in this library MB_@RECIPE := ] @@ -23,7 +26,12 @@ MB_@WORK := /../_work/ MB_@INCLUDE_CHAIN := # Build multiple groups of chains of includes -define __mb_include +define __mb-include +ifdef DEBUG +ifneq ("$$(DEBUG)", "off") +$$(warning DEBUG $(0) ($(1))) +endif +endif .PHONY: $(MB_@BASEPATH)$(1) include $(MB_@BASEPATH)$(1) $(if $(MB_@INCLUDE_CHAIN),$(eval $(MB_@BASEPATH)$(1): $(MB_@INCLUDE_CHAIN))) @@ -32,45 +40,45 @@ endef # Include full library grouped in functional blocks MB_@INCLUDE_CHAIN := @@include-parent -$(eval $(call __mb_include,make/सिस्टमₚᵣₐᵧToੴ/mb_xxx.mk)) -$(eval $(call __mb_include,make/सिस्टमₚᵣₐᵧToੴ/mb_doc.mk)) -$(eval $(call __mb_include,make/सिस्टमₚᵣₐᵧToੴ/mb_make_call.mk)) -$(eval $(call __mb_include,make/सिस्टमₚᵣₐᵧToੴ/mb_make_check.mk)) -$(eval $(call __mb_include,make/सिस्टमₚᵣₐᵧToੴ/mb_make_module.mk)) -$(eval $(call __mb_include,make/सिस्टमₚᵣₐᵧToੴ/mb_make_xml.mk)) -$(eval $(call __mb_include,make/सिस्टमₚᵣₐᵧToੴ/mb_make.mk)) +$(eval $(call __mb-include,make/सिस्टमₚᵣₐᵧToੴ/mb_xxx.mk)) +$(eval $(call __mb-include,make/सिस्टमₚᵣₐᵧToੴ/mb_doc.mk)) +$(eval $(call __mb-include,make/सिस्टमₚᵣₐᵧToੴ/mb_make_call.mk)) +$(eval $(call __mb-include,make/सिस्टमₚᵣₐᵧToੴ/mb_make_check.mk)) +$(eval $(call __mb-include,make/सिस्टमₚᵣₐᵧToੴ/mb_make_module.mk)) +$(eval $(call __mb-include,make/सिस्टमₚᵣₐᵧToੴ/mb_make_xml.mk)) +$(eval $(call __mb-include,make/सिस्टमₚᵣₐᵧToੴ/mb_make.mk)) @@include-libs:: $(MB_@INCLUDE_CHAIN) MB_@INCLUDE_CHAIN := @@include-parent -$(eval $(call __mb_include,make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_os.mk)) -$(eval $(call __mb_include,make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_conv.mk)) -$(eval $(call __mb_include,make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_sdcc.mk)) -$(eval $(call __mb_include,make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_package.mk)) -$(eval $(call __mb_include,make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_nestor80.mk)) +$(eval $(call __mb-include,make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_os.mk)) +$(eval $(call __mb-include,make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_conv.mk)) +$(eval $(call __mb-include,make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_sdcc.mk)) +$(eval $(call __mb-include,make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_package.mk)) +$(eval $(call __mb-include,make/ᐅᖓᕙᕆᐊᖅᓯᓂᖅ/mb_nestor80.mk)) @@include-libs:: $(MB_@INCLUDE_CHAIN) MB_@INCLUDE_CHAIN := @@include-parent -$(eval $(call __mb_include,make/天房系統擴展/mb_msxrom.mk)) -$(eval $(call __mb_include,make/天房系統擴展/mb_msxhub.mk)) -$(eval $(call __mb_include,make/天房系統擴展/mb_msxpipe.mk)) -$(eval $(call __mb_include,make/天房系統擴展/mb_openmsx.mk)) -$(eval $(call __mb_include,make/天房系統擴展/mb_autoexec.mk)) +$(eval $(call __mb-include,make/天房系統擴展/mb_msxrom.mk)) +$(eval $(call __mb-include,make/天房系統擴展/mb_msxhub.mk)) +$(eval $(call __mb-include,make/天房系統擴展/mb_msxpipe.mk)) +$(eval $(call __mb-include,make/天房系統擴展/mb_openmsx.mk)) +$(eval $(call __mb-include,make/天房系統擴展/mb_autoexec.mk)) @@include-libs:: $(MB_@INCLUDE_CHAIN) MB_@INCLUDE_CHAIN := @@include-parent -$(eval $(call __mb_include,make/𓃬𓍄𓋹𓀭/mb_flow_0module.mk)) -$(eval $(call __mb_include,make/𓃬𓍄𓋹𓀭/mb_flow_help.mk)) -$(eval $(call __mb_include,make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk)) -$(eval $(call __mb_include,make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk)) -$(eval $(call __mb_include,make/𓃬𓍄𓋹𓀭/mb_flow_macro80.mk)) -$(eval $(call __mb_include,make/𓃬𓍄𓋹𓀭/mb_flow_nestor80.mk)) -$(eval $(call __mb_include,make/𓃬𓍄𓋹𓀭/mb_flow_sdcc.mk)) -$(eval $(call __mb_include,make/𓃬𓍄𓋹𓀭/mb_flow_clone.mk)) +$(eval $(call __mb-include,make/𓃬𓍄𓋹𓀭/mb_flow_0module.mk)) +$(eval $(call __mb-include,make/𓃬𓍄𓋹𓀭/mb_flow_help.mk)) +$(eval $(call __mb-include,make/𓃬𓍄𓋹𓀭/mb_flow_proj.mk)) +$(eval $(call __mb-include,make/𓃬𓍄𓋹𓀭/mb_flow_assert.mk)) +$(eval $(call __mb-include,make/𓃬𓍄𓋹𓀭/mb_flow_macro80.mk)) +$(eval $(call __mb-include,make/𓃬𓍄𓋹𓀭/mb_flow_nestor80.mk)) +$(eval $(call __mb-include,make/𓃬𓍄𓋹𓀭/mb_flow_sdcc.mk)) +$(eval $(call __mb-include,make/𓃬𓍄𓋹𓀭/mb_flow_clone.mk)) @@include-libs:: $(MB_@INCLUDE_CHAIN) MB_@INCLUDE_CHAIN := -$(eval $(call __mb_include,make/mb_env.mk)) -$(eval $(call __mb_include,make/mb_flight.mk)) -$(eval $(call __mb_include,make/ i18n/mb_i18n.mk)) +$(eval $(call __mb-include,make/mb_env.mk)) +$(eval $(call __mb-include,make/mb_flight.mk)) +$(eval $(call __mb-include,make/ i18n/mb_i18n.mk)) ifneq ("$(MB_I18N)", "off") $(if $(MB_I18N),$(if $(wildcard $(MB_@BASEPATH)make/ i18n/mb_i18n_$(MB_I18N).mk),,$(error Unsupported i18n language code: $(MB_I18N)))) -$(if $(MB_I18N),$(eval include $(MB_@BASEPATH)make/ i18n/mb_i18n_$(MB_I18N).mk)) +$(eval $(call __mb-include,make/ i18n/mb_i18n_$(MB_I18N).mk)) endif @include:: $(MB_@INCLUDE_CHAIN) MB_@INCLUDE_CHAIN := @@ -81,19 +89,19 @@ MB_@INCLUDE_CHAIN := @include:: @@include-libs @@include-root # Document our internal variables, now we have the functions loaded -$(call mb_make_call,mb_doc_variable_rock,MB_@RECIPE,"Recipe prefix to set and indent flow eval rules.") -$(call mb_make_call,mb_doc_variable_rock,MB_@RECIPEPREFIX,"Recipe prefix to restore to after flow eval rules.") -$(call mb_make_call,mb_doc_variable_rock,MB_@BASEPATH,"Path where msxbuild lib folder is located.") -$(call mb_make_call,mb_doc_variable_rock,MB_@WORK,"Shorthand to move to the work folder of module.") -$(call mb_make_call,mb_doc_variable_rock,MB_@INCLUDE_CHAIN,"Helper to chain includes into groups.") +$(MB_ᕽᕽᕽ )$(call mb-make-call,mb-doc-variable-rock,MB_@RECIPE,"Recipe prefix to set and indent flow eval rules.") +$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-doc-variable-rock,MB_@RECIPEPREFIX,"Recipe prefix to restore to after flow eval rules.") +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-doc-variable-rock,MB_@BASEPATH,"Path where msxbuild lib folder is located.") +$(MB_ᕽᕽᕽ    )$(call mb-make-call,mb-doc-variable-rock,MB_@WORK,"Shorthand to move to the work folder of module.") +$(MB_ᕽᕽᕽ     )$(call mb-make-call,mb-doc-variable-rock,MB_@INCLUDE_CHAIN,"Helper to chain includes into groups.") # Provider+doc easy single function start point for user -define mb_setup_default -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_check_arg2,$(0),$(1),$(2)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_flow_doc_help) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_flow_0module_setup,$(2)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_flow_proj_setup,$(1),@include) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_flight_proj_flow_video,$(1)) +define mb-setup-default +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-check-arg2,$(0),$(1),$(2)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-flow-doc-help) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-flow-0module-include,$(2)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-flow-proj-setup,$(1),@include) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-flight-proj-flow-video,$(1)) endef -$(call mb_make_call,mb_doc_function,mb_setup_default,"Setup default extenstions to configure dynamic project flow."," ") +$(call mb-make-call,mb-doc-function,mb-setup-default,"Setup default extenstions to configure dynamic project flow."," ") diff --git a/src/assert/msxhub/0module100.mk b/src/assert/msxhub/0module100.mk index eec52aa..599567a 100644 --- a/src/assert/msxhub/0module100.mk +++ b/src/assert/msxhub/0module100.mk @@ -1,57 +1,57 @@ -ASSERT_MSXHUB_SRC := $(call mb_make_call,mb_make_module_path_src,$(PATH_SRC)) -ASSERT_MSXHUB_BIN := $(call mb_make_call,mb_make_module_path_bin,$(PATH_SRC),$(PATH_BIN)) +ASSERT_MSXHUB_SRC := $(call mb-make-call,mb-make-module-path-src,$(PATH_SRC)) +ASSERT_MSXHUB_BIN := $(call mb-make-call,mb-make-module-path-bin,$(PATH_SRC),$(PATH_BIN)) ASSERT_MSXHUB_MACHINE ?= Boosted_MSX2+_JP -$(call mb_make_call,mb_doc_variable,ASSERT_MSXHUB_MACHINE,"The machine to run the msxhub module on.") +$(call mb-make-call,mb-doc-variable,ASSERT_MSXHUB_MACHINE,"The machine to run the msxhub module on.") $(ASSERT_MSXHUB_BIN): | @init -_$(MB_ᕽᕽᕽ  )$(if $(wildcard $(ASSERT_MSXHUB_BIN)),,$(call mb_make_call,mb_os_dir_create,$(ASSERT_MSXHUB_BIN))) -_$(MB_ᕽᕽᕽ  )$(if $(wildcard $(ASSERT_MSXHUB_BIN)/utils),,$(call mb_make_call,mb_os_dir_create,$(ASSERT_MSXHUB_BIN)/utils)) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_msxdos2_utils,$(ASSERT_MSXHUB_BIN)/utils) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_nextor_utils,$(ASSERT_MSXHUB_BIN)/utils) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_macro80,$(ASSERT_MSXHUB_BIN)/utils) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_z80asmuk,$(ASSERT_MSXHUB_BIN)/utils) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_wbass2,$(ASSERT_MSXHUB_BIN)/utils) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_konpass,$(ASSERT_MSXHUB_BIN)/utils) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_pmarc,$(ASSERT_MSXHUB_BIN)/utils) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_lhpack,$(ASSERT_MSXHUB_BIN)/utils) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_lhext,$(ASSERT_MSXHUB_BIN)/utils) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_gunzip,$(ASSERT_MSXHUB_BIN)/utils) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_tunzip,$(ASSERT_MSXHUB_BIN)/utils) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_popcom,$(ASSERT_MSXHUB_BIN)/utils) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_make,$(ASSERT_MSXHUB_BIN)/utils) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_adir,$(ASSERT_MSXHUB_BIN)/utils) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_turbo,$(ASSERT_MSXHUB_BIN)/utils) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_baskom,$(ASSERT_MSXHUB_BIN)/utils) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_binldr,$(ASSERT_MSXHUB_BIN)/utils) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_dmphex,$(ASSERT_MSXHUB_BIN)/utils) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_zd,$(ASSERT_MSXHUB_BIN)/utils) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_msxdos2t,$(ASSERT_MSXHUB_BIN)/utils) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_gfxage,$(ASSERT_MSXHUB_BIN)/utils) +_$(MB_ᕽᕽᕽ  )$(if $(wildcard $(ASSERT_MSXHUB_BIN)),,$(call mb-make-call,mb-os-dir-create,$(ASSERT_MSXHUB_BIN))) +_$(MB_ᕽᕽᕽ  )$(if $(wildcard $(ASSERT_MSXHUB_BIN)/utils),,$(call mb-make-call,mb-os-dir-create,$(ASSERT_MSXHUB_BIN)/utils)) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-msxhub-get-msxdos2-utils,$(ASSERT_MSXHUB_BIN)/utils) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-msxhub-get-nextor-utils,$(ASSERT_MSXHUB_BIN)/utils) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-msxhub-get-macro80,$(ASSERT_MSXHUB_BIN)/utils) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-msxhub-get-z80asmuk,$(ASSERT_MSXHUB_BIN)/utils) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-msxhub-get-wbass2,$(ASSERT_MSXHUB_BIN)/utils) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-msxhub-get-konpass,$(ASSERT_MSXHUB_BIN)/utils) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-msxhub-get-pmarc,$(ASSERT_MSXHUB_BIN)/utils) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-msxhub-get-lhpack,$(ASSERT_MSXHUB_BIN)/utils) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-msxhub-get-lhext,$(ASSERT_MSXHUB_BIN)/utils) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-msxhub-get-gunzip,$(ASSERT_MSXHUB_BIN)/utils) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-msxhub-get-tunzip,$(ASSERT_MSXHUB_BIN)/utils) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-msxhub-get-popcom,$(ASSERT_MSXHUB_BIN)/utils) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-msxhub-get-make,$(ASSERT_MSXHUB_BIN)/utils) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-msxhub-get-adir,$(ASSERT_MSXHUB_BIN)/utils) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-msxhub-get-turbo,$(ASSERT_MSXHUB_BIN)/utils) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-msxhub-get-baskom,$(ASSERT_MSXHUB_BIN)/utils) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-msxhub-get-binldr,$(ASSERT_MSXHUB_BIN)/utils) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-msxhub-get-dmphex,$(ASSERT_MSXHUB_BIN)/utils) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-msxhub-get-zd,$(ASSERT_MSXHUB_BIN)/utils) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-msxhub-get-msxdos2t,$(ASSERT_MSXHUB_BIN)/utils) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-msxhub-get-gfxage,$(ASSERT_MSXHUB_BIN)/utils) $(ASSERT_MSXHUB_BIN)/@run: | $(ASSERT_MSXHUB_BIN) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxpipe_run_gui,$(ASSERT_MSXHUB_BIN),,$(ASSERT_MSXHUB_MACHINE)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target_run,$(ASSERT_MSXHUB_BIN)/@run,"Run the dist with all msxhub packages.") -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_target_phony,$(ASSERT_MSXHUB_BIN)/@run) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-msxpipe-run-gui,$(ASSERT_MSXHUB_BIN),,$(ASSERT_MSXHUB_MACHINE)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-doc-target-run,$(ASSERT_MSXHUB_BIN)/@run,"Run the dist with all msxhub packages.") +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-target-phony,$(ASSERT_MSXHUB_BIN)/@run) $(ASSERT_MSXHUB_BIN)/@assert: | $(ASSERT_MSXHUB_BIN) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_file_delete,$(ASSERT_MSXHUB_BIN)/ahello1.out) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_file_delete,$(ASSERT_MSXHUB_BIN)/ahello2.out) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_file_delete,$(ASSERT_MSXHUB_BIN)/utils.out) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_write_default,$(ASSERT_MSXHUB_BIN),336) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_assert,$(ASSERT_MSXHUB_BIN),dir utils > utils.out) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_exit,$(ASSERT_MSXHUB_BIN)) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_openmsx_dosctl,$(ASSERT_MSXHUB_BIN),$(ASSERT_MSXHUB_MACHINE)) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-file-delete,$(ASSERT_MSXHUB_BIN)/ahello1.out) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-file-delete,$(ASSERT_MSXHUB_BIN)/ahello2.out) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-file-delete,$(ASSERT_MSXHUB_BIN)/utils.out) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-write-default,$(ASSERT_MSXHUB_BIN),336) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-append-safe-assert,$(ASSERT_MSXHUB_BIN),dir utils > utils.out) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-append-exit,$(ASSERT_MSXHUB_BIN)) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-openmsx-dosctl,$(ASSERT_MSXHUB_BIN),$(ASSERT_MSXHUB_MACHINE)) _$(MB_ᕽᕽᕽ  )grep -q "KONPASS COM" $(ASSERT_MSXHUB_BIN)/utils.out _$(MB_ᕽᕽᕽ  )grep -q "MAKE COM" $(ASSERT_MSXHUB_BIN)/utils.out -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_echo_assert,$(MB_I18N_ASSERT_SUCCESS) $(ASSERT_MSXHUB_BIN)/@assert) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_proj_grow_assert_success,@test) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_proj_grow_assert_success,@test) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target_assert,$(ASSERT_MSXHUB_BIN)/@assert,"Asserts that all dist artifacts execute results.") -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_proj_grow_deps_phase,@test,$(ASSERT_MSXHUB_BIN)/@assert) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_target_phony,$(ASSERT_MSXHUB_BIN)/@assert) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-echo-assert,$(MB_I18N_ASSERT_SUCCESS) $(ASSERT_MSXHUB_BIN)/@assert) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-proj-grow-assert-success,@test) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-proj-grow-assert-success,@test) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-doc-target-assert,$(ASSERT_MSXHUB_BIN)/@assert,"Asserts that all dist artifacts execute results.") +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-proj-grow-deps-phase,@test,$(ASSERT_MSXHUB_BIN)/@assert) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-target-phony,$(ASSERT_MSXHUB_BIN)/@assert) diff --git a/src/assert/msxrom/0module100.mk b/src/assert/msxrom/0module100.mk index 2f2fa98..987fcc9 100644 --- a/src/assert/msxrom/0module100.mk +++ b/src/assert/msxrom/0module100.mk @@ -1,21 +1,21 @@ -ASSERT_MSXROM_SRC := $(call mb_make_call,mb_make_module_path_src,$(PATH_SRC)) -ASSERT_MSXROM_BIN := $(call mb_make_call,mb_make_module_path_bin,$(PATH_SRC),$(PATH_BIN)) -ASSERT_MSXROM_DEPS := $(call mb_make_call,mb_make_module_local_deps,$(PATH_BIN),$(EXAMPLE_DIST_HELLO_DEPS)) +ASSERT_MSXROM_SRC := $(call mb-make-call,mb-make-module-path-src,$(PATH_SRC)) +ASSERT_MSXROM_BIN := $(call mb-make-call,mb-make-module-path-bin,$(PATH_SRC),$(PATH_BIN)) +ASSERT_MSXROM_DEPS := $(call mb-make-call,mb-make-module-local-deps,$(PATH_BIN),$(EXAMPLE_DIST_HELLO_DEPS)) ASSERT_MSXROM_MATRIX ?= off -$(call mb_make_call,mb_doc_variable,ASSERT_MSXROM_MATRIX,"When on enabled matrix testing of all machines.") +$(call mb-make-call,mb-doc-variable,ASSERT_MSXROM_MATRIX,"When on enabled matrix testing of all machines.") -# Test matrix = 13 * 2 * 4 = 104 assertion tests -$(call mb_make_call,mb_flow_clone_deps,$(ASSERT_MSXROM_BIN),$(EXAMPLE_DIST_HELLO_BIN),$(EXAMPLE_DIST_HELLO_DEPS)) +# Test matrix = 13 * 2 * 4 = 104 assertion tests +$(call mb-make-call,mb-flow-clone-deps,$(ASSERT_MSXROM_BIN),$(EXAMPLE_DIST_HELLO_BIN),$(EXAMPLE_DIST_HELLO_DEPS)) ifeq ("$(ASSERT_MSXROM_MATRIX)", "on") - $(foreach _rom,$(MB_MSXROM_FIRE_MACHINES),$(call mb_make_call,mb_flow_assert_msxpipe_grep_test,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-msxdos2-chk1,hello1,M80,msxdos2_boot,$(_rom))) - $(foreach _rom,$(MB_MSXROM_FIRE_MACHINES),$(call mb_make_call,mb_flow_assert_msxpipe_grep_test,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-msxdos2-chk2,hello2,SDCC,msxdos2_boot,$(_rom))) - $(foreach _rom,$(MB_MSXROM_FIRE_MACHINES),$(call mb_make_call,mb_flow_assert_msxpipe_grep_test,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-msxdos2-chk3,hello3,MAKE,msxdos2_boot,$(_rom))) - $(foreach _rom,$(MB_MSXROM_FIRE_MACHINES),$(call mb_make_call,mb_flow_assert_msxpipe_grep_test,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-msxdos1-chk4,hello4,N80,msxdos2_boot,$(_rom))) - $(foreach _rom,$(MB_MSXROM_FIRE_MACHINES),$(call mb_make_call,mb_flow_assert_msxpipe_grep_test,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-nextor-chk1,hello1,M80,,$(_rom))) - $(foreach _rom,$(MB_MSXROM_FIRE_MACHINES),$(call mb_make_call,mb_flow_assert_msxpipe_grep_test,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-nextor-chk2,hello2,SDCC,,$(_rom))) - $(foreach _rom,$(MB_MSXROM_FIRE_MACHINES),$(call mb_make_call,mb_flow_assert_msxpipe_grep_test,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-nextor-chk3,hello3,MAKE,,$(_rom))) - $(foreach _rom,$(MB_MSXROM_FIRE_MACHINES),$(call mb_make_call,mb_flow_assert_msxpipe_grep_test,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-nextor-chk4,hello4,N80,,$(_rom))) + $(foreach _rom,$(MB_MSXROM_FIRE_MACHINES),$(call mb-make-call,mb-flow-assert-msxpipe-grep-test,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-msxdos2-chk1,hello1,M80,msxdos2_boot,$(_rom))) + $(foreach _rom,$(MB_MSXROM_FIRE_MACHINES),$(call mb-make-call,mb-flow-assert-msxpipe-grep-test,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-msxdos2-chk2,hello2,SDCC,msxdos2_boot,$(_rom))) + $(foreach _rom,$(MB_MSXROM_FIRE_MACHINES),$(call mb-make-call,mb-flow-assert-msxpipe-grep-test,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-msxdos2-chk3,hello3,MAKE,msxdos2_boot,$(_rom))) + $(foreach _rom,$(MB_MSXROM_FIRE_MACHINES),$(call mb-make-call,mb-flow-assert-msxpipe-grep-test,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-msxdos1-chk4,hello4,N80,msxdos2_boot,$(_rom))) + $(foreach _rom,$(MB_MSXROM_FIRE_MACHINES),$(call mb-make-call,mb-flow-assert-msxpipe-grep-test,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-nextor-chk1,hello1,M80,,$(_rom))) + $(foreach _rom,$(MB_MSXROM_FIRE_MACHINES),$(call mb-make-call,mb-flow-assert-msxpipe-grep-test,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-nextor-chk2,hello2,SDCC,,$(_rom))) + $(foreach _rom,$(MB_MSXROM_FIRE_MACHINES),$(call mb-make-call,mb-flow-assert-msxpipe-grep-test,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-nextor-chk3,hello3,MAKE,,$(_rom))) + $(foreach _rom,$(MB_MSXROM_FIRE_MACHINES),$(call mb-make-call,mb-flow-assert-msxpipe-grep-test,$(ASSERT_MSXROM_BIN),$(ASSERT_MSXROM_DEPS),$(_rom)-nextor-chk4,hello4,N80,,$(_rom))) endif diff --git a/src/example/dist-hello-qa-dos1/0module010.mk b/src/example/dist-hello-qa-dos1/0module010.mk index 738c0f3..9e6c506 100644 --- a/src/example/dist-hello-qa-dos1/0module010.mk +++ b/src/example/dist-hello-qa-dos1/0module010.mk @@ -1,21 +1,21 @@ -EXAMPLE_DIST_HELLO_QA_DOS1_SRC := $(call mb_make_call,mb_make_module_path_src,$(PATH_SRC)) -EXAMPLE_DIST_HELLO_QA_DOS1_BIN := $(call mb_make_call,mb_make_module_path_bin,$(PATH_SRC),$(PATH_BIN)) +EXAMPLE_DIST_HELLO_QA_DOS1_SRC := $(call mb-make-call,mb-make-module-path-src,$(PATH_SRC)) +EXAMPLE_DIST_HELLO_QA_DOS1_BIN := $(call mb-make-call,mb-make-module-path-bin,$(PATH_SRC),$(PATH_BIN)) -$(call mb_make_call,mb_flow_clone_deps,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN),$(EXAMPLE_DIST_HELLO_BIN),$(EXAMPLE_DIST_HELLO_DEPS),msxdos1_boot) +$(call mb-make-call,mb-flow-clone-deps,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN),$(EXAMPLE_DIST_HELLO_BIN),$(EXAMPLE_DIST_HELLO_DEPS),msxdos1-boot) $(EXAMPLE_DIST_HELLO_QA_DOS1_BIN)/@assert: $(EXAMPLE_DIST_HELLO_QA_DOS1_BIN)/@build -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_write_default,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN),515) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_assert,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN),hello1) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_assert,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN),hello2) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_assert,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN),hello3) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_assert,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN),hello4) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_exit,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN)) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_openmsx_dosctl,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN)) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_echo_assert,$(MB_I18N_ASSERT_SUCCESS) $(EXAMPLE_DIST_HELLO_QA_DOS1_BIN)/@assert) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target_assert,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN)/@assert,"Assert binaries on msxdos1.") -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_proj_grow_deps_phase,@package-qa,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN)/@assert) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_target_phony,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN)/@assert) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-write-default,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN),515) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-append-safe-assert,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN),hello1) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-append-safe-assert,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN),hello2) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-append-safe-assert,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN),hello3) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-append-safe-assert,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN),hello4) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-append-exit,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN)) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-openmsx-dosctl,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN)) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-echo-assert,$(MB_I18N_ASSERT_SUCCESS) $(EXAMPLE_DIST_HELLO_QA_DOS1_BIN)/@assert) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-doc-target-assert,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN)/@assert,"Assert binaries on msxdos1.") +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-proj-grow-deps-phase,@package-qa,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN)/@assert) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-target-phony,$(EXAMPLE_DIST_HELLO_QA_DOS1_BIN)/@assert) diff --git a/src/example/dist-hello-qa-dos2/0module010.mk b/src/example/dist-hello-qa-dos2/0module010.mk index f2ad6a7..a97f6ff 100644 --- a/src/example/dist-hello-qa-dos2/0module010.mk +++ b/src/example/dist-hello-qa-dos2/0module010.mk @@ -1,31 +1,31 @@ -EXAMPLE_DIST_HELLO_QA_DOS2_SRC := $(call mb_make_call,mb_make_module_path_src,$(PATH_SRC)) -EXAMPLE_DIST_HELLO_QA_DOS2_BIN := $(call mb_make_call,mb_make_module_path_bin,$(PATH_SRC),$(PATH_BIN)) +EXAMPLE_DIST_HELLO_QA_DOS2_SRC := $(call mb-make-call,mb-make-module-path-src,$(PATH_SRC)) +EXAMPLE_DIST_HELLO_QA_DOS2_BIN := $(call mb-make-call,mb-make-module-path-bin,$(PATH_SRC),$(PATH_BIN)) -$(call mb_make_call,mb_flow_clone_deps,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN),$(EXAMPLE_DIST_HELLO_BIN),$(EXAMPLE_DIST_HELLO_DEPS),msxdos2_boot) +$(call mb-make-call,mb-flow-clone-deps,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN),$(EXAMPLE_DIST_HELLO_BIN),$(EXAMPLE_DIST_HELLO_DEPS),msxdos2-boot) $(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/@assert: $(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/@build -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_file_delete,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/ahello1.out) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_file_delete,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/ahello2.out) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_write_default,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN),515) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_assert,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN),hello1 > hello1.out) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_assert,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN),hello2 > hello2.out) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_assert,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN),hello3 > hello3.out) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_assert,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN),hello4 > hello4.out) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_exit,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_openmsx_dosctl,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-file-delete,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/ahello1.out) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-file-delete,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/ahello2.out) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-write-default,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN),515) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-append-safe-assert,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN),hello1 > hello1.out) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-append-safe-assert,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN),hello2 > hello2.out) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-append-safe-assert,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN),hello3 > hello3.out) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-append-safe-assert,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN),hello4 > hello4.out) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-append-exit,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-openmsx-dosctl,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)) _$(MB_ᕽᕽᕽ  )grep -q "M80: Hello MSX" $(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/hello1.out _$(MB_ᕽᕽᕽ  )grep -q "SDCC: Hello MSX" $(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/hello2.out _$(MB_ᕽᕽᕽ  )grep -q "MAKE: Hello MSX" $(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/hello3.out _$(MB_ᕽᕽᕽ  )grep -q "N80: Hello MSX" $(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/hello4.out -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_echo_assert,$(MB_I18N_ASSERT_SUCCESS) $(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/@assert) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_proj_grow_assert_success,@package-qa) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_proj_grow_assert_success,@package-qa) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_proj_grow_assert_success,@package-qa) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_proj_grow_assert_success,@package-qa) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target_assert,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/@assert,"Assert binaries on msxdos2.") -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_proj_grow_deps_phase,@package-qa,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/@assert) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_target_phony,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/@assert) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-echo-assert,$(MB_I18N_ASSERT_SUCCESS) $(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/@assert) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-proj-grow-assert-success,@package-qa) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-proj-grow-assert-success,@package-qa) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-proj-grow-assert-success,@package-qa) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-proj-grow-assert-success,@package-qa) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-doc-target-assert,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/@assert,"Assert binaries on msxdos2.") +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-proj-grow-deps-phase,@package-qa,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/@assert) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-target-phony,$(EXAMPLE_DIST_HELLO_QA_DOS2_BIN)/@assert) diff --git a/src/example/dist-hello-qa-msx1/0module010.mk b/src/example/dist-hello-qa-msx1/0module010.mk index 10e9e37..ef4e511 100644 --- a/src/example/dist-hello-qa-msx1/0module010.mk +++ b/src/example/dist-hello-qa-msx1/0module010.mk @@ -1,34 +1,34 @@ -EXAMPLE_DIST_HELLO_QA_MSX1_SRC := $(call mb_make_call,mb_make_module_path_src,$(PATH_SRC)) -EXAMPLE_DIST_HELLO_QA_MSX1_BIN := $(call mb_make_call,mb_make_module_path_bin,$(PATH_SRC),$(PATH_BIN)) +EXAMPLE_DIST_HELLO_QA_MSX1_SRC := $(call mb-make-call,mb-make-module-path-src,$(PATH_SRC)) +EXAMPLE_DIST_HELLO_QA_MSX1_BIN := $(call mb-make-call,mb-make-module-path-bin,$(PATH_SRC),$(PATH_BIN)) EXAMPLE_DIST_HELLO_QA_MSX1_MACHINE ?= Canon_V-20 -$(call mb_make_call,mb_doc_variable,EXAMPLE_DIST_HELLO_QA_MSX1_MACHINE,"The machine to run the msx1 qa module on.") +$(call mb-make-call,mb-doc-variable,EXAMPLE_DIST_HELLO_QA_MSX1_MACHINE,"The machine to run the msx1 qa module on.") -$(call mb_make_call,mb_flow_clone_deps,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN),$(EXAMPLE_DIST_HELLO_BIN),$(EXAMPLE_DIST_HELLO_DEPS),,$(EXAMPLE_DIST_HELLO_QA_MSX1_MACHINE)) +$(call mb-make-call,mb-flow-clone-deps,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN),$(EXAMPLE_DIST_HELLO_BIN),$(EXAMPLE_DIST_HELLO_DEPS),,$(EXAMPLE_DIST_HELLO_QA_MSX1_MACHINE)) $(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/@assert: $(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/@build -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_file_delete,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/ahello1.out) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_file_delete,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/ahello2.out) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_write_default,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN),515) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_assert,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN),hello1 > hello1.out) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_assert,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN),hello2 > hello2.out) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_assert,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN),hello3 > hello3.out) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_assert,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN),hello4 > hello4.out) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_exit,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_openmsx_dosctl,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN),$(EXAMPLE_DIST_HELLO_QA_MSX1_MACHINE)) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-file-delete,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/ahello1.out) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-file-delete,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/ahello2.out) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-write-default,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN),515) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-append-safe-assert,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN),hello1 > hello1.out) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-append-safe-assert,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN),hello2 > hello2.out) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-append-safe-assert,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN),hello3 > hello3.out) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-append-safe-assert,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN),hello4 > hello4.out) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-append-exit,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-openmsx-dosctl,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN),$(EXAMPLE_DIST_HELLO_QA_MSX1_MACHINE)) _$(MB_ᕽᕽᕽ  )grep -q "M80: Hello MSX" $(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/hello1.out _$(MB_ᕽᕽᕽ  )grep -q "SDCC: Hello MSX" $(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/hello2.out _$(MB_ᕽᕽᕽ  )grep -q "MAKE: Hello MSX" $(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/hello3.out _$(MB_ᕽᕽᕽ  )grep -q "N80: Hello MSX" $(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/hello4.out -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_echo_assert,$(MB_I18N_ASSERT_SUCCESS) $(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/@assert) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_proj_grow_assert_success,@package-qa) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_proj_grow_assert_success,@package-qa) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_proj_grow_assert_success,@package-qa) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_proj_grow_assert_success,@package-qa) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target_assert,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/@assert,"Assert binaries on MSX1 machine.") -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_proj_grow_deps_phase,@package-qa,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/@assert) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_target_phony,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/@assert) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-echo-assert,$(MB_I18N_ASSERT_SUCCESS) $(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/@assert) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-proj-grow-assert-success,@package-qa) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-proj-grow-assert-success,@package-qa) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-proj-grow-assert-success,@package-qa) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-proj-grow-assert-success,@package-qa) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-doc-target-assert,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/@assert,"Assert binaries on MSX1 machine.") +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-proj-grow-deps-phase,@package-qa,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/@assert) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-target-phony,$(EXAMPLE_DIST_HELLO_QA_MSX1_BIN)/@assert) diff --git a/src/example/dist-hello/0module001.mk b/src/example/dist-hello/0module001.mk index b9ddd1c..42724b1 100644 --- a/src/example/dist-hello/0module001.mk +++ b/src/example/dist-hello/0module001.mk @@ -1,6 +1,6 @@ -EXAMPLE_DIST_HELLO_SRC := $(call mb_make_call,mb_make_module_path_src,$(PATH_SRC)) -EXAMPLE_DIST_HELLO_BIN := $(call mb_make_call,mb_make_module_path_bin,$(PATH_SRC),$(PATH_BIN)) +EXAMPLE_DIST_HELLO_SRC := $(call mb-make-call,mb-make-module-path-src,$(PATH_SRC)) +EXAMPLE_DIST_HELLO_BIN := $(call mb-make-call,mb-make-module-path-bin,$(PATH_SRC),$(PATH_BIN)) EXAMPLE_DIST_HELLO_OUT := $(PATH_BIN)/example-dist-hello.tar.gz EXAMPLE_DIST_HELLO_DEPS := $(EXAMPLE_DIST_HELLO_BIN)/readme.txt \ $(EXAMPLE_DIST_HELLO_BIN)/hello1.com \ @@ -9,30 +9,30 @@ EXAMPLE_DIST_HELLO_DEPS := $(EXAMPLE_DIST_HELLO_BIN)/readme.txt \ $(EXAMPLE_DIST_HELLO_BIN)/hello4.com $(EXAMPLE_DIST_HELLO_BIN): | @init -_$(MB_ᕽᕽᕽ  )$(if $(wildcard $(EXAMPLE_DIST_HELLO_BIN)),,$(call mb_make_call,mb_os_dir_create,$(EXAMPLE_DIST_HELLO_BIN))) +_$(MB_ᕽᕽᕽ  )$(if $(wildcard $(EXAMPLE_DIST_HELLO_BIN)),,$(call mb-make-call,mb-os-dir-create,$(EXAMPLE_DIST_HELLO_BIN))) $(EXAMPLE_DIST_HELLO_BIN)/readme.txt: $(EXAMPLE_DIST_HELLO_SRC)/readme.txt | $(EXAMPLE_DIST_HELLO_BIN) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_conv_unix2dos,$<,$@) -$(call mb_make_call,mb_proj_grow_deps_phase,@process,$(EXAMPLE_DIST_HELLO_BIN)/readme.txt) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-conv-unix2dos,$<,$@) +$(call mb-make-call,mb-proj-grow-deps-phase,@process,$(EXAMPLE_DIST_HELLO_BIN)/readme.txt) $(EXAMPLE_DIST_HELLO_BIN)/hello1.com: bin/example/hello-macro80/hello.com | $(EXAMPLE_DIST_HELLO_BIN) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_file_copy,$<,$@) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-file-copy,$<,$@) $(EXAMPLE_DIST_HELLO_BIN)/hello2.com: bin/example/hello-sdcc-asm/hello.com | $(EXAMPLE_DIST_HELLO_BIN) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_file_copy,$<,$@) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-file-copy,$<,$@) $(EXAMPLE_DIST_HELLO_BIN)/hello3.com: bin/example/hello-make4msx/hello.com | $(EXAMPLE_DIST_HELLO_BIN) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_file_copy,$<,$@) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-file-copy,$<,$@) $(EXAMPLE_DIST_HELLO_BIN)/hello4.com: bin/example/hello-nestor80/hello.com | $(EXAMPLE_DIST_HELLO_BIN) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_file_copy,$<,$@) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-file-copy,$<,$@) $(EXAMPLE_DIST_HELLO_BIN)/@prepare: | $(EXAMPLE_DIST_HELLO_DEPS) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target,$(EXAMPLE_DIST_HELLO_BIN)/@prepare,"Prepare folder with binaries for distribution.") -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_target_phony,$(EXAMPLE_DIST_HELLO_BIN)/@prepare) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-doc-target,$(EXAMPLE_DIST_HELLO_BIN)/@prepare,"Prepare folder with binaries for distribution.") +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-target-phony,$(EXAMPLE_DIST_HELLO_BIN)/@prepare) $(EXAMPLE_DIST_HELLO_OUT): | $(EXAMPLE_DIST_HELLO_BIN)/@prepare -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_package_create_archive,$(EXAMPLE_DIST_HELLO_BIN),$@) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target,$(EXAMPLE_DIST_HELLO_OUT),"Build archive distribution artifact.") -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_proj_grow_deps_phase,@package,$(EXAMPLE_DIST_HELLO_OUT)) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-package-create-archive,$(EXAMPLE_DIST_HELLO_BIN),$@) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-doc-target,$(EXAMPLE_DIST_HELLO_OUT),"Build archive distribution artifact.") +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-proj-grow-deps-phase,@package,$(EXAMPLE_DIST_HELLO_OUT)) diff --git a/src/example/hello-macro80/0module000.mk b/src/example/hello-macro80/0module000.mk index a6ffb6d..772500f 100644 --- a/src/example/hello-macro80/0module000.mk +++ b/src/example/hello-macro80/0module000.mk @@ -1,9 +1,9 @@ -EXAMPLE_HELLO_MACRO80_SRC := $(call mb_make_call,mb_make_module_path_src,$(PATH_SRC)) -EXAMPLE_HELLO_MACRO80_BIN := $(call mb_make_call,mb_make_module_path_bin,$(PATH_SRC),$(PATH_BIN)) +EXAMPLE_HELLO_MACRO80_SRC := $(call mb-make-call,mb-make-module-path-src,$(PATH_SRC)) +EXAMPLE_HELLO_MACRO80_BIN := $(call mb-make-call,mb-make-module-path-bin,$(PATH_SRC),$(PATH_BIN)) EXAMPLE_HELLO_MACRO80_DEPS := $(EXAMPLE_HELLO_MACRO80_BIN)/hello.com -$(call mb_make_call,mb_flow_macro80_bdos_mono,$(EXAMPLE_HELLO_MACRO80_BIN),$(EXAMPLE_HELLO_MACRO80_SRC),hello) -$(call mb_make_call,mb_flow_assert_msxpipe_grep_test,$(EXAMPLE_HELLO_MACRO80_BIN),$(EXAMPLE_HELLO_MACRO80_DEPS),check-m80,hello,M80) -$(call mb_make_call,mb_flow_assert_msxpipe_grep_test,$(EXAMPLE_HELLO_MACRO80_BIN),$(EXAMPLE_HELLO_MACRO80_DEPS),check-txt,hello,"o MSX world...") +$(call mb-make-call,mb-flow-macro80-bdos-mono,$(EXAMPLE_HELLO_MACRO80_BIN),$(EXAMPLE_HELLO_MACRO80_SRC),hello) +$(call mb-make-call,mb-flow-assert-msxpipe-grep-test,$(EXAMPLE_HELLO_MACRO80_BIN),$(EXAMPLE_HELLO_MACRO80_DEPS),check-m80,hello,M80) +$(call mb-make-call,mb-flow-assert-msxpipe-grep-test,$(EXAMPLE_HELLO_MACRO80_BIN),$(EXAMPLE_HELLO_MACRO80_DEPS),check-txt,hello,"o MSX world...") diff --git a/src/example/hello-make4msx/0module000.mk b/src/example/hello-make4msx/0module000.mk index 5951ef8..46178e1 100644 --- a/src/example/hello-make4msx/0module000.mk +++ b/src/example/hello-make4msx/0module000.mk @@ -1,51 +1,52 @@ -EXAMPLE_HELLO_MAKE4MSX_SRC := $(call mb_make_call,mb_make_module_path_src,$(PATH_SRC)) -EXAMPLE_HELLO_MAKE4MSX_BIN := $(call mb_make_call,mb_make_module_path_bin,$(PATH_SRC),$(PATH_BIN)) +EXAMPLE_HELLO_MAKE4MSX_SRC := $(call mb-make-call,mb-make-module-path-src,$(PATH_SRC)) +EXAMPLE_HELLO_MAKE4MSX_BIN := $(call mb-make-call,mb-make-module-path-bin,$(PATH_SRC),$(PATH_BIN)) $(EXAMPLE_HELLO_MAKE4MSX_BIN): | @init -_$(MB_ᕽᕽᕽ  )$(if $(wildcard $(EXAMPLE_HELLO_MAKE4MSX_BIN)),,$(call mb_make_call,mb_os_dir_create,$(EXAMPLE_HELLO_MAKE4MSX_BIN))) -_$(MB_ᕽᕽᕽ  )$(if $(wildcard $(EXAMPLE_HELLO_MAKE4MSX_BIN)/utils),,$(call mb_make_call,mb_os_dir_create,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/utils)) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_make,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/utils) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_macro80,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/utils) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxhub_get_z80asmuk,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/utils) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target_deep,$(EXAMPLE_HELLO_MAKE4MSX_BIN)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_target_phony,$(EXAMPLE_HELLO_MAKE4MSX_BIN)) +_$(MB_ᕽᕽᕽ  )$(if $(wildcard $(EXAMPLE_HELLO_MAKE4MSX_BIN)),,$(call mb-make-call,mb-os-dir-create,$(EXAMPLE_HELLO_MAKE4MSX_BIN))) +_$(MB_ᕽᕽᕽ  )$(if $(wildcard $(EXAMPLE_HELLO_MAKE4MSX_BIN)/utils),,$(call mb-make-call,mb-os-dir-create,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/utils)) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-msxhub-get-make,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/utils) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-msxhub-get-macro80,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/utils) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-msxhub-get-z80asmuk,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/utils) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-doc-target-deep,$(EXAMPLE_HELLO_MAKE4MSX_BIN)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-target-phony,$(EXAMPLE_HELLO_MAKE4MSX_BIN)) $(EXAMPLE_HELLO_MAKE4MSX_BIN)/hello.mac: $(EXAMPLE_HELLO_MAKE4MSX_SRC)/hello.mac | $(EXAMPLE_HELLO_MAKE4MSX_BIN) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_conv_unix2dos,$<,$@) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target_deep,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/hello.mac) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_proj_grow_deps_phase,@process,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/hello.mac) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-conv-unix2dos,$<,$@) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-doc-target-deep,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/hello.mac) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-proj-grow-deps-phase,@process,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/hello.mac) $(EXAMPLE_HELLO_MAKE4MSX_BIN)/hello.mf: $(EXAMPLE_HELLO_MAKE4MSX_SRC)/hello.mf $(EXAMPLE_HELLO_MAKE4MSX_BIN)/hello.mac -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_conv_unix2dos,$<,$@) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target_deep,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/hello.mf) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_proj_grow_deps_phase,@process,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/hello.mf) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-conv-unix2dos,$<,$@) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-doc-target-deep,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/hello.mf) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-proj-grow-deps-phase,@process,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/hello.mf) $(EXAMPLE_HELLO_MAKE4MSX_BIN)/build.bat: $(EXAMPLE_HELLO_MAKE4MSX_SRC)/build.bat $(EXAMPLE_HELLO_MAKE4MSX_BIN)/hello.mf -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_conv_unix2dos,$<,$@) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target_deep,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/build.bat) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_proj_grow_deps_phase,@process,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/build.bat) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-conv-unix2dos,$<,$@) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-doc-target-deep,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/build.bat) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-proj-grow-deps-phase,@process,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/build.bat) $(EXAMPLE_HELLO_MAKE4MSX_BIN)/hello.com: $(EXAMPLE_HELLO_MAKE4MSX_BIN)/build.bat -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxpipe_safe_cmd,$(EXAMPLE_HELLO_MAKE4MSX_BIN),build shutdown,,662) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target_deep,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/hello.com) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-msxpipe-safe-cmd,$(EXAMPLE_HELLO_MAKE4MSX_BIN),build shutdown,,662) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-doc-target-deep,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/hello.com) $(EXAMPLE_HELLO_MAKE4MSX_BIN)/@build: $(EXAMPLE_HELLO_MAKE4MSX_BIN)/hello.com -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/@build,"Compiles binary with make on msx.") -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_proj_grow_deps_phase,@build,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/@build) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_target_phony,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/@build) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-doc-target,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/@build,"Compiles binary with make on msx.") +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-proj-grow-deps-phase,@build,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/@build) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-target-phony,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/@build) $(EXAMPLE_HELLO_MAKE4MSX_BIN)/@run: $(EXAMPLE_HELLO_MAKE4MSX_BIN)/@build -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_msxpipe_run_gui,$(EXAMPLE_HELLO_MAKE4MSX_BIN)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target_run,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/@run,"Run the make build manually.") -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_target_phony,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/@run) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-msxpipe-run-gui,$(EXAMPLE_HELLO_MAKE4MSX_BIN)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-doc-target-run,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/@run,"Run the make build manually.") +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-target-phony,$(EXAMPLE_HELLO_MAKE4MSX_BIN)/@run) -$(call mb_make_call,mb_flow_assert_grep_binary_test,$(EXAMPLE_HELLO_MAKE4MSX_BIN),check-bin,hello.com,MAKE) + +$(call mb-make-call,mb-flow-assert-grep-binary-test,$(EXAMPLE_HELLO_MAKE4MSX_BIN),check-bin,hello.com,MAKE) diff --git a/src/example/hello-nestor80/0module000.mk b/src/example/hello-nestor80/0module000.mk index c5894f8..4a37a75 100644 --- a/src/example/hello-nestor80/0module000.mk +++ b/src/example/hello-nestor80/0module000.mk @@ -1,9 +1,9 @@ -EXAMPLE_HELLO_NESTOR80_SRC := $(call mb_make_call,mb_make_module_path_src,$(PATH_SRC)) -EXAMPLE_HELLO_NESTOR80_BIN := $(call mb_make_call,mb_make_module_path_bin,$(PATH_SRC),$(PATH_BIN)) +EXAMPLE_HELLO_NESTOR80_SRC := $(call mb-make-call,mb-make-module-path-src,$(PATH_SRC)) +EXAMPLE_HELLO_NESTOR80_BIN := $(call mb-make-call,mb-make-module-path-bin,$(PATH_SRC),$(PATH_BIN)) EXAMPLE_HELLO_NESTOR80_DEPS := $(EXAMPLE_HELLO_NESTOR80_BIN)/hello.com -$(call mb_make_call,mb_flow_nestor80_bdos_mono,$(EXAMPLE_HELLO_NESTOR80_BIN),$(EXAMPLE_HELLO_NESTOR80_SRC),hello) -$(call mb_make_call,mb_flow_assert_msxpipe_grep_test,$(EXAMPLE_HELLO_NESTOR80_BIN),$(EXAMPLE_HELLO_NESTOR80_DEPS),check-m80,hello,N80) -$(call mb_make_call,mb_flow_assert_msxpipe_grep_test,$(EXAMPLE_HELLO_NESTOR80_BIN),$(EXAMPLE_HELLO_NESTOR80_DEPS),check-txt,hello,"o MSX world...") +$(call mb-make-call,mb-flow-nestor80-bdos-mono,$(EXAMPLE_HELLO_NESTOR80_BIN),$(EXAMPLE_HELLO_NESTOR80_SRC),hello) +$(call mb-make-call,mb-flow-assert-msxpipe-grep-test,$(EXAMPLE_HELLO_NESTOR80_BIN),$(EXAMPLE_HELLO_NESTOR80_DEPS),check-m80,hello,N80) +$(call mb-make-call,mb-flow-assert-msxpipe-grep-test,$(EXAMPLE_HELLO_NESTOR80_BIN),$(EXAMPLE_HELLO_NESTOR80_DEPS),check-txt,hello,"o MSX world...") diff --git a/src/example/hello-sdcc-asm/0module000.mk b/src/example/hello-sdcc-asm/0module000.mk index 93f8d72..dec6323 100644 --- a/src/example/hello-sdcc-asm/0module000.mk +++ b/src/example/hello-sdcc-asm/0module000.mk @@ -1,9 +1,9 @@ -EXAMPLE_HELLO_SDCC_ASM_SRC := $(call mb_make_call,mb_make_module_path_src,$(PATH_SRC)) -EXAMPLE_HELLO_SDCC_ASM_BIN := $(call mb_make_call,mb_make_module_path_bin,$(PATH_SRC),$(PATH_BIN)) +EXAMPLE_HELLO_SDCC_ASM_SRC := $(call mb-make-call,mb-make-module-path-src,$(PATH_SRC)) +EXAMPLE_HELLO_SDCC_ASM_BIN := $(call mb-make-call,mb-make-module-path-bin,$(PATH_SRC),$(PATH_BIN)) EXAMPLE_HELLO_SDCC_ASM_DEPS := $(EXAMPLE_HELLO_SDCC_ASM_BIN)/hello.com -$(call mb_make_call,mb_flow_sdcc_bdos_mono,$(EXAMPLE_HELLO_SDCC_ASM_BIN),$(EXAMPLE_HELLO_SDCC_ASM_SRC),hello) -$(call mb_make_call,mb_flow_assert_msxpipe_grep_test,$(EXAMPLE_HELLO_SDCC_ASM_BIN),$(EXAMPLE_HELLO_SDCC_ASM_DEPS),check-sdcc,hello,SDCC) -$(call mb_make_call,mb_flow_assert_msxpipe_grep_test,$(EXAMPLE_HELLO_SDCC_ASM_BIN),$(EXAMPLE_HELLO_SDCC_ASM_DEPS),check-hell,hello,Hell) +$(call mb-make-call,mb-flow-sdcc-bdos-mono,$(EXAMPLE_HELLO_SDCC_ASM_BIN),$(EXAMPLE_HELLO_SDCC_ASM_SRC),hello) +$(call mb-make-call,mb-flow-assert-msxpipe-grep-test,$(EXAMPLE_HELLO_SDCC_ASM_BIN),$(EXAMPLE_HELLO_SDCC_ASM_DEPS),check-sdcc,hello,SDCC) +$(call mb-make-call,mb-flow-assert-msxpipe-grep-test,$(EXAMPLE_HELLO_SDCC_ASM_BIN),$(EXAMPLE_HELLO_SDCC_ASM_DEPS),check-hell,hello,Hell) diff --git a/src/tools/gluedos1/0module000.mk b/src/tools/gluedos1/0module000.mk index 5c57dc8..9d72c36 100644 --- a/src/tools/gluedos1/0module000.mk +++ b/src/tools/gluedos1/0module000.mk @@ -1,39 +1,39 @@ -GLUEDOS1_SRC := $(call mb_make_call,mb_make_module_path_src,$(PATH_SRC)) -GLUEDOS1_BIN := $(call mb_make_call,mb_make_module_path_bin,$(PATH_SRC),$(PATH_BIN)) +GLUEDOS1_SRC := $(call mb-make-call,mb-make-module-path-src,$(PATH_SRC)) +GLUEDOS1_BIN := $(call mb-make-call,mb-make-module-path-bin,$(PATH_SRC),$(PATH_BIN)) GLUEDOS1_DIST := lib/emuctl/gluedos1 # Add msxdos1 to override default(nextor) for manual @run target -$(call mb_make_call,mb_flow_proj_prepare_packages,$(GLUEDOS1_BIN),msxdos1_boot) +$(call mb-make-call,mb-flow-proj-prepare-packages,$(GLUEDOS1_BIN),msxdos1-boot) # Compile binaries -$(call mb_make_call,mb_flow_sdcc_bdos_mono,$(GLUEDOS1_BIN),$(GLUEDOS1_SRC),cls) -$(call mb_make_call,mb_flow_sdcc_bdos_mono,$(GLUEDOS1_BIN),$(GLUEDOS1_SRC),echo) -$(call mb_make_call,mb_flow_sdcc_bdos_mono,$(GLUEDOS1_BIN),$(GLUEDOS1_SRC),set) -$(call mb_make_call,mb_flow_sdcc_bdos_mono,$(GLUEDOS1_BIN),$(GLUEDOS1_SRC),ver) +$(call mb-make-call,mb-flow-sdcc-bdos-mono,$(GLUEDOS1_BIN),$(GLUEDOS1_SRC),cls) +$(call mb-make-call,mb-flow-sdcc-bdos-mono,$(GLUEDOS1_BIN),$(GLUEDOS1_SRC),echo) +$(call mb-make-call,mb-flow-sdcc-bdos-mono,$(GLUEDOS1_BIN),$(GLUEDOS1_SRC),set) +$(call mb-make-call,mb-flow-sdcc-bdos-mono,$(GLUEDOS1_BIN),$(GLUEDOS1_SRC),ver) # (Manual) Test binaries $(GLUEDOS1_BIN)/@@test-deps:: $(GLUEDOS1_BIN)/@assert/@test/check-simple $(GLUEDOS1_BIN)/@assert/@test/check-simple: $(GLUEDOS1_BIN)/@build -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_write_default,$(GLUEDOS1_BIN)) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_assert,$(GLUEDOS1_BIN),a:cls.com) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_assert,$(GLUEDOS1_BIN),a:echo.com) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_assert,$(GLUEDOS1_BIN),a:set.com) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_safe_assert,$(GLUEDOS1_BIN),a:ver.com) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_autoexec_append_exit,$(GLUEDOS1_BIN)) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_openmsx_dosctl,$(GLUEDOS1_BIN)) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_echo_assert,$(MB_I18N_ASSERT_SUCCESS) $(GLUEDOS1_BIN)/@assert/@test/check-simple) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target_assert,$(GLUEDOS1_BIN)/@assert/@test/check-simple,"Assert binaries on msxdos1.") -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_proj_grow_deps_phase,@test,$(GLUEDOS1_BIN)/@assert/@test/check-simple) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_target_phony,$(GLUEDOS1_BIN)/@assert/@test/check-simple) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-write-default,$(GLUEDOS1_BIN)) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-append-safe-assert,$(GLUEDOS1_BIN),a:cls.com) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-append-safe-assert,$(GLUEDOS1_BIN),a:echo.com) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-append-safe-assert,$(GLUEDOS1_BIN),a:set.com) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-append-safe-assert,$(GLUEDOS1_BIN),a:ver.com) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-autoexec-append-exit,$(GLUEDOS1_BIN)) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-openmsx-dosctl,$(GLUEDOS1_BIN)) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-echo-assert,$(MB_I18N_ASSERT_SUCCESS) $(GLUEDOS1_BIN)/@assert/@test/check-simple) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-doc-target-assert,$(GLUEDOS1_BIN)/@assert/@test/check-simple,"Assert binaries on msxdos1.") +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-proj-grow-deps-phase,@test,$(GLUEDOS1_BIN)/@assert/@test/check-simple) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-target-phony,$(GLUEDOS1_BIN)/@assert/@test/check-simple) # Release local $(GLUEDOS1_BIN)/@release-local: | $(GLUEDOS1_BIN)/@build -_$(MB_ᕽᕽᕽ  )$(if $(wildcard $(GLUEDOS1_DIST)),,$(call mb_make_call,mb_os_folder_create,$(GLUEDOS1_DIST))) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_file_copy,$(GLUEDOS1_BIN)/cls.com,$(GLUEDOS1_DIST)) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_file_copy,$(GLUEDOS1_BIN)/echo.com,$(GLUEDOS1_DIST)) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_file_copy,$(GLUEDOS1_BIN)/set.com,$(GLUEDOS1_DIST)) -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_file_copy,$(GLUEDOS1_BIN)/ver.com,$(GLUEDOS1_DIST)) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target,$(GLUEDOS1_BIN)/@release-local,"Updates binary copy in $(GLUEDOS1_DIST) folder.") -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_target_phony,$(GLUEDOS1_BIN)/@release-local) +_$(MB_ᕽᕽᕽ  )$(if $(wildcard $(GLUEDOS1_DIST)),,$(call mb-make-call,mb-os-folder-create,$(GLUEDOS1_DIST))) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-file-copy,$(GLUEDOS1_BIN)/cls.com,$(GLUEDOS1_DIST)) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-file-copy,$(GLUEDOS1_BIN)/echo.com,$(GLUEDOS1_DIST)) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-file-copy,$(GLUEDOS1_BIN)/set.com,$(GLUEDOS1_DIST)) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-file-copy,$(GLUEDOS1_BIN)/ver.com,$(GLUEDOS1_DIST)) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-doc-target,$(GLUEDOS1_BIN)/@release-local,"Updates binary copy in $(GLUEDOS1_DIST) folder.") +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-target-phony,$(GLUEDOS1_BIN)/@release-local) diff --git a/src/tools/gluemsx1/0module000.mk b/src/tools/gluemsx1/0module000.mk index 021d20a..241f7f2 100644 --- a/src/tools/gluemsx1/0module000.mk +++ b/src/tools/gluemsx1/0module000.mk @@ -1,14 +1,14 @@ -MBBOOT80_SRC := $(call mb_make_call,mb_make_module_path_src,$(PATH_SRC)) -MBBOOT80_BIN := $(call mb_make_call,mb_make_module_path_bin,$(PATH_SRC),$(PATH_BIN)) -MBBOOT80_DEPS := $(call mb_make_call,mb_make_module_local_deps,$(MBBOOT80_BIN),$(patsubst %.asm,%.com,$(shell ls -1 $(MBBOOT80_SRC)/*.asm))) +MBBOOT80_SRC := $(call mb-make-call,mb-make-module-path-src,$(PATH_SRC)) +MBBOOT80_BIN := $(call mb-make-call,mb-make-module-path-bin,$(PATH_SRC),$(PATH_BIN)) +MBBOOT80_DEPS := $(call mb-make-call,mb-make-module-local-deps,$(MBBOOT80_BIN),$(patsubst %.asm,%.com,$(shell ls -1 $(MBBOOT80_SRC)/*.asm))) -$(call mb_make_call,mb_flow_sdcc_bdos_mono,$(MBBOOT80_BIN),$(MBBOOT80_SRC),mbboot80) -$(call mb_make_call,mb_flow_assert_msxpipe_grep_test,$(MBBOOT80_BIN),$(MBBOOT80_DEPS),check-boot80,mbboot80,"mb::boot mode 80") +$(call mb-make-call,mb-flow-sdcc-bdos-mono,$(MBBOOT80_BIN),$(MBBOOT80_SRC),mbboot80) +$(call mb-make-call,mb-flow-assert-msxpipe-grep-test,$(MBBOOT80_BIN),$(MBBOOT80_DEPS),check-boot80,mbboot80,"mb::boot mode 80") $(MBBOOT80_BIN)/@release-local: | $(MBBOOT80_BIN)/@build -_$(MB_ᕽᕽᕽ  )$(call mb_make_call,mb_os_file_copy,$(MBBOOT80_BIN)/mbboot80.com,lib/emuctl/mbboot80.com) -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_doc_target,$(MBBOOT80_BIN)/@release-local,"Updates binary copy in lib/emuctl folder.") -$(MB_ᕽᕽᕽ   )$(call mb_make_call,mb_make_target_phony,$(MBBOOT80_BIN)/@release-local) +_$(MB_ᕽᕽᕽ  )$(call mb-make-call,mb-os-file-copy,$(MBBOOT80_BIN)/mbboot80.com,lib/emuctl/mbboot80.com) +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-doc-target,$(MBBOOT80_BIN)/@release-local,"Updates binary copy in lib/emuctl folder.") +$(MB_ᕽᕽᕽ   )$(call mb-make-call,mb-make-target-phony,$(MBBOOT80_BIN)/@release-local)